KR100628824B1 - 리토그래피 반사방지 하드마스크 조성물 및 그것의 용도 - Google Patents

리토그래피 반사방지 하드마스크 조성물 및 그것의 용도 Download PDF

Info

Publication number
KR100628824B1
KR100628824B1 KR1020040052049A KR20040052049A KR100628824B1 KR 100628824 B1 KR100628824 B1 KR 100628824B1 KR 1020040052049 A KR1020040052049 A KR 1020040052049A KR 20040052049 A KR20040052049 A KR 20040052049A KR 100628824 B1 KR100628824 B1 KR 100628824B1
Authority
KR
South Korea
Prior art keywords
composition
layer
antireflective hardmask
radiation
acid generator
Prior art date
Application number
KR1020040052049A
Other languages
English (en)
Other versions
KR20050015992A (ko
Inventor
바비치캐서리나
마호로왈라아판피.
메데이로스데이비드알.
파이퍼디르크
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20050015992A publication Critical patent/KR20050015992A/ko
Application granted granted Critical
Publication of KR100628824B1 publication Critical patent/KR100628824B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Formation Of Insulating Films (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체 장치의 제조를 위한 조성물 및 방법을 제공한다. 본 발명의 한 가지 양태에서, 반사방지 하드마스크 조성물이 제공된다. 상기 조성물은 완전 축합된 다면체 올리고실세스퀴옥산 {RSiO1.5}n(식 중, n은 8이다), 1 이상의 발색단 부분 및 투명 부분을 포함한다. 본 발명의 다른 양태에서, 반도체 장치의 제조 방법이 제공된다. 상기 방법은 재료층을 기판에 제공하는 단계, 반사방지 하드마스크층을 상기 재료층 위에 형성하는 단계를 포함한다. 상기 반사방지 하드마스크층은 완전 축합된 다면체 올리고실세스퀴옥산 {RSiO1.5}n(식 중, n은 8이다), 1 이상의 발색단 부분 및 투명 부분을 포함한다.

Description

리토그래피 반사방지 하드마스크 조성물 및 그것의 용도{LITHOGRAPHIC ANTIREFLECTIVE HARDMASK COMPOSITIONS AND USES THEREOF}
도 1은 본 발명의 구체예에 따른 반도체 장치의 예시적인 제조 기술을 설명하는 흐름도이다.
본 발명은 반도체 장치, 보다 구체적으로, 반도체 장치의 제조에 관한 것이다.
마이크로전자 공업, 뿐만 아니라 초미세 구조의 구성물, 예컨대 마이크로머신 및 자기 저항 헤드를 수반하는 다른 공업 분야에서, 구조 형상의 크기를 더 감소시킬 것이 요망되고 있다. 특히, 마이크로전자 공업에서, 마이크로전자 장치의 크기는 감소하는 반면에, 소정의 칩 크기에 대한 더 많은 양의 회로가 요구되고 있다.
효율적인 리토그래피 기술은 구조 형상의 크기를 감소시키는 데 필수적이다. 리토그래피는 소정의 기판 상의 직접적인 이미지화 패턴에 관할 뿐만 아니라, 그러 한 이미지화에 통용되는 마스크에 제조에 관하여 초미세 구조물의 제조에 영향을 미친다.
대부분의 리토그래피 공정은 이미지화층, 예컨대 방사선 민감성 레지스트 재료층과 하도층 간의 반사성을 최소화시키는 데 반사방지 코팅(ARC)을 사용하여 해상도를 증강시킨다. 그러나, 이러한 ARC 재료는 층들의 유사한 기본 조성으로 인하여 이미지화층에 불량한 에칭 선택성을 부과한다. 그러므로, 패터닝 후 ARC의 에칭 중에 많은 이미지화층도 소모되어, 후속 에칭 단계 중에 추가의 패터닝이 필요하게 될 수 있다.
또한, 일부 리토그래피 기술의 경우, 사용된 방사선 민감성 레지스트 재료는 방사선 민감성 레지스트 재료 아래에 놓인 층에 소정의 패턴을 효과적으로 전사할 수 있기에 충분한 후속 에칭 단계에 대한 내성을 제공하지 않는다. 많은 경우에서, 예를 들면 극히 얇은 방사선 민감성 레지스트 물질이 사용되는 경우, 에칭하고자 하는 하도층이 두꺼운 경우, 실질적인 에칭 깊이가 요구되는 경우, 소정의 하도층에 대해 특정한 에칭제를 사용하는 것이 요망되는 경우, 또는 상기 경우의 임의의 조합에서 하드마스크층이 사용된다. 하드마스크층은 패터닝된 방사선 민감성 재료와 패터닝하고자 하는 하도층 간의 중간층 역할을 한다. 하드마스크는 패터닝된 방사선 민감성 레지스트 재료층으로부터 패턴을 수용하고, 패턴을 하도층으로 전사한다. 하드마스크층은 패턴을 전사하는 데 요구되는 에칭 공정을 견딜 수 있어야 한다.
ARC 조성물로서 유용한 많은 재료가 공지되어 있으나, 방사선 민감성 레지스 트 재료, 하드마스크층 및 하도층에 대한 에칭 선택성이 높은 개선된 ARC 조성물에 대한 필요성이 존재한다. 또한, 공지된 많은 ARC는 기판에 도포하기가 어려운데, 예를 들면, 이러한 ARC의 도포는 화학 증착, 물리 증착, 특수 용매, 고온 소성 또는 상기의 임의의 조합의 사용을 요한다.
에칭 선택성이 높고, 다중 에칭에 대한 내성이 충분한 리토그래피 기술을 수행하는 것이 요망된다. 이러한 리토그래피 기술은 매우 세부적인 반도체 장치를 생산할 수 있게 할 것이다.
발명의 개시
본 발명은 반도체 장치의 제조를 위한 조성물 및 기술을 제공한다. 본 발명의 한 가지 양태에서, 반사방지 하드마스크 조성물이 제공된다. 상기 조성물은 완전 축합된 다면체 올리고실세스퀴옥산 {RSiO1.5}n(식 중, n은 8이다), 1 이상의 발색단 부분 및 투명 부분을 포함한다. 상기 조성물은 산 발생제, 가교 성분 및 추가의 가교 성분의 임의의 조합을 포함할 수 있다.
본 발명의 다른 양태에서, 반도체 장치의 제조 방법이 제공된다. 상기 방법은 재료층을 기판에 제공하는 단계 및 반사방지 하드마스크층을 상기 재료층 위에 형성하는 단계를 포함한다. 상기 반사방지 하드마스크층은 완전 축합된 다면체 올리고실세스퀴옥산 {RSiO1.5}n(식 중, n은 8이다), 1 이상의 발색단 부분 및 투명 부분을 포함한다. 상기 방법은 반사방지 층 위에 방사선 민감성 이미지화층을 형성하 는 단계, 방사선 민감성 이미지화층을 방사선에 패턴와이즈 노광시킴으로써 이미지화층 내에 방사선 노광된 영역의 패턴을 형성하는 단계; 방사선 민감성 이미지화층 및 반사방지 하드마스크층의 일부분을 선택적으로 제거하여 재료층의 일부분을 노출시키는 단계; 및 재료층의 노출된 부분을 에칭하여 기판 상에 패터닝된 재료 형상을 형성하는 단계를 더 포함할 수 있다.
본 발명의 보다 완전한 이해, 뿐만 아니라 본 발명의 다른 양태 및 이점은 하기 상세한 설명 및 도면을 참고로 얻을 수 있다.
바람직한 구체예의 상세한 설명
반사방지 하드마스크 조성물(이하, "조성물"이라고 함)이 본 발명에 개시되어 있다. 상기 조성물은 완전 축합된 다면체 올리고실세스퀴옥산(POSS) 재료를 포함한다. 완전 축합된 POSS 재료는 단위 {RSiO1.5}n을 포함할 수 있고, 여기서 n은 6 내지 12이다.
예시적인 구체예에서, 완전 축합된 POSS 재료는 화학식 {RSiO1.5}n의 입방체 부분 중에서 선택되며, 여기서 n은 8이고, SiO 결합을 포함한다. 이러한 완전 축합된 POSS 재료는 통상의 스핀 코팅에 의한 층 형성에 전도성있는 용해 및 필름 형성 특성을 가져야 한다.
완전 축합된 POSS 재료는 하기 화학식 1 및 2를 가질 수 있다.
Figure 112004029656286-pat00001
Figure 112004029656286-pat00002
상기 식에서, R은 발색단 부분 및/또는 투명 부분을 포함한다. R 기는 가교 성분 역할을 더 할 수 있다. R 기는 R 기의 화학적 성질에 따라 추가의 가교 성분 유무 하의 가교 성분일 수 있다. 추가의 가교 성분은 후술하기로 한다. 일부 경우에서, 다중 작용성 부분은 동일한 POSS 단위 상에 존재할 수 있다. 따라서, 예를 들면 발색단 부분 및 투명 부분은 동일한 POSS 단위 상에 존재할 수 있다. 발색단 부분, 투명 부분 및/또는 가교 성분을 함유하는 POSS 단위를 혼합하는 것이 요망될 수 있다.
일반적으로, 모든 완전 축합 POSS 재료가 반사방지 하드마스크 분야에 적당할 수 있는데, 그 이유는 SiOH 기의 부재 또는 최소 포함이 저장을 위한 POSS 재료의 안정성을 증강시키기 때문이다. 그러나, 상기 도시된 화학식 1 및 2가 바람직하 다. 화학식 2에 나타낸 바와 같이, POSS 재료는 입방체 부분의 각 모서리 상에 OSiMe2를 포함할 수 있다.
본 발명의 반사방지 하드마스크 조성물은 고형분을 기준으로 POSS 재료 약 50 중량% 내지 약 98 중량%를 포함할 수 있다. 예를 들면, 상기 조성물은 고형분을 기준으로 POSS 재료 약 70 중량% 내지 약 80 중량%를 포함할 수 있다.
적당한 발색단 부분은 후술되는 바와 같이, POSS 재료의 SiO 부분에 그라프트될 수 있고, 적당한 방사선 흡수 특성을 가지며, 반사방지 하드마스크 조성물 또는 임의의 하도 방사선 민감성 층의 성능에 악영향을 주지 않는 발색단 부분을 포함한다. 적당한 발색단 부분으로는 페닐, 크리센, 피렌, 플루오란트렌, 안트론, 벤조페논, 티오크산톤 및 안트라센이 있으나, 이들로 한정되는 것은 아니다. 안트라센 유도체, 예컨대 그 개시 내용을 본 명세서에서 참고 인용하는 미국 특허 제4,371,605호(Renner), "N-히드록시아미드 및 N-히드록시이미드 술포네이트를 함유하는 광중합성 조성물"에 기재되어 있는 것들도 사용할 수 있다. 9-안트라센 메탄올은 248 nm 분야에 바람직한 발색단이다. 발색단 부분은 질소를 함유하지 않는 것이 바람지하지만, 페놀 티아진에서와 같이 유도된 아미노 질소를 함유하는 것이 가능하다. 193 nm 방사선의 경우, 불포화 탄소 결합, 예컨대 탄소 대 탄소 이중 결합을 하유하는 비방향족 화합물도 적당한 발색단이다. 157 nm 방사선의 경우, 포화된 탄소 대 탄소 결합을 함유하는 화합물이 발색단 역할을 할 수 있다.
발색단 부분은 산 촉매 O-알킬화 또는 산 촉매 C-알킬화에 의하여, 예컨대 프리델-크라프트 알킬화에 의하여 POSS 단위의 SiO 성분에 화학적으로 부착될 수 있다. 대안으로, 발색단 부분은 에스테르화 메카니즘에 의해 POSS 단위에 부착될 수 있다. 예시적인 구체예에서, POSS 단위의 약 5% 내지 약 40%는 발색단 부분을 함유할 수 있다. 발색단 부분의 부착을 위한 부위는 히드록시벤질 또는 히드록시메틸벤질기와 같은 방향족기일 수 있다. 대안으로, 발색단 부분은 시클로헥산올 또는 다른 알콜과 같은 다른 부분과의 반응에 의해 POSS 단위에 부착될 수 있다. 발색단 부분을 부착시키는 데 사용되는 반응은 알콜(OH) 기의 에스테르화를 포함할 수 있다.
적당한 투명 부분은 이미지화 방사선의 파장 또는 특성에 따라 달라질 수 있다. 193 또는 157 nm 이미지화 방사선의 경우에서, 투명 부분은 수소 및/또는 유기기(C1 이상)를 포함하고, 불포화 탄소 대 탄소 결합이 실질적으로 없는 것이 바람직하다. 예를 들면, 193 nm 분야에 적당한 투명 부분은 알콜 또는 에폭시드이다. 157 nm 이미지화 방사선의 경우, 불소를 함유하는 투명 부분이 바람직할 수 있다. 투명 부분의 양은 에너지 흡수 및 반사방지의 소정 조합을 제공하도록 발색단의 양과 균형을 이루는 것이 바람직하다. 따라서, 예시적인 구체예에서, 상기 조성물은 동수의 발색단 부분 및 투명 부분을 포함한다.
전술한 바와 같이, R 기는 가교 성분 기능을 할 수 있다. 가교 성분은 발생된 산에 의해 촉매 작용된 반응에서, 및/또는 가열에 의하여 POSS 단위를 가교할 수 있다. R 기는 추가의 가교 성분 유무 하에 가교 성분으로서 기능할 수 있다. 적 당한 R 기 가교 성분으로는 에폭시드 또는 알콜, 예컨대 히드록시벤질, 페놀, 히드록시메틸벤질을 비롯한 방향족 알콜, 또는 시클로헥산오일을 비롯한 지환족 알콜이 있으나, 이들로 한정되는 것은 아니다. 대안으로, 비고리 알콜, 예컨대 플루오로카본 알콜, 지방족 알콜, 아미노기, 비닐 에테르을 사용할 수 있다.
일반적으로, 발색단 부분, 투명 부분 및/또는 기교 성분은 POSS 합성 전에 히드로실화 반응에 의하거나, 또는 적절히 작용화된 전구체(RSiOR3 또는 RSiCl3)를 선택함으로써 POSS 재료의 SiO 단위에 부착될 수 있다(F.J. Feher, Polyhedral Oligosilsesquioxanes and Heterosilsesquioxanes, Gelest Catalog, 43-59 (1998) 참조, 그 개시 내용은 본 명세서에 참고 인용됨).
가교 성분으로서 기능하는 R 기를 가진 적당한 POSS 재료의 예는 하기에 나타낸 것들이 있다.
Figure 112004029656286-pat00003
본 발명의 반사방지 하드마스크 조성물은 고형분을 기준으로 가교 성분 약 50 중량% 이하를 포함할 수 있다. 예를 들면, 상기 조성물은 고형분을 기준으로 가교 성분 약 5 중량% 내지 약 25 중량%를 포함할 수 있다.
상기 조성물은 발생된 산에 의해 촉매 작용된 반응에서, 및/또는 가열에 의하여 POSS 단위와 반응할 수 있는 추가의 가교 성분을 더 포함할 수 있다. 일반적으로, 상기 조성물에 사용되는 추가의 가교 성분은 네가티브 포토레지스트 분야에 공지되거나, 아니면 상기 조성물의 다른 선택된 성분과 상용성인 임의의 적당한 가교제일 수 있다. 추가의 가교 성분은 발생된 산의 존재 하에 POSS 단위를 가교하도록 작용한다. 추가의 가교 성분으로는 글리콜우릴 화합물, 예컨대 사이테크 인더스트리즈에서 상표명 POWDERLINK로 시판하는 테트라메톡시메틸 글리코우릴, 메틸프로필테트라메톡시메틸 글리코우릴 및 메틸페닐테트라메톡시메틸 글리코우릴, 2,6-비스(히ㅡ록시메틸)-p-크레솔 화합물, 예컨대 그 개시 내용이 본 명세서에 참고 인용되는 일본 특허 출원 JP1293339A2(Masaaki) "포토레지스트 조성물"에 기재된 것, 에테르화된 아미노 수지, 예컨대 메틸화 멜라민 수지 또는 부틸화 멜라민 수지(N-메톡시메틸-멜라민 또는 N-부톡시메틸-멜라민), 메틸화 글리코우릴 및 부틸화 글리코우릴, 예컨대 그 개시 내용이 본 명세서에 참고 인용되는 캐나다 특허 제1204547호(Kirchmayr) "산 경화성 수지를 주성분으로 하는 경화성 조성물 및 이 수지의 경화 방법"에서 찾아볼 수 있는 것들이 있으나, 이들로 한정되는 것은 아니다. 비스에폭시 또는 비스페놀, 예컨대 비스페놀-A와 같은 다른 가교제도 사용할 수 있다. 가교제의 조합을 사용할 수 있다. 일부 경우에서, 예컨대 반응성 기가 에폭시드인 경우, 추가의 가교제가 필요하지 않을 수 있다.
일부 경우에서, 가교는 전자 빔 조사(이하, "e-빔")로 재료를 조사함으로써 달성될 수 있다. 이러한 경우에서, 추가의 가교 성분 및 산 발생제의 첨가 및/또는 가열은 선택 사항이다.
적당한 산 발생제로는 열 처리시 산을 유리시키는 산 발생 화합물, 예컨대 열 산 발생제가 있다. 여러 가지 공지된 열 산 발생제, 예컨대 2,4,4,6-테트라브로모시클로헥사디엔온, 벤조인 토실레이트, 2-니트로벤질 토실레이트 및 유기 술폰산의 다른 알킬 에스테르를 사용할 수 있다. 활성화시 술폰산을 발생하는 화합물이 대체로 적당하다. 다른 적당한 열 활성화 산 발생제는 미국 특허 제5,886,102호(Sinta et al.) "반사방지 코팅 조성물"(이하, "Sinta 특허") 및 미국 특허 제5,939,236호(Pavelchek et al.) "광산 발생제를 포함하는 반사방지 코팅 조성물"(이하, "Pavelchek 특허")에 개시되어 있으며, 상기 특허들의 개시 내용은 본 명세서에 참고 인용된다. 필요에 따라, 방사선 민감성 산 발생제는 열 산 발생제의 대용으로서, 또는 이와 조합하여 사용할 수 있다. 적당한 방사선 민감성 산 발생제는 Sinta 특허 및 Pavelchek 특허에 기재되어 있다. 레지스트 분야에 공지된 다른 방사선 민감성 산 발생제도 이들이 조성물의 다른 성분과 상용성인 한 사용할 수 있다. 방사선 민감성 산 발생제가 사용되는 경우, 경화, 예컨대 가교, 조성물의 온도는 산 발생을 유발하여 순차적으로 가교 반응을 촉매 작용하도록 적당한 방사선을 인가함으로써 감소시킬 수 있다. 방사선 민감성 산 발생제가 사용되는 경우에도, 예를 들면 생산 라인 직물의 경우에서 가교 공정을 촉진하기 위해 조성물을 열 처리하는 것이 바람직할 수 있다.
본 발명의 반사방지 하드마스크 조성물은 고형분을 기준으로 산 발생제 약 1 중량% 내지 약 20 중량%를 포함할 수 있다. 예를 들면, 상기 조성물은 고형분을 기 준으로 산 발생제 약 1 중량% 내지 약 15 중량%를 포함할 수 있다.
상기 조성물은 리토그래피 구조물을 형성하기 위해 임의의 소정 레지스트 재료와 조합하여 사용할 수 있다. 레지스트는 더 짧은 파장의 자외 방사선, 예컨대 200 nm 미만, 또는 e-빔 방사선으로 이미지화 가능한 것이 바람직하다. 적당한 레지스트 재료의 예는 미국 특허 제6,037,097호(Bucchignano et al.) "새로운 개선된 KRS 레지스트 시스템을 이용하는 마스크 제조에 대한 e-빔 조사"에 기재되어 있으며, 그 개시 내용은 본 명세서에 참고 인용된다.
통상적으로, 조성물은 소정의 기판에 도포하기 전에 용매를 함유한다. 용매는 레지스트에 통용되거나, 아니면 조성물의 반사방지 하드마스크 성능에 과도하게 영향을 주지 않는 임의의 용매일 수 있다. 적당한 용매로는 프로필렌 글리콜 모노메틸 에테르 아세테이트, 시클로헥산온 및 에틸 락테이트가 있으나, 이들로 한정되는 것은 아니다. 기판 도포를 위한 조성물 중의 용매의 양은 고형분 함량이 약 5 중량% 내지 약 20 중량%가 되기에 충분해야 한다. 일반적으로, 고형분 함량이 더 높은 제제는 더 두꺼운 코팅층을 산출할 것이다. 상기 조성물은 소량의 보조 성분, 예컨대 당업계에 공지된 것일 수 있는 염기 첨가제를 더 함유할 수 있다.
상기 조성물은 통상의 방법을 사용하여 POSS 재료, 가교 성분 및 산 발생제 및 임의의 소정 성분을 배합함으로써 제조될 수 있다. 상기 조성물을 사용하는 반사방지 하드마스크층의 제조는 후술하기로 한다.
반사방지 하드마스크층은 반도체 기판 상의 집적 회로 제조에 사용되는 리토그래피 공정에 유용하다. 예를 들면, 반사방지 하드마스크층은 248 nm, 193 nm, 157 nm, x-선, e-빔 또는 다른 이미지화 방사선을 사용하는 리토그래피 공정에 특히 유용하다. 따라서, 또한 도 1에 도시된 바와 같이, 반도체 장치의 제조 방법이 본 명세서에 개시되어 있다.
일반적으로, 반도체 리토그래피 분야는 도 1의 단계(102)에 도시된 바와 같이, 반도체 기판 상의 재료층에 패턴을 전사하는 것을 수반한다. 재료층은 제조 공정의 단계 및 최종 생성물에 대한 소정의 재료 세트에 따라서 금속 전도체층, 세라믹 절연체층, 반도체층 또는 다른 재료층일 수 있다. 도 1의 단계(104)에 나타낸 바와 같이, 조성물은 반사방지 하드마스크층에 형성되고, 바람직하게는 스핀 코팅에 의해 패터닝하고자 하는 재료층 위에 직접 도포될 수 있다. 그 다음, 조성물을 소성하여 용매를 제거하고, 조성물을 경화, 예컨대 가교시킨다.
조성물을 스핀 코팅에 의해 기판 사의 반사방지 하드마스크층에 형성한 후, 소성하여 가교 및 용매 제거를 달성한다. 소성은 약 250℃ 이하에서 수행한다. 예를 들면, 소성은 약 150℃ 내지 약 220℃의 온도에서 수행한다. 소성 시간은 층 두께 및 소성 온도에 따라 달라질 수 있다.
반사방지 하드마스크층의 두께는 소정의 기능에 따라 달라질 수 있다. 통상적인 분야의 경우, 반사방지 하드마스크층의 두께는 약 0.03 ㎛ 내지 약 5.0 ㎛이다.
필요에 따라, 조성물은 통상의 스핀-온 유리 재료와 유사한 방식으로 유전 재료로서 사용할 수도 있다. 반사방지 하드마스크층은 유기 반사방지층과 통상적으로 관련된 박막 두께에서도 하드마스크로서 작용하는 측면 에칭에 저항한다.
그 다음, 방사선 민감성 이미지화층을 도 1의 단계(106)에 도시한 바와 같이 경화된 조성물 위에 직간접적으로 도포할 수 있다. 방사선 민감성 이미지화층은 스핀 코팅 기술을 사용하여 도포할 수 있다. 그 다음, 재료층, 반사방지 하드마스크층 및 방사선 민감성 이미지화층을 갖춘 기판을 가열, 예를 들면 노광전 소성하여 용매를 제거하고, 방사선 민감성 이미지화층의 유착을 개선할 수 있다. 방사선 민감성 이미지화층은 가능한 한 얇아야 하면서도 실질적으로 균일하고, 후속 가공, 예컨대 반응성 이온 에칭을 견디기에 충분하며, 하도 기판 재료층에 리토그래피 패턴을 전사시킬 수 있어야 한다. 노광전 소성 단계는 약 10 초 내지 약 900 초 동안 수행하는 것이 바람직하다. 노광전 소성 온도는 방사선 민감성 이미지화층의 유리 전이 온도에 따라 달라질 수 있다.
용매 제거 후, 방사선 민감성 이미지화층은 도 1의 단계(108)에 나타낸 바와 같이 소정의 방사선, 예컨대 193 nm 자외 방사선에 패턴와이즈 노광시킨다. 입자 빔, 예컨대 전자 빔 주사를 사용하는 경우, 패턴와이즈 노광은 기판을 가로질러 빔을 주사하고, 빔을 소정 패턴으로 선택적으로 인가함으로써 달성된다. 보다 통상적으로, 파형 방사선, 예컨대 193 nm 자외 방사선을 사용하는 경우, 패턴와이즈 노광은 방사선 민감성 이미지화층 위에 놓인 마스크를 통하여 수행한다. 193 nm UV 방사선의 경우, 총 노광 에너지는 약 100 밀리줄/㎠ 이하이다. 예를 들면, 노광 에너지는 약 50 밀리줄/㎠ 이하일 수 있다. 또한, 노광 에너지는 약 15 밀리줄/㎠ 내지 약 30 밀리줄/㎠일 수 있다.
소정의 패턴와이즈 노광 후, 방사선 민감성 이미지화층을 통상적으로 소성, 예컨대 노광후 소성하여 산 촉매화 반응을 더 완성하고, 노광된 패턴의 콘트라스트를 향상시킨다. 노광후 소성은 약 60℃ 내지 약 175℃의 온도에서 수행한다. 예를 들면, 노광후 소성은 약 90℃ 내지 약 160℃에서 수행한다. 노광후 소성은 약 30 초 내지 약 300 초 동안 수행한다.
노광후 소성 후, 소정의 패턴을 가진 방사선 민감성 이미지화층은 도 1의 단계(110)에 나타낸 바와 같이, 방사선 민감성 이미지화층을, 방사선에 노광된 방사선 민감성 이미지화층의 영역을 선택적으로 용해시키는 알칼리성 용액에 접촉시킴으로써 수득, 예를 들면 현상된다. 바람직한 알칼리성 용액, 예컨대 현상제로는 수산화암모늄 테트라메틸이 있다. 그 다음, 기판 상의 생성된 리토그래피 구조물을 통상적으로 건조시켜서 임의의 잔존하는 현상액을 제거한다.
그 다음, 방사선 민감성 이미지화층으로부터의 패턴은 공지 기술을 사용하여 테트라플루오로메탄(CF4) 또는 다른 적당한 에칭제로 에칭함으로써 반사방지 하드마스크층의 노출된 부분에 전사할 수 있다. 그 다음, 반사방지 하드마스크층의 부분은 도 1의 단계(110)에 나타낸 바와 같이 제거할 수 있다.
그 다음, 패터닝하고자 하는 노출된 하도 재료층은 도 1의 단계(112)에 나타낸 바와 같이, 그 재료에 적당한 에칭제를 사용하여 에칭할 수 있다. 예를 들면, 재료층이 금속, 예컨대 크롬(Cr)을 포함하는 경우, 염소/산소 배합물(Cl2/O2)을 건조 에칭제로서 사용할 수 있다.
패턴 전사가 일어나면, 임의의 잔존하는 방사선 민감성 이미지화층 및 반사 방지 하드마스크층은 통상의 스트리핑 기술을 사용하여 제거할 수 있다. 반사방지 하드마스크층이 하드마스크층으로서 엄격하게 사용되는 경우, 조성물은 테트라플루오로메탄/산소(CF4/O2) 플라즈마를 사용하여 제거할 수 있다.
따라서, 조성물과 생성된 리토그래피 구조물은 패터닝된 재료층 구조물, 예컨대 금속 와이어링 라인, 접촉공 또는 바이어스, 절연 섹션, 예컨대 다마스크 트렌치 또는 셸로우 트렌치 절연, 커패시터 구조물용 트렌치, 예컨대 집적 회로 장치의 설계에 사용될 수도 있는 것들을 형성하는 데 사용할 수 있다. 조성물은 산화물, 질화물, 폴리실리콘 및/또는 크롬의 패터닝된 층을 형성하는 것에 관하여 특히 유용하다.
본 발명의 조성물이 유용할 수 있는 일반 리토그래피 공정의 예는 미국 특허 제4,855,017호(Douglas) "단일 웨이퍼 RIE 건식 에칭 반응기용 트렌치 에칭 방법", 미국 특허 제5,362,663호(Bronner et al.) "이중 웰 기판 플레이트 트렌치 DRAM 셀 어레이 형성 방법", 미국 특허 제5,429,710호(Akiba et al.) "건식 에칭 방법", 미국 특허 제5,562,801호(Nulty) "레지스트 충전 오목부를 사용하는 단일 단계 트렌치 제조 방법", 미국 특허 제5,744,376호(Chan et al.) "탑 배리어층을 갖춘 구리 인터커넥트의 제조 방법", 미국 특허 제5,801,094호(Yew et al.) "이중 다마스크 방법", 미국 특허 제5,821,469호(Shanmugham) "원격 통신 시스템의 케이블 고정 장치", 미국 특허 제5,948,570호(Kornblit) "건식 리토그래피 에칭 방법"에 개시되어 있으며, 그 개시 내용은 본 명세서에 참고 인용된다. 패턴 전사 방법의 다른 예는 문헌(OREAU, SEMICONDUCTOR LITHOGRAPHY, PRINCIPLES, PRACTICES, AND MATERIALS, ch. 12-13 (1988)에 기재되어 있으며, 그 개시 내용은 본 명세서에서 참고 인용한다. 예시적인 리토그래피 공정이 기재되고, 본 명세서에서 참고 인용하였지만, 본 발명은 어떤 특정한 리토그래피 기술 또는 장치 구조물에 한정되지 않음을 이해해야 한다.
패터닝된 리토그래피 구조물도 본 명세서에 개시된다. 패터닝된 리토그래피 구조물은 기판; 상기 기판 상의 재료층; 상기 재료층 상의, 조성물을 포함하는 패터닝된 반사방지 하드마스크층; 및 상기 반사방지 하드마스크층 상의 패터닝된 방사선 민감성 이미지화층을 포함한다.
본 발명의 예시적인 구체예를 본 명세서에 기재하였지만, 본 발명은 이들 구체적인 구체예로 한정되지 않으며, 여러 가지 다른 변형 및 수정이 본 발명의 범주 또는 사상을 벗어나지 않고 당업자에 의해 이루어질 수 있음을 이해해야 한다. 하기 실시예는 본 발명의 범주 및 사상을 예시하기 위해 제공하는 것이다. 이들 실시예는 단지 예시 목적이기 때문에, 실시예에 구현된 발명으로 한정되는 것은 아니다.
실시예
실시예 1
POSS 재료 옥타키스{(글리시드옥시프로필)디메틸실록시}실세스퀴옥산, 옥타키스{(에틸시클로헥실프로필)디메틸실록시}실세스퀴옥산, 옥타키스{(프로판올)디메틸실록시}실세스퀴옥산 및 옥타키스{(에틸페닐아세테이트)디메틸실록시}실세스퀴옥 산은 TAL 머티어리얼즈 인코포레이티드에서 입수하였다.
실시예 2
제제
실시예 1로부터의 소정의 POSS 재료를 용매 12 중량% 내지 용매 14 중량%의 농도로 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 또는 에틸 락테이트에 용해시켰다. 데이켐에서 입수한, POSS에 대하여 8 중량부 농도의 가교제 테트라메톡시메틸 글리코우릴 및 POSS에 대하여 4 중량부 농도의 디(t-부틸페닐)요오도늄퍼플루오로부틸술포네이트(DtBPI-PFBuS)을 용액에 가하였다.
실시예 3
필름 형성 및 광학 특성
실시예 2에 기재된 바와 같이 제조한, 각각 옥타키스{(글리시드옥시프로필)디메틸실록시}실세스퀴옥산, 옥타키스{(에틸시클로헥실프로필)디메틸실록시}실세스퀴옥산, 옥타키스{(프로판올)디메틸실록시}실세스퀴옥산 및 옥타키스{(에틸페닐아세테이트)디메틸실록시}실세스퀴옥산을 함유하는 제제 POSS A, POSS B, POSS C 및 POSS D를 60 초 동안 3,000 회전/분으로 200 mm 실리콘 웨이퍼에 스핀 코팅하였다. 필름 두께는 1,700 내지 2,500 Å 범위였다. 스핀 주조된 필름을 200℃에서 60 초 동안 경화시켰다. 193 nm에서의 광학 상수, 굴절률 n 및 소멸 계수 k는 n&k 테크놀로지 인코포레이티드 제품인 ann&k 분석기를 사용하여 측정하였다.
193 nm 방사선에 대한 필름의 광학 특성은 하기 표 1에 나타낸다.
필름 POSS n K
POSS A 1.611 0.030
POSS B 1.645 0.050
POSS C 1.647 0.026
POSS D 1.689 0.205
POSS A 및 D(4:1 wt/wt) 1.735 0.278
POSS B 및 D(4:1 wt/wt) 1.762 0.308
실시예 4
193 nm 리토그래피 및 반사방지 하드마스크층의 에칭:
하드마스크층은 POSS A 및 POSS D의 혼합물을 사용하여 실시예 3에 기재된 바와 같이 형성하였다. PAR 715 아크릴계 포토레지스트(스미토모 제품)의 층을 경화된 반사방지 하드마스크층에 약 300 nm의 두께로 스핀 코팅하였다. 포토레지스트를 130℃에서 60 초 동안 소성하였다. 그 다음, 포토레지스트층은 APSM 레티클을 사용하여 통상의 환형 조명을 갖춘 0.6 NA 193 nm 니콘 스테퍼를 사용하여 이미지화하였다. 패턴와이즈 노광 후, 포토레지스트층을 130℃에서 60 초 동안 소성하였다. 그 다음, 이미지는 시판 현상제(0.26M TMAH)를 사용하여 현상하였다. 생성된 패턴은 113.75 nm 및 122.5 nm 동일 라인 앤드 스페이스 패턴을 나타내었다.
그 다음, 패턴은 TEL DRM 도구를 사용하여 20 초 플루오로카본계 에칭에 의해 반사방지 하드마스크층으로 전사하였다. 포토레지스트와 반사방지 하드마스크층 간의 에칭 선택도는 10 대 1을 초과하였는데, 이는 반사방지 하드마스크 개방 에칭 중에 포토레지스트가 거의 소실되지 않았음을 나타내는 것이다.
반사방지 하드마스크층과 산화물 함유 재료층 사이에 제공된 필름 간의 에칭 선택도는 TEL DRM 도구로 사행된 플루오로카본계 에칭을 사용하여 각각 실시예 3으 로부터의 중합체 필름에 대하여 2.5 대 1 및 포토레지스트(PAR 715)애 대하여 3.3 대 1인 것을 측정되었다. 조합된 에칭 선택도는 산화물에서 유기 포토레지스트로의 패턴 전사의 전체 에칭 선택도가 20 대 1보다 컸는데, 이는 공지된 유기 하드마스크보다 우수한 것이다.
본 발명의 조성물을 이용하면, 에칭 선택성이 높고, 다중 에칭에 대한 내성이 충분한 리토그래피 기술을 수행할 수 있다. 이러한 리토그래피 기술은 매우 세부적인 반도체 장치를 생산할 수 있게 할 것이다.

Claims (31)

  1. 완전 축합된 다면체 올리고실세스퀴옥산 {RSiO1.5}n 및 산 발생제를 포함하는 반사방지 하드마스크 조성물로서, 식 중 n은 8이고, R은 1 이상의 발색단 부분 및 투명 부분, 및 1 이상의 가교 성분을 포함하는 것인 반사방지 하드마스크 조성물.
  2. 제1항에 있어서, 고형분을 기준으로 다면체 올리고실세스퀴옥산 50 중량% 내지 98 중량%를 포함하는 것인 조성물.
  3. 제1항에 있어서, 고형분을 기준으로 다면체 올리고실세스퀴옥산 70 중량% 내지 80 중량%를 포함하는 것인 조성물.
  4. 제1항에 있어서, 각각의 발색단 부분은 페닐, 크리센, 피렌, 플루오란트렌, 안트론, 벤조페논, 티오크산톤, 안트라센, 안트라센 유도체, 9-안트라센 메탄올, 페놀 티아진, 불포화 탄소 대 탄소 이중 결합을 함유하는 비방향족 화합물, 포화 탄소 대 탄소 결합을 함유하는 화합물 및 1 이상의 상기 발색단을 포함하는 조성물로 구성된 군 중에서 선택되는 것인 조성물.
  5. 제1항에 있어서, 각각의 투명 부분은 불포화 탄소 대 탄소 이중 결합이 없는 것인 조성물.
  6. 제1항에 있어서, 1 이상의 투명 부분은 불소를 포함하는 것인 조성물.
  7. 제1항에 있어서, 존재하는 투명 부분의 50% 이하는 불포화 탄소 대 탄소 결합이 없는 것인 조성물.
  8. 제1항에 있어서, 각각의 투명 부분은 157 nm 방사선에 투과성인 것인 조성물.
  9. 제1항에 있어서, 동수의 발색단 및 투명 부분을 포함하는 것인 조성물.
  10. 삭제
  11. 제1항에 있어서, 상기 가교 성분은 에폭시드, 알콜, 방향족 알콜, 히드록시벤질, 페놀, 히드록시메틸벤질, 지환족 알콜, 시클로헥산오일, 비고리 알콜, 플루오로카본 알콜, 지방족 알콜, 아미노기, 비닐 에테르 및 1 이상의 상기 가교 성분을 포함하는 조성물로 구성된 군 중에서 선택되는 것인 조성물.
  12. 제1항에 있어서, 고형분을 기준으로 가교 성분 50 중량% 이하를 포함하는 것인 조성물.
  13. 제1항에 있어서, 고형분을 기준으로 가교 성분 5 중량% 내지 25 중량%를 포함하는 것인 조성물.
  14. 제1항에 있어서, R이 추가의 가교 성분을 더 포함하는 것인 조성물.
  15. 제14항에 있어서, 상기 추가의 가교 성분은 글리코우릴, 메틸화 글리콜우릴, 부틸화 글리콜우릴, 테트라메톡시메틸 글리콜우릴, 메틸프로필테트라메톡시메틸 글리콜우릴, 메틸페닐테트라메톡시메틸 글리콜우릴, 2,6-비스(히드록시메틸)-p-크레솔, 에테르화 아미노 수지, 메틸화 멜라민 수지, N-메톡시메틸-멜라민, 부틸화 멜라민 수지, N-부톡시메틸-멜라민, 비스에폭시, 비스페놀, 비스페놀-A 및 1 이상의 상기 가교 성분을 포함하는 조성물로 구성된 군 중에서 선택되는 것인 조성물.
  16. 삭제
  17. 제1항에 있어서, 상기 산 발생제는 2,4,4,6-테트라브로모시클로헥사디엔온, 벤조인 토실레이트, 2-니트로벤질 토실레이트, 유기 술폰산의 알킬 에스테르 및 1 이상의 상기 산 발생제를 포함하는 배합물로 구성된 군 중에서 선택되는 것인 조성물.
  18. 제1항에 있어서, 상기 산 발생제는 열 산 발생제인 것인 조성물.
  19. 제1항에 있어서, 고형분을 기준으로 산 발생제 1 중량% 내지 20 중량%를 포함하는 것인 조성물.
  20. 제1항에 있어서, 고형분을 기준으로 산 발생제 1 중량% 내지 15 중량%를 포함하는 것인 조성물.
  21. 재료층을 기판 상에 제공하는 단계;
    반사방지 하드마스크층을 상기 재료층 위에 형성하는 단계로서, 상기 반사방지 하드마스크층은 완전 축합된 다면체 올리고실세스퀴옥산 {RSiO1.5}n 및 산 발생제를 포함하며, 식 중 n은 8이고, R은 1 이상의 발색단 부분 및 투명 부분, 및 1 이상의 가교 성분을 포함하는 것인 단계
    를 포함하는 반도체 장치의 제조 방법.
  22. 제21항에 있어서,
    방사선 민감성 이미지화층을 반사방지 하드마스크층 위에 형성하는 단계;
    방사선 민감성 이미지화층을 방사선에 패턴와이즈 노광시켜서 이미지화층에 방사선 노광된 영역의 패턴을 형성하는 단계;
    상기 방사선 민감성 이미지화층 및 상기 반사방지 하드마스크층의 일부분을 선택적으로 제거하여 상기 재료층의 일부분을 노출시키는 단계; 및
    상기 재료층의 노출된 부분을 에칭하여 상기 기판 상에 패터닝된 재료 형상을 형성하는 단계
    를 포함하는 것인 방법.
  23. 제22항에 있어서, 상기 재료층에서 잔존하는 방사선 민감성 이미지화층 및 반사방지 하드마스크층을 제거하는 단계를 더 포함하는 것인 방법.
  24. 제22항에 있어서, 상기 방사선은 200 nm 이하의 파장을 가진 자외 방사선인 것인 방법.
  25. 제22항에 있어서, 상기 방사선은 전자 빔 방사선인 것인 방법.
  26. 제21항에 있어서, 상기 재료층은 전도성 재료, 반도체 재료, 자기 재료, 절연 재료, 금속, 유전 재료 및 1 이상의 상기 재료를 포함하는 배합물로 구성된 군 중에서 선택되는 것인 방법.
  27. 제21항에 있어서, 상기 재료층은 산화물, 질화물, 폴리실리콘 및 크롬 중 1 이상을 포함하는 것인 방법.
  28. 제21항에 있어서, 상기 반사방지 하드마스크층은 두께가 0.03 내지 5 ㎛인 것인 방법.
  29. 제21항에 있어서, 상기 형성 단계는 상기 반사방지 하드마스크층을 소성하는 단계를 포함하는 것인 방법.
  30. 기판;
    상기 기판 위의 재료층;
    상기 재료층 위의 패터닝된 반사방지 하드마스크층으로서, 완전 축합된 다면체 올리고실세스퀴옥산 {RSiO1.5}n 및 산 발생제를 포함하며, 식 중 n은 8이고, R은 1 이상의 발색단 부분 및 투명 부분, 및 1 이상의 가교 성분을 포함하는 것인 패터닝된 반사방지 하드마스크층; 및
    상기 반사방지 하드마스크층 위의 패터닝된 방사선 민감성 이미지화층
    을 포함하는 패터닝된 리토그래피 구조물.
  31. 복수개의 완전 축합된 다면체 올리고실세스퀴옥산 단위 {RSiO1.5}n 및 산 발생제를 포함하는 반사방지 하드마스크 조성물로서, 식 중 n은 8이고, R은 다면체 올리고실세스퀴옥산 단위의 5 내지 40%로 존재하는 발색단 부분, 발색단 부분과 동수인 투명 부분, 및 1 이상의 가교 성분을 포함하는 것인 반사방지 하드마스크 조성물.
KR1020040052049A 2003-08-05 2004-07-05 리토그래피 반사방지 하드마스크 조성물 및 그것의 용도 KR100628824B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/634,667 2003-08-05
US10/634,667 US7223517B2 (en) 2003-08-05 2003-08-05 Lithographic antireflective hardmask compositions and uses thereof

Publications (2)

Publication Number Publication Date
KR20050015992A KR20050015992A (ko) 2005-02-21
KR100628824B1 true KR100628824B1 (ko) 2006-09-27

Family

ID=34116083

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040052049A KR100628824B1 (ko) 2003-08-05 2004-07-05 리토그래피 반사방지 하드마스크 조성물 및 그것의 용도

Country Status (5)

Country Link
US (1) US7223517B2 (ko)
JP (1) JP4042981B2 (ko)
KR (1) KR100628824B1 (ko)
CN (1) CN1321352C (ko)
TW (1) TWI290265B (ko)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7507783B2 (en) * 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
JP4540327B2 (ja) * 2003-11-06 2010-09-08 ルネサスエレクトロニクス株式会社 フォトマスクのパターン形成方法
US7915369B2 (en) * 2004-12-07 2011-03-29 Panasonic Electric Works Co., Ltd. Ultraviolet transmissive polyhedral silsesquioxane polymers
US7691275B2 (en) * 2005-02-28 2010-04-06 Board Of Regents, The University Of Texas System Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
WO2006126406A1 (ja) * 2005-05-24 2006-11-30 Nissan Chemical Industries, Ltd. ポリシラン化合物を含むリソグラフィー用下層膜形成組成物
US20070015082A1 (en) * 2005-07-14 2007-01-18 International Business Machines Corporation Process of making a lithographic structure using antireflective materials
JP4692136B2 (ja) * 2005-08-08 2011-06-01 東レ株式会社 感光性ペースト組成物およびそれを用いたフィールドエミッションディスプレイ部材
US7544750B2 (en) * 2005-10-13 2009-06-09 International Business Machines Corporation Top antireflective coating composition with low refractive index at 193nm radiation wavelength
JP4723992B2 (ja) * 2005-12-12 2011-07-13 積水化学工業株式会社 樹脂組成物、絶縁基板、成型体、及び電子機器
US7449538B2 (en) * 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
JP2007272168A (ja) * 2006-03-10 2007-10-18 Tokyo Ohka Kogyo Co Ltd レジスト下層膜用組成物及びこれを用いたレジスト下層膜
US7468330B2 (en) 2006-04-05 2008-12-23 International Business Machines Corporation Imprint process using polyhedral oligomeric silsesquioxane based imprint materials
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US20070298349A1 (en) * 2006-06-22 2007-12-27 Ruzhi Zhang Antireflective Coating Compositions Comprising Siloxane Polymer
JP2008026500A (ja) * 2006-07-20 2008-02-07 Dainippon Printing Co Ltd 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスおよびそれを用いたフォトマスクの製造方法
US7927664B2 (en) * 2006-08-28 2011-04-19 International Business Machines Corporation Method of step-and-flash imprint lithography
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
CN101523292B (zh) 2006-10-12 2013-04-10 日产化学工业株式会社 利用4层系叠层体进行的半导体器件的制造方法
KR100802226B1 (ko) * 2006-12-21 2008-02-11 주식회사 하이닉스반도체 듀얼 다마신 패턴 형성 방법
KR101416030B1 (ko) * 2006-12-22 2014-07-08 주식회사 동진쎄미켐 유기반사방지막 형성용 폴리머 및 이를 포함하는 조성물
US8026040B2 (en) * 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US20100093969A1 (en) * 2007-02-26 2010-04-15 Ruzhi Zhang Process for making siloxane polymers
CN101622296B (zh) 2007-02-27 2013-10-16 Az电子材料美国公司 硅基抗反射涂料组合物
CN101641390B (zh) * 2007-04-02 2013-05-01 第一毛织株式会社 具有抗反射性能的硬掩模组合物及用其图案化材料的方法
WO2009084775A1 (en) * 2007-12-28 2009-07-09 Seoul National University Industry Foundation Resist for e-beam lithography
JP5739325B2 (ja) * 2008-04-23 2015-06-24 ブルーワー サイエンス アイ エヌシー. マイクロリソグラフィー用の感光性ハードマスク
JP5611544B2 (ja) * 2008-06-20 2014-10-22 昭和電工株式会社 (メタ)アクリロイルオキシ基含有篭状シルセスキオキサン化合物およびその製造方法
US8377631B2 (en) * 2009-10-06 2013-02-19 International Business Machines Corporation Planarization over topography with molecular glass materials
WO2011054731A1 (en) * 2009-11-05 2011-05-12 Basf Se Fluorescent materials
US8623447B2 (en) * 2010-12-01 2014-01-07 Xerox Corporation Method for coating dielectric composition for fabricating thin-film transistors
US9011591B2 (en) 2011-09-21 2015-04-21 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US9068086B2 (en) 2011-12-21 2015-06-30 Dow Global Technologies Llc Compositions for antireflective coatings
JP5700003B2 (ja) * 2012-08-31 2015-04-15 大日本印刷株式会社 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスを用いたフォトマスクの製造方法
US9348228B2 (en) * 2013-01-03 2016-05-24 Globalfoundries Inc. Acid-strippable silicon-containing antireflective coating
US8999625B2 (en) * 2013-02-14 2015-04-07 International Business Machines Corporation Silicon-containing antireflective coatings including non-polymeric silsesquioxanes
US8999623B2 (en) 2013-03-14 2015-04-07 Wiscousin Alumni Research Foundation Degradable neutral layers for block copolymer lithography applications
JP5742903B2 (ja) * 2013-09-24 2015-07-01 大日本印刷株式会社 フォトマスクブランクス
JP5979268B2 (ja) * 2015-03-06 2016-08-24 大日本印刷株式会社 フォトマスクブランクス
JP6252623B2 (ja) * 2016-05-20 2017-12-27 大日本印刷株式会社 フォトマスクブランクス
US9671694B1 (en) * 2016-09-30 2017-06-06 International Business Machines Corporation Wet strippable gap fill materials
JP7123928B2 (ja) * 2016-12-22 2022-08-23 イラミーナ インコーポレーテッド 樹脂膜とパターン化ポリマー層を含むアレイ
KR200488601Y1 (ko) 2017-04-17 2019-02-27 조경환 케이크 상자

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010007323A (ko) * 1999-06-11 2001-01-26 마티네즈 길러모 반사방지 하드 마스크 조성물
KR20020028820A (ko) * 2000-10-10 2002-04-17 마티네즈 길러모 반사방지 조성물
US20020182541A1 (en) * 2001-03-12 2002-12-05 Gonsalves Kenneth E. High resolution resists for next generation lithographies
US6914114B2 (en) * 2000-07-17 2005-07-05 Honeywell International Inc. Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4221688A (en) * 1978-04-28 1980-09-09 Dow Corning Corporation Silicone emulsion which provides an elastomeric product and methods for preparation
US4371605A (en) * 1980-12-09 1983-02-01 E. I. Du Pont De Nemours And Company Photopolymerizable compositions containing N-hydroxyamide and N-hydroxyimide sulfonates
EP0076656B1 (en) * 1981-10-03 1988-06-01 Japan Synthetic Rubber Co., Ltd. Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
US5085893A (en) * 1989-07-28 1992-02-04 Dow Corning Corporation Process for forming a coating on a substrate using a silsesquioxane resin
US5674937A (en) * 1995-04-27 1997-10-07 Dow Corning Corporation Elastomers from silicone emulsions having self-catalytic crosslinkers
JPH09219448A (ja) * 1996-02-09 1997-08-19 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US6521699B2 (en) * 1996-09-26 2003-02-18 Rhodia Chimie Aqueous silicone dispersion
US6660823B1 (en) * 1998-03-03 2003-12-09 The United States Of America As Represented By The Secretary Of The Air Force Modifying POSS compounds
US6087064A (en) * 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
US6187505B1 (en) * 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6100417A (en) * 1999-08-31 2000-08-08 The United States Of America As Represented By The Secretary Of The Air Force Functionalizing olefin bearing silsesquioxanes
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6420084B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Mask-making using resist having SIO bond-containing polymer
US6517958B1 (en) * 2000-07-14 2003-02-11 Canon Kabushiki Kaisha Organic-inorganic hybrid light emitting devices (HLED)
CA2324794A1 (en) * 2000-10-25 2002-04-25 American Dye Source, Inc. Organic-inorganic hybrid photocurable compositions
US6653045B2 (en) * 2001-02-16 2003-11-25 International Business Machines Corporation Radiation sensitive silicon-containing negative resists and use thereof
US6759460B2 (en) * 2001-03-08 2004-07-06 Asahi Kasei Kabushiki Kaisha Resin composition
EP1245642B1 (en) * 2001-03-27 2005-06-08 Samsung Electronics Co., Ltd. Siloxane-based resin and method for forming an insulating film between interconnecting layers in wafers
TW594416B (en) * 2001-05-08 2004-06-21 Shipley Co Llc Photoimageable composition
US6936537B2 (en) 2001-06-19 2005-08-30 The Boc Group, Inc. Methods for forming low-k dielectric films
US6569932B2 (en) * 2001-07-06 2003-05-27 Benjamin S. Hsiao Blends of organic silicon compounds with ethylene-based polymers
US6767930B1 (en) * 2001-09-07 2004-07-27 Steven A. Svejda Polyhedral oligomeric silsesquioxane polyimide composites
US6730454B2 (en) * 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6818555B2 (en) * 2002-10-07 2004-11-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for metal etchback with self aligned etching mask
TW200413417A (en) * 2002-10-31 2004-08-01 Arch Spec Chem Inc Novel copolymer, photoresist compositions thereof and deep UV bilayer system thereof
US7507783B2 (en) * 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US7232864B2 (en) * 2003-10-30 2007-06-19 Bening Robert C Coupled radial anionic polymers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010007323A (ko) * 1999-06-11 2001-01-26 마티네즈 길러모 반사방지 하드 마스크 조성물
US6914114B2 (en) * 2000-07-17 2005-07-05 Honeywell International Inc. Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
KR20020028820A (ko) * 2000-10-10 2002-04-17 마티네즈 길러모 반사방지 조성물
US20020182541A1 (en) * 2001-03-12 2002-12-05 Gonsalves Kenneth E. High resolution resists for next generation lithographies

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
1020010007323 A *
1020020028820 A *

Also Published As

Publication number Publication date
JP2005055893A (ja) 2005-03-03
KR20050015992A (ko) 2005-02-21
TWI290265B (en) 2007-11-21
US20050031964A1 (en) 2005-02-10
TW200513803A (en) 2005-04-16
CN1321352C (zh) 2007-06-13
US7223517B2 (en) 2007-05-29
CN1595296A (zh) 2005-03-16
JP4042981B2 (ja) 2008-02-06

Similar Documents

Publication Publication Date Title
KR100628824B1 (ko) 리토그래피 반사방지 하드마스크 조성물 및 그것의 용도
US6730454B2 (en) Antireflective SiO-containing compositions for hardmask layer
US7648820B2 (en) Antireflective hardmask and uses thereof
US6503692B2 (en) Antireflective silicon-containing compositions as hardmask layer
JP4086830B2 (ja) スピンオンarc/ハードマスク用のシリコン含有組成物
KR100816735B1 (ko) 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료형상의 제조방법 및 반도체 집적회로 디바이스
JP4336310B2 (ja) ハードマスク層としてのシリコン含有反射防止層及びその形成方法
KR100908601B1 (ko) 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
US7326442B2 (en) Antireflective composition and process of making a lithographic structure
US8323871B2 (en) Antireflective hardmask composition and a method of preparing a patterned material using same
KR100673625B1 (ko) 반사방지 하드마스크 조성물 및 이를 이용하여 기판 상에패턴화된 재료 형상을 형성시키는 방법
KR100666023B1 (ko) 하드마스크 층으로서 반사방지 규소 함유 조성물
KR100836675B1 (ko) 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료형상의 제조방법 및 반도체 집적회로 디바이스

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120824

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130827

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150918

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160818

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170818

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180816

Year of fee payment: 13