JP2012188671A - ビニルエーテル架橋剤を用いた反射防止膜 - Google Patents

ビニルエーテル架橋剤を用いた反射防止膜 Download PDF

Info

Publication number
JP2012188671A
JP2012188671A JP2012106641A JP2012106641A JP2012188671A JP 2012188671 A JP2012188671 A JP 2012188671A JP 2012106641 A JP2012106641 A JP 2012106641A JP 2012106641 A JP2012106641 A JP 2012106641A JP 2012188671 A JP2012188671 A JP 2012188671A
Authority
JP
Japan
Prior art keywords
composition
layer
compound
group
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012106641A
Other languages
English (en)
Other versions
JP2012188671A5 (ja
JP5511887B2 (ja
Inventor
Douglas J Guerrero
ダグラス ジェイ. ゲレロ
Robert C Cox
ロバート シー. コックス
Marc W Weimer
マーク ダブリュー. ワイマー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brewer Science Inc
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Publication of JP2012188671A publication Critical patent/JP2012188671A/ja
Publication of JP2012188671A5 publication Critical patent/JP2012188671A5/ja
Application granted granted Critical
Publication of JP5511887B2 publication Critical patent/JP5511887B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C43/00Ethers; Compounds having groups, groups or groups
    • C07C43/02Ethers
    • C07C43/03Ethers having all ether-oxygen atoms bound to acyclic carbon atoms
    • C07C43/14Unsaturated ethers
    • C07C43/164Unsaturated ethers containing six-membered aromatic rings
    • C07C43/166Unsaturated ethers containing six-membered aromatic rings having unsaturation outside the aromatic rings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31667Next to addition polymer from unsaturated monomers, or aldehyde or ketone condensation product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • Y10T428/31692Next to addition polymer from unsaturated monomers
    • Y10T428/31699Ester, halide or nitrile of addition polymer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31935Ester, halide or nitrile of addition polymer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Paints Or Removers (AREA)

Abstract

【課題】新規な湿式現像性反射防止膜組成物およびこれらの組成物の使用方法を提供する。
【解決手段】酸官能基を有し、架橋剤および光酸発生剤と共に溶媒系に可溶なポリマーおよび/またはオリゴマーを含み、酸官能基はカルボン酸であり、架橋剤はビニルエーテル架橋剤である。これらの組成物は基盤に適用され、熱で架橋され、露光すると、硬化した(cured)組成物は脱架橋され、典型的なフォトレジスト現像溶液(例えばアルカリ現像液)に可溶となる。
【選択図】なし

Description

政府後援の研究開発プログラム
本発明は米国陸軍宇宙ミサイル防衛軍団により与えられた契約番号DASG60‐01‐C‐0047の契約に基づき、政府の支持を受け成し遂げられた。米国政府は本発明について、一定の権利を有する。
発明の背景
関連した出願
本願は、2004年4月29日に出願されたシリアルナンバー60/566,329、ビニルエーテル架橋剤を用いた反射防止膜という名称の仮出願の優先権を主張し、引用することで本明細書の一部となす。
発明の技術分野
本発明は、新規な湿式現像性反射防止膜組成物およびその使用方法に関する。
従来技術の背景
特徴的大きさを110nm以下に収縮するため、半導体産業により掲げられる目標を達成する新たなより進歩した材料が必要とされる。高解像度のリソグラフ印刷標的を達成するためにフォトレジストおよび基板の反射防止皮膜の両方の改良が必要とされる。例えば、新たなレジストは古い世代の材料よりもさらに薄いため、基底の反射防止膜および基盤エッチング工程に起こるレジストの厚みの損失が決定的な問題となる。レジストの厚さが減少する一方で、基底の反射防止膜の厚さが同じ比率で減少することは予期されておらず、このことはレジストの損失という問題をいっそう複雑にする。この問題への解決策は、湿式現像性基底反射防止膜によって、基底反射膜形成のエッチング工程を取り除くことである。
湿式現像性基底反射防止膜が、典型的にポリマー結合剤としてアルカリ性溶媒中に可溶なポリアミド酸を用い、レジストが現像されるときに基底の反射防止膜が除去されるようにしている。アミド酸をイミドに変換する熱工程を有利に用いることにより、この伝統的な湿式現像性基底反射防止膜が、レジスト溶媒に不溶になる。この工程はよく機能するが、ふたつの制限がある。(1)基底反射防止膜が有機溶媒に不溶で、アルカリ性現像液に可溶である焼成温度範囲が狭い(10℃以下);(2)湿式現像過程が等方性、すなわち基底反射防止膜が、水平方向と垂直方向に同様の割合で除去され、これによってレジストのラインの下方カッティングがおこる。これは、より大きな構造(0.2ミクロン以上)では問題とならないが、より小さな境界サイズでは、ラインの持ち上げおよびラインの崩壊が容易に起こる。
本発明は、マイクロエレクトロニクス装置の製造において有用である新規な湿式現像性組成物を提供することによって従来技術の湿式現像性反射防止膜の問題を解決する。
さらに詳細には、発明の組成物は、溶媒系に溶解または分散されたポリマー、オリゴマー、およびそれらの混合物からなる群から選択される化合物を含む。化合物は、組成物中の全ての材料の総重量100重量%に基づいて、好ましくは約0.5−10重量%から、より好ましくは約0.5−5重量%から、さらにより好ましくは約1−4重量%のレベルで組成物中に存在する。
もし、化合物がポリマーであれば、平均分子量は約1,000−100,000ダルトンから、より好ましくは約1,000−25,000ダルトンからであることが好ましい。好ましいポリマーは、脂肪族ポリマー、アクリレート、メタアクリレート、ポリエステル、ポリカーボネート、ノボラック、ポリアミド酸およびそれらの混合物を含む群から選択されたポリマーである。
もし、化合物がオリゴマーであれば、分子量は約500−3,000ダルトンから、より好ましくは約500−1,500ダルトンからであることが好ましい。好ましいオリゴマーは、置換された、または置換されていないアクリレート、メタアクリレート、ノボラック、イソシアヌル酸塩、グリシジルエーテルおよびそれらの混合物を含む。
化合物がオリゴマー、またはポリマーであるかにかかわらず、また、ポリマーバックボーン、またはオリゴマーコアの構造であるかにかかわらず、化合物は酸官能基を含有することが好ましい。酸性基は、化合物の総重量を100重量%として、好ましくは約5重量%以上のレベルで化合物中に存在し、好ましくは約5−90重量%から、さらにより好ましくは約5−50重量%からである。好ましい酸性基は、フェノール基を除く、カルボン酸(‐COOH)のような官能基である。
従来技術の組成物と異なり、酸性基は、好ましくは保護基によって保護されていない。すなわち、酸性基の約95%以上、好ましくは約98%以上、さらに好ましくは約100%が保護されていない。保護基とは、酸が反応性となることを防止する官能基である。
保護基は本発明に必須ではないため、化合物が酸反応性でないことが好ましい。酸反応性ポリマーまたはオリゴマーは、酸の存在下で除去され分解され、または変換される保護基を含むポリマーまたはオリゴマーである。
他の態様では、保護された酸官能基および保護されていない酸官能基の組み合わせを用いることができる。これらの態様では、保護された酸官能基と保護されていない酸官能基とのモル比は、約1:3から約3:1、より好ましくは約1:2から約1:1である。
本発明の組成物は発色団(光減衰化合物または光減衰部分)を含むことも好ましい。発色団は、化合物(化合物上の官能基、またはポリマーバックボーンもしくはオリゴマーコアに直接のいずれかの方法で)に結合していてもよく、また、発色団が単純に、物理的に化合物中に混合されていてもよい。発色団は、化合物の総重量を100重量%として、約5−50重量%から、好ましくは20−40重量%からのレベルで組成物中に存在することが好ましい。発色団は、組成物が処理される(be processed)波長に基づいて選択される。例えば、波長248nmにおいて、好ましい発色団は、ナフタレン(例:ナフトエ酸メタクリレート、3,7‐ジヒドロキシナフトエ酸)、ヘテロ環状発色団、カルバゾール、アントラセン、(例:9‐アントラセンメチルメタクリレート、9‐アントラセンカルボン酸)、および前述の機能的な部分を含む。193nmの波長では、好ましい発色団は、置換および非置換フェニル類、ヘテロ環状発色団(例:フラン環、チオフェン環)、および前述の機能的な部分を含む。本発明の好ましい組成物は、好ましくは架橋剤も含む。
好ましい架橋剤は、ビニルエーテル架橋剤である。ビニルエーテル架橋剤は、多官能性、並びにより好ましくは三、および四官能性である。
好ましいビニルエーテル架橋剤は、化学式
R-(X-O-CH=CH2)n
で表され、Rはアリール基(好ましくはC‐C12)およびアルキル基(好ましくはC‐C18、およびより好ましくはC‐C10)から選択され、Xはそれぞれ、アルキル基(好ましくはC‐C18、およびより好ましくはC‐C10);アルコキシル基(好ましくはC‐C18、およびより好ましくはC‐C10);カルボキシル基;および前述の2またはそれ以上の組合せからなる群から選択され、nは2−6である。もっとも好ましいビニルエーテル架橋剤は、エチレングリコールビニルエーテル、トリメチロールプロパントリビニルエーテル、1、4‐シクロヘキサン ジメタノール ジビニル エーテル、およびそれらの組合せからなる群から選択される。もうひとつの好ましいビニルエーテル架橋剤は、
からなる群から選択される。
組成物は、好ましくは触媒も含む。好ましい触媒は、酸発生剤、および特に光酸発生剤(“PAG”、両イオン性、および/または非イオン性)である。光の存在下、酸を生成するいずれのPAGも適切である。好ましいPAGは、オニウム塩(例:トリフェニルスルフォニウムノナフラートおよびトリフェニルスルフォニウムトリフラートのようなトリフェニルスルフォニウムパーフルオロスルホン酸エステル)、オキシムスルホン酸(例:CIBA製CGIの商品名で販売)、およびトリアジン(例:ミドリカガクカンパニー製TAZ108)を含む。
組成物は、組成物中の固体ポリマーおよびオリゴマーの総重量を100重量%として、好ましくは触媒を約0.1−10重量%、より好ましくは約1−5重量%含む。
多数の他の任意選択的材料が、同様に組成物中に含まれることが推奨される。典型的な選択的材料には、界面活性剤、アミン塩基(amine bases)、粘着性促進剤が含まれる。
態様に関わらず、十分に均一な分散状態を形成するために、ポリマー、オリゴマー、またはそれらの混合物を適切な溶媒系で、好ましくは環境条件下で十分な時間をかけて、単純に分散、または溶解させることによって、反射防止組成物が形成される。他の材料(例:架橋剤、PAG)は、好ましくは化合物に適した溶媒系で分散、または溶解される。
好ましい溶媒系は、プロピレングリコールメチルエーテルアセテート(PGMEA)、プロピレングリコールメチルエーテル(PGME),プロピレングリコール n‐プロピルエーテル(PnP)、乳酸エチル、およびそれらの混合物からなる群から選択される溶媒を含む。好ましくは、溶媒系は約50−250℃から、より好ましくは約100−175℃からの沸点を持つ。溶媒系は、組成物の総重量を100重量%として、約80−99重量%から、好ましくは95−99重量%からのレベルで使用されるべきである。
組成物を基盤(例えばマイクロエレクトロニクス基盤のような)に塗布するための方法は、公知の塗布方法(スピンコーティングを含む)を用い、ここで述べた組成物を一定量基盤表面に塗布することを含む。基盤は、いかなる慣用的な巡回(circuit)基盤でもよく、好ましい基盤は平面でもよく、またトポグラフィー(topography)(例:コンタクトまたはビアホール、トレンチ)を含んでもよい。模範的な基盤はシリコン、アルミニウム、タングステン、ケイ化タングステン、ヒ化ガリウム、ゲルマニウム、タンタル、窒化タンタル、SiGe、低誘電率(low-k)誘電層、誘電層(例:酸化シリコン)、およびイオン注入(implant)層を含む。
望ましいコーティングが達成された後は、層に化合物の架橋を誘導するために、形成された層は約100−250℃、好ましくは約120−200℃の温度に加熱される。ポリマーおよびオリゴマーが、カルボン酸基を含み、架橋がビニルエーテル架橋である態様において、架橋ポリマー、またはオリゴマーは、以下の化学式を有するアセタール架橋を含む。
架橋された層は十分に架橋されているため、慣例的なフォトレジスト溶媒に実質上不要となるだろう。従って、ストリッピング(stripping)試験を行うとき、本発明の皮膜層は、約5%以下、好ましくは約1%以下、より好ましくは約0%以下のストリッピング率を有する。ストリッピング試験は、始めに(5箇所の異なる場所における測定値の平均をとることによって)硬化した(cured)層の厚さを決定することを含む。これは、初期膜厚の平均値である。次に、溶媒(例えば乳酸エチル)が、約10秒間硬化した膜の上で攪錬され(puddled)、続いて溶媒を取り除くために約20−30秒間、約2,000−3,500rpmでスピン乾燥される。厚さは、偏光解析装置(ellipsometry)を用いてウエハ(wafer)上で5つの異なる点を再度測定され、これらの測定値の平均が決定される。これは、最終膜厚の平均値である。
ストリッピングの量は、初期と最終の平均膜厚値の差である。このストリッピング率は下記式で表される。
架橋された層もまた、高い光吸収を持つ。使用する波長(例、157nm、193nm、248nm、365nm)において、この硬化した反射防止層または皮膜のn値は、約1.3以上、好ましくは約1.4−2.0で、一方、k値は約0.1以上、そして好ましくは約0.2−0.8である。使用する波長(例、157nm、193nm、248nm、365nm)において、硬化した層のODは、約5/μm以上、好ましくは約1−15/μm、そしてより好ましくは約10−15μmである。
層が硬化した後は、特定の製作工程に必要なため、さらに進んだ工程が行われてもよい。例えば、フォトレジストは硬化した層へ塗布され、続いて適切な波長の光に露光することによってパターンを形成し、次に露光したフォトレジストの現像が行われてもよい。好都合なことに、フォトレジストが露光されるほど、本発明の皮膜も光にさらされる。光にさらされれば、酸がPAGから発生し、この酸が層中の化合物の脱架橋を起こす。すなわち、酸は熱架橋で化合物と架橋構造の間に形成された結合を切断する。カルボン酸がポリマーまたはオリゴマー上の酸性基であるとき、アルコールおよびアセチルアルデヒドだけでなく、組成物中にはじめに存在した同じポリマー、またはオリゴマーが形成される脱架橋が起こる。この反応を以下に図に示した(Rはポリマーバックボーンまたはオリゴマーコア、R’はビニルエーテル架橋の残渣を表す)。
この脱架橋が起こった後、本発明のコーティングは湿式現像性となることがわかる。すなわち、露光され、硬化した組成物は、例えば水酸化テトラメチルアンモニウムおよびKOH現像液のような慣用的な水溶性現像液で十分に(そして好ましくは完全に)取り除くことができる。このような現像液にはPD523AD(JSR Microから入手)、MF−319(Shipley、Massachuettsから入手)およびMMD3(TOK、Japanから入手)という名前で商品化されているものもある。本発明の皮膜は、水酸化テトラメチルアンモニウムおよび/またはKOH現像液のような基礎現像液(base developer)によって、約95%以上、好ましくは99%以上、さらに好ましくは100%が除去される。露光後、商業的に入手可能な現像液への高い溶解率は、製造工程を短縮し、コストを下げるという先行技術に対する重要な利点である。
好ましい態様の詳細な記述
以下の例は、本発明による好ましい方法について述べる。しかし、これらの例は実例を示すためのものであり、その内容のいかなるものも発明全体の適用範囲を制約するものではない。
材料と方法
1. 四官能ビニルエーテル架橋剤の所内(in house)製造
反応は、250ml、三つ首、丸底フラスコ中で、窒素条件下で行われた。鉱油を除去するため、使用前にNa片がヘキサンで洗浄され、秤量のためガラス瓶にすばやく入れられ、その後50mlTHFの入ったフラスコに移された。THF(20ml)中のアルコール溶液が(約15分間)滴下漏斗によって滴下され、その後、すべてのNaが溶解するまで(約30分間)加熱還流した。溶液は、明るい黄色で均一だった。THF(15ml)に溶解したテトラブロモジュレンは、(約30分間)反応フラスコ中に滴下され加えられ、一晩、還流された。添加する際、混合液は不均一となった(NaBr沈殿)。
冷却後、塩はろ過されTHFで洗浄された。THFは、回転エバポレータで除去され、残留オイルはCHCl(25ml)に再溶解された。クロロホルム溶液は、水(2x25ml)、続いて食塩水(飽和NaCl、25ml)で洗浄された。有機層は、シリカゲルの層を通過させることによって乾燥された。溶媒が除去された。さらに乾燥するために生成物は真空下に放置された。
2. 3置換ビニルエーテル架橋剤の所内(in house)製造
エチレングリコールビニルエーテル(6グラム)およびトリエチルアミン(7.5ml)がエーテル(40ml)中で混合され、トリメシン酸クロリド(6グラム)のエーテル溶液(40%)を滴下した。添加後、混合液は1.5時間、加熱還流した。残留塩は、ろ過によって除去され、エーテル溶液は10%NaOH(2x25ml)、水で洗浄され(25ml)、そして無水硫酸マグネシウムで乾燥された。減圧下、溶媒を除去後、明るい黄色のオイルが回収された(収量69%)。
例1
酸反応性の基のないポリマー組成物
メタクリロイルオキシエチルフタラート(28.9mol、アルドリッチより入手)および2、2’‐アゾビスイソブチロニトリル(“AIBN”、0.58mmolラジカル開始剤、アルドリッチより入手)が窒素雰囲気下、50mlテトラヒドロフラン中で混合され(“THF”、アルドリッチより入手)、15時間加熱還流された。反応系は冷却され、約25mlに濃縮され、その後、200mlヘキサン中に沈殿された。ろ過、乾燥後、約8gの残留白色粉末が回収された。ホモポリマー分子量(“Mw”)がポリスチレン標準およびゲルパーミエーションクロマトグラフィー(“GPC”)を用いて測定され、68,400と決定された。
193nmボトム反射防止膜が次のように調整された:乳酸エチル(“EL”、ジェネラルケミカルより入手)、上記のように調整されたポリマー、28重量%Vectomer5015(ビニルエーテル架橋剤、アルドリッチより入手)、および4重量%トリフェニルスルホニウムノナフレート(PAG、アルドリッチより入手)を含む3%固形分の製剤が調整され、0.1ミクロン終点のフィルターを通してろ過された。架橋剤およびPAGの量は、ポリマーの質量を基準とした。
上記製剤は、シリコン基盤上で1,500rpmでスピンコーティングされ、160℃で焼かれた。レジスト溶媒への耐性を決定するために膜はELで洗浄され、2秒間露光され、露光後焼成(post‐exposure bake)(“PEB”)で130℃に加熱され、脱架橋し基底反射防止膜を除去するために、60秒間現像液(水酸化テトラメチルアンモニウム、すなわち“TMAH”、PD523ADの名称で販売される、JSRミクロより入手)に浸された。以下の表1は、基底反射防止膜が良好な溶媒耐性を有し、露光後はアルカリ性現像液によって除去されることを示す。本例は、酸反応性基を有するポリマーが、架橋/脱架橋の工程に対して不必要であることを示す。
例2
発色団、酸、および溶解性増加剤(Dissolution Enhancer)含有基底反射防止膜
メタクリル酸(“MAA”、31.2mmol、アルドリッチより入手)、tert‐ブチルメタクリレート(“tBMA”、26.0mmol、アルドリッチより入手)、9‐アントラセンメチルメタクリレート(“9‐AMMA”、14.5mmol、St‐Jean Photochemicals Inc.より入手)、およびAIBN(1.4mmol)が窒素雰囲気下で、60mlTHF中に混合され、19時間加熱還流された。反応系は冷却され、約35mlに濃縮され、それから150mlヘキサン中へ沈殿された。ろ過、乾燥後、10gの明るい黄色の粉末が回収された。ポリスチレン標準およびGPCを用いて、ポリマーMwが測定され、23,800と決定された。
ポリマーを含有する3%固体製剤、PGME(ジェネラルケミカルより入手)、PGMEA(ジェネラルケミカルより入手)、上記所内で調整された10%四官能性ビニルエーテル架橋剤および4%トリフェニルスルホニウムトリフラート(aPAG アルドリッチより入手)が調整され、0.1ミクロン終点のフィルターを通してろ過された。架橋剤およびPAGの含量はポリマー重量を基準とした。上記の製剤は、シリコン基盤上に1,500rpmでスピンコーティングし、その後160℃で焼成した。248nmにおける光学定数は、可変角分光エリプソメーター(“VASE”)を用いて測定され、k=0.42およびn=1.4589と決定された。膜は、レジスト溶媒に対する耐性を試験するためにELで洗浄(rinse)された。洗浄およびスピンドライサイクル後、膜厚における変化はなかった。硬化された膜は、0.26N TMAH溶液に浸漬され、膜厚は変化しなかった。しかし、膜が水銀‐キセノンランプからの光に2秒間露光され、その後130℃で90秒間の露光後焼成された後は、膜は現像液に可溶となった。
例3
ポリマー組成物による光学特性の制御
いくつかのポリマーは、溶解特性を保持しながら基底反射防止膜の光学特性の制御を例示するために、例2の工程およびさまざまな量の発色団(9‐AMMA)を使って調整された。PGME,PGMEA、上記所内で製造された10%四官能性ビニルエーテル架橋剤、および4%トリフェニルスルホニウムトリフラートPAGを含有する3%固体調剤が調整され、0.1ミクロン終点のフィルターを通してろ過された。
表2は、ポリマーにおける発色団の搭載が増加することによって、光学濃度、および基盤反射率が制御されることを示す。
例4
フェノール性ポリマーでの比較例
比較例は、フェノール樹脂とのビニルエーテル架橋がフォトレジスト溶媒によるストリッピングを防ぐために十分な架橋度を提供しないことを例証するために行われた。
この過程において、0.5gのポリヒドロキシスチレン(“PHS”、デュポンより入手)、0.02gのトリアジンPAG(TAZ107、ミドリカガクカンパニーより入手)、8.5gのEL、および所内製造されたさまざまな量のトリスカルボキシフェニルトリビニルエーテルが混合され、0.1ミクロン終点のフィルターを通してろ過された。二つの追加の調剤も調整され、ここには9‐アントラセンカルボン酸(“9‐ACA”、発色団 アルドリッチより入手)が248‐nmリソグラフィーのための基底反射防止膜を形成するために添加された。膜は、シリコン基盤上にスピンコーティングされ、その後、205℃までのさまざまな温度で焼成された。表3は、得られた結果を示す。全ての場合で、ELで洗浄されたときに、基底反射防止膜が完全にストリッピングされた。

Claims (46)

  1. マイクロ電子デバイスを形成するのに有効な組成物において、前記組成物は:
    ポリマー、オリゴマー、およびそれらの混合物からなる群から選択される化合物を含み、前記化合物は、フェノール性基以外の酸性基を含み;
    ビニルエーテル架橋剤を含み;並びに
    溶媒系を含み、前記化合物および架橋剤は前記溶媒系に溶解、または分散しており、
    前記組成物は湿式現像性であることを特徴とする組成物。
  2. 前記化合物が酸発生剤を含む請求項1記載の組成物。
  3. 前記酸発生剤が、光酸発生剤である請求項2に記載の組成物。
  4. 前記組成物が、酸反応性でない請求項1記載の組成物。
  5. 前記酸性基が、保護基を持たない請求項1記載の組成物。
  6. 前記化合物が、保護された酸性基および保護されていない酸性基を含み、保護された酸性基と保護されていない酸性基とのモル比が、約1:3から約3:1である請求項1記載の組成物。
  7. 前記組成物が、さらに発色団を含む請求項1記載の組成物。
  8. 前記発色団が、前記化合物に結合している請求項7記載の組成物。
  9. 前記発色団が、化合物の総重量を100重量%として、約5−50重量%のレベルで存在する請求項7記載の組成物。
  10. 前記ビニルエーテル架橋剤が、式R-(X-O-CH=CH2)nをもち、そこではRはアリールおよびアルキルからなる群から選択され、それぞれXはアルキル、アルコキシ、カルボキシ、および二つかそれ以上のそれらの組合せからなる群から個別に選択され、nが2−6である請求項1記載の組成物。
  11. 前記ビニルエーテル架橋剤が、エチレングリコールビニルエーテル、トリメチロールプロパントリビニルエーテル、1,4‐シクロヘキサンジメタノールジビニルエーテル、
    およびそれらの混合物からなる群から選択される請求項10記載の組成物。
  12. 前記酸性基が、カルボン酸である請求項1記載の組成物。
  13. 前記ポリマーが、脂肪族ポリマー、アクリル酸ポリエステル、メタクリル酸ポリエステル、ポリカーボネート、ノボラック、ポリアミド酸、およびそれらの混合物からなる群から選択される請求項1記載の組成物。
  14. マイクロエレクトロニクス構造を形成する方法において、前記方法が:
    表面を有する基盤を用意し;
    前記表面に組成物を塗布し、前記組成物がポリマー、オリゴマー、およびそれらの混合物からなる群から選択される化合物を含み、前記化合物がフェノール性基以外の酸性基、ビニルエーテル架橋剤、および溶媒系を含み、前記化合物および架橋剤は、前記溶媒系に溶解または分散し;
    前記組成物中の化合物を架橋し;
    前記組成物の露光部を生成するために前記組成物を露光し;並びに
    前記表面から前記露光部を取り除くために前記組成物を現像液に浸漬する工程を含む方法。
  15. 前記架橋する工程が、前記化合物を熱架橋することを含む請求項14記載の方法。
  16. 前記架橋する工程が、フォトレジスト溶媒に実質的に溶解しない組成物の層を生成する請求項14記載の方法。
  17. 前記架橋する工程が、以下の式を有する連鎖(linkages)を含む架橋化合物を生成する請求項16記載の方法。
  18. 前記露光工程が、フォトレジスト現像液に実質的に溶解する組成物の層を生成する請求項14記載の方法。
  19. 前記露光工程が以下の式を有する連鎖の結合()を切断する結果となる請求項17記載の方法。
  20. 前記基盤がマイクロエレクトロニクス基盤である請求項14記載の方法。
  21. 前記基盤がシリコン、アルミニウム、タングステン、ケイ化タングステン、ヒ化ガリウム、ゲルマニウム、タンタル、亜硝酸タンタル、SiGe、イオン注入層、低誘電率(low-k)誘電層、および誘電層からなる群から選択される請求項20記載の方法。
  22. 前記基盤がさらに、空孔(hole)を画する構造を含み、前記構造がサイドウォール(sidewalls)およびボトムウォール(bottom wall)を有し;並びに
    前記塗布工程が、前記空孔サイドウォールおよびボトムウォールの少なくとも一部に組成物を塗布することを含む請求項14記載の方法。
  23. 前記基盤がイオン注入層を含み、前記塗布工程が前記イオン注入層に隣接する前記組成物の層を形成することを含む請求項14記載の方法。
  24. さらに、前記露光工程に先行してフォトレジスト層を塗布する工程を含む、請求項14記載の方法。
  25. マイクロエレクトロニクス構造を形成する方法において、前記方法が:
    表面を有する基盤を用意し;
    前記表面に組成物を塗布し、前記組成物が溶媒系に溶解、または分散した化合物を含み、前記化合物がポリマー、オリゴマー、およびそれらの混合物からなる群から選択され、前記化合物がカルボン酸基を含み;
    前記組成物中の化合物を架橋し;並びに
    前記化合物を脱架橋するように前記組成物を露光する工程を含む方法。
  26. 前記架橋工程が、前記化合物を熱的に架橋することを含む請求項25記載の方法。
  27. 前記架橋工程が、フォトレジスト溶媒に実質的に不溶である組成物の層を生成する請求項25記載の方法。
  28. 前記架橋工程が、以下の式を有する連鎖を含む架橋化合物を生成する請求項25記載の方法。
  29. 前記露光工程が、フォトレジスト現像液に実質的に溶解する組成物の層を生成する請求項25記載の方法。
  30. 前記露光工程が、以下の式を有する連鎖の結合()の切断をもたらす請求項28記載の方法。
  31. 前記基盤がマイクロエレクトロニクス基盤である請求項25記載の方法。
  32. 前記基盤が、シリコン、アルミニウム、タングステン、ケイ化タングステン、ヒ化ガリウム、ゲルマニウム、タンタル、亜硝酸タンタル、SiGe、イオン注入層、低誘電率(low-k)誘電層、および誘電層からなる群から選択される請求項31記載の方法。
  33. さらに、前記基盤が空孔を画する構造を含み、前記構造がサイドウォールおよびボトムウォールを含み;並びに
    前記塗布工程が組成物を前記空孔サイドウォールおよびボトムウォールの少なくとも一部に塗布することを含む請求項25記載の方法。
  34. 前記基盤がイオン注入層を含み、および前記塗布工程が前記イオン注入層に隣接する前記組成物の層を形成することを含む請求項25記載の方法。
  35. さらに、前記露光工程に先行してフォトレジスト層を塗布する工程を含む請求項25記載の方法。
  36. 基盤;および
    前記基盤に隣接した層の組み合わせであって、前記層が、以下の式を有する連鎖を含む架橋された化合物を含むことを特徴とする、組合せ。
  37. 前記基盤がマイクロエレクトロニクス基盤である請求項36記載の組合せ。
  38. 前記基盤が、シリコン、アルミニウム、タングステン、ケイ化タングステン、ヒ化ガリウム、ゲルマニウム、タンタル、亜硝酸タンタル、SiGe、イオン注入層、低誘電率(low-k)誘電層、および誘電層からなる群から選択される請求項37記載の組合せ。
  39. 前記層が実質的にフォトレジスト溶媒に不溶である請求項36記載の組合せ。
  40. さらに、前記層に隣接したフォトレジストを含む請求項36記載の組合せ。
  41. 基盤;および
    前記基盤に隣接した層の組み合わせであって、前記層がポリマー、オリゴマー、およびそれらの混合体からなる群から選択される化合物を含み、前記化合物が酸性基、アルコールおよびアセチルアルデヒドを含む層であることを特徴とする、組合せ。
  42. 前記基盤がマイクロエレクトロニクス基盤である請求項41記載の組合せ。
  43. 前記基盤が、シリコン、アルミニウム、タングステン、ケイ化タングステン、ヒ化ガリウム、ゲルマニウム、タンタル、亜硝酸タンタル、SiGe、イオン注入層、低誘電率(low-k)誘電層、および誘電層からなる群から選択される請求項42記載の組合せ。
  44. 前記層が実質的にフォトレジスト現像液に溶解する請求項41記載の組合せ。
  45. さらに、前記層に隣接したフォトレジストを含む請求項41記載の組合せ。
  46. 以下の式を有する化合物。
JP2012106641A 2004-04-29 2012-05-08 ビニルエーテル架橋剤を用いた反射防止膜 Active JP5511887B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US56632904P 2004-04-29 2004-04-29
US60/566,329 2004-04-29
US11/105,862 US20050255410A1 (en) 2004-04-29 2005-04-14 Anti-reflective coatings using vinyl ether crosslinkers
US11/105,862 2005-04-14

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007510782A Division JP5972510B2 (ja) 2004-04-29 2005-04-15 ビニルエーテル架橋剤を用いた反射防止膜

Publications (3)

Publication Number Publication Date
JP2012188671A true JP2012188671A (ja) 2012-10-04
JP2012188671A5 JP2012188671A5 (ja) 2013-10-17
JP5511887B2 JP5511887B2 (ja) 2014-06-04

Family

ID=35309829

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007510782A Active JP5972510B2 (ja) 2004-04-29 2005-04-15 ビニルエーテル架橋剤を用いた反射防止膜
JP2012106641A Active JP5511887B2 (ja) 2004-04-29 2012-05-08 ビニルエーテル架橋剤を用いた反射防止膜

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2007510782A Active JP5972510B2 (ja) 2004-04-29 2005-04-15 ビニルエーテル架橋剤を用いた反射防止膜

Country Status (7)

Country Link
US (4) US20050255410A1 (ja)
EP (1) EP1743219B1 (ja)
JP (2) JP5972510B2 (ja)
KR (2) KR101308281B1 (ja)
CN (2) CN1981240B (ja)
TW (1) TWI387612B (ja)
WO (1) WO2005111719A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019065476A1 (ja) * 2017-09-29 2019-04-04 富士フイルム株式会社 着色感光性組成物および光学フィルタの製造方法

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
WO2005111724A1 (ja) * 2004-05-14 2005-11-24 Nissan Chemical Industries, Ltd. ビニルエーテル化合物を含む反射防止膜形成組成物
US7816071B2 (en) * 2005-02-10 2010-10-19 Az Electronic Materials Usa Corp. Process of imaging a photoresist with multiple antireflective coatings
KR100662542B1 (ko) * 2005-06-17 2006-12-28 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용하여 기판 상에패턴화된 재료 형상을 형성시키는 방법
KR100703007B1 (ko) * 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US20070117041A1 (en) * 2005-11-22 2007-05-24 Christoph Noelscher Photosensitive coating for enhancing a contrast of a photolithographic exposure
US20070298176A1 (en) * 2006-06-26 2007-12-27 Dipietro Richard Anthony Aromatic vinyl ether based reverse-tone step and flash imprint lithography
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
EP2095189B1 (en) 2006-12-13 2013-07-10 Nissan Chemical Industries, Ltd. Composition for forming resist foundation film containing low molecular weight dissolution accelerator
US20090098490A1 (en) * 2007-10-16 2009-04-16 Victor Pham Radiation-Sensitive, Wet Developable Bottom Antireflective Coating Compositions and Their Applications in Semiconductor Manufacturing
US8088548B2 (en) * 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
US8206893B2 (en) * 2007-10-30 2012-06-26 Brewer Science Inc. Photoimageable branched polymer
US7976894B1 (en) * 2007-11-13 2011-07-12 Brewer Science Inc. Materials with thermally reversible curing mechanism
KR101647158B1 (ko) 2008-01-29 2016-08-09 브레우어 사이언스 인코포레이션 다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정
KR101697789B1 (ko) * 2008-02-22 2017-01-18 브레우어 사이언스 인코포레이션 감광성이며 현상액-용해성인 193-nm 리소그래피를 위한 이중층 바닥 반사-방지 코팅을 사용하여 마이크로일렉트로닉 구조물을 제작하는 방법
JP5739325B2 (ja) * 2008-04-23 2015-06-24 ブルーワー サイエンス アイ エヌシー. マイクロリソグラフィー用の感光性ハードマスク
US8257910B1 (en) * 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
JP5218762B2 (ja) * 2008-12-12 2013-06-26 日産化学工業株式会社 レジストパターンの形成方法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
CN102395925B (zh) * 2009-02-19 2015-06-03 布鲁尔科技公司 可溶于显影剂的酸敏性底部减反射涂料
US8632948B2 (en) * 2009-09-30 2014-01-21 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
US20110086312A1 (en) * 2009-10-09 2011-04-14 Dammel Ralph R Positive-Working Photoimageable Bottom Antireflective Coating
WO2011074433A1 (ja) * 2009-12-16 2011-06-23 日産化学工業株式会社 感光性レジスト下層膜形成組成物
US20120288795A1 (en) * 2010-01-18 2012-11-15 Nissan Chemical Industries, Ltd. Composition for formation of photosensitive resist underlayer film and method for formation of resist pattern
KR20110112641A (ko) * 2010-04-07 2011-10-13 한국과학기술연구원 광활성 그룹을 측쇄로 가지는 사다리 구조의 폴리실세스퀴옥산 및 이의 제조방법
US8685615B2 (en) 2010-06-17 2014-04-01 Nissan Chemical Industries, Ltd. Photosensitive resist underlayer film forming composition
US8877430B2 (en) 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
JP5820676B2 (ja) * 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
JP6035017B2 (ja) 2010-10-04 2016-11-30 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
US9960038B2 (en) 2010-12-27 2018-05-01 Brewer Science, Inc. Processes to pattern small features for advanced patterning needs
WO2013163100A1 (en) * 2012-04-23 2013-10-31 Brewer Science Inc. Photosensitive, developer-soluble bottom anti-reflective coating material
US9348228B2 (en) * 2013-01-03 2016-05-24 Globalfoundries Inc. Acid-strippable silicon-containing antireflective coating
JP6119669B2 (ja) 2013-06-11 2017-04-26 信越化学工業株式会社 下層膜材料及びパターン形成方法
JP6119668B2 (ja) 2013-06-11 2017-04-26 信越化学工業株式会社 下層膜材料及びパターン形成方法
JP6119667B2 (ja) 2013-06-11 2017-04-26 信越化学工業株式会社 下層膜材料及びパターン形成方法
JP6135600B2 (ja) 2013-06-11 2017-05-31 信越化学工業株式会社 下層膜材料及びパターン形成方法
KR102285555B1 (ko) * 2018-06-12 2021-08-03 주식회사 엘지화학 코팅 조성물 및 이를 이용한 마이크로 전자 소자 제조용 포지티브형 패턴의 제조방법
US11656550B2 (en) 2020-09-01 2023-05-23 Tokyo Electron Limited Controlling semiconductor film thickness

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06295064A (ja) * 1993-04-09 1994-10-21 Kansai Paint Co Ltd 感光性組成物及びパターンの製造方法

Family Cites Families (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4175175A (en) 1963-07-16 1979-11-20 Union Carbide Corporation Polyarylene polyethers
USB392136I5 (ja) * 1964-08-26
US3561962A (en) * 1966-09-01 1971-02-09 Xerox Corp Method of image reproduction by photo-polymerization and blushing
US3629036A (en) * 1969-02-14 1971-12-21 Shipley Co The method coating of photoresist on circuit boards
JPS48891Y1 (ja) 1969-03-10 1973-01-11
US3682641A (en) * 1970-03-23 1972-08-08 Du Pont Photoresist developer extender baths containing polyoxyalkylene ethers and esters and process of use
US3615615A (en) 1970-04-13 1971-10-26 Eastman Kodak Co Photographic emulsions including reactive quaternary salts
US3833374A (en) * 1970-07-14 1974-09-03 Metalphoto Corp Coloring of anodized aluminum
US3894163A (en) * 1971-03-08 1975-07-08 Western Electric Co Additives to negative photoresists which increase the sensitivity thereof
US3856751A (en) 1972-06-14 1974-12-24 Eastman Kodak Co Diacid-xanthylium ion polyester and photographic element comprised thereof
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3976524A (en) * 1974-06-17 1976-08-24 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
CA1077787A (en) * 1975-11-21 1980-05-20 National Aeronautics And Space Administration Abrasion resistant coatings for plastic surfaces
DE2861696D1 (en) * 1977-09-07 1982-04-29 Ici Plc Thermoplastic aromatic polyetherketones, a method for their preparation and their application as electrical insulants
JPS5471579A (en) * 1977-11-17 1979-06-08 Matsushita Electric Ind Co Ltd Electron beam resist
US4244799A (en) * 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US4369090A (en) * 1980-11-06 1983-01-18 Texas Instruments Incorporated Process for etching sloped vias in polyimide insulators
US4430419A (en) * 1981-01-22 1984-02-07 Nippon Telegraph & Telephone Public Corporation Positive resist and method for manufacturing a pattern thereof
US4397722A (en) * 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
EP0098922A3 (en) * 1982-07-13 1986-02-12 International Business Machines Corporation Process for selectively generating positive and negative resist patterns from a single exposure pattern
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4526856A (en) * 1983-05-23 1985-07-02 Allied Corporation Low striation positive diazoketone resist composition with cyclic ketone(s) and aliphatic alcohol as solvents
US4996247A (en) * 1984-02-10 1991-02-26 General Electric Company Enhancing color stability to sterilizing radiation of polymer compositions
JPS60262150A (ja) * 1984-06-11 1985-12-25 Nippon Telegr & Teleph Corp <Ntt> 三層レジスト用中間層材料及びそれを用いた三層レジストパタン形成方法
DE3425063A1 (de) * 1984-07-07 1986-02-06 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Maske fuer die roentgenlithographie
US4578328A (en) * 1984-07-09 1986-03-25 General Electric Company Photopatternable polyimide compositions and method for making
US4683024A (en) * 1985-02-04 1987-07-28 American Telephone And Telegraph Company, At&T Bell Laboratories Device fabrication method using spin-on glass resins
US4732841A (en) * 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4742152A (en) * 1986-05-27 1988-05-03 United Technologies Corporation High temperature fluorinated polyimides
US5091047A (en) * 1986-09-11 1992-02-25 National Semiconductor Corp. Plasma etching using a bilayer mask
US4808513A (en) * 1987-04-06 1989-02-28 Morton Thiokol, Inc. Method of developing a high contrast, positive photoresist using a developer containing alkanolamine
US4927736A (en) * 1987-07-21 1990-05-22 Hoechst Celanese Corporation Hydroxy polyimides and high temperature positive photoresists therefrom
JP2557898B2 (ja) * 1987-07-31 1996-11-27 株式会社東芝 半導体装置
US5137780A (en) * 1987-10-16 1992-08-11 The Curators Of The University Of Missouri Article having a composite insulative coating
US4803147A (en) * 1987-11-24 1989-02-07 Hoechst Celanese Corporation Photosensitive polyimide polymer compositions
US4845265A (en) * 1988-02-29 1989-07-04 Allied-Signal Inc. Polyfunctional vinyl ether terminated ester oligomers
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5304626A (en) * 1988-06-28 1994-04-19 Amoco Corporation Polyimide copolymers containing 3,3',4,4'-tetracarboxybiphenyl dianhydride (BPDA) moieties
JPH0260934A (ja) * 1988-06-28 1990-03-01 Amoco Corp 中間層絶縁体および基板被膜用の低誘電率、低水分吸収ポリイミドおよびコポリイミド
DE3835737A1 (de) * 1988-10-20 1990-04-26 Ciba Geigy Ag Positiv-fotoresists mit erhoehter thermischer stabilitaet
US5024922A (en) 1988-11-07 1991-06-18 Moss Mary G Positive working polyamic acid/imide and diazoquinone photoresist with high temperature pre-bake
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5057399A (en) 1989-03-31 1991-10-15 Tony Flaim Method for making polyimide microlithographic compositions soluble in alkaline media
US5198153A (en) * 1989-05-26 1993-03-30 International Business Machines Corporation Electrically conductive polymeric
US5246782A (en) * 1990-12-10 1993-09-21 The Dow Chemical Company Laminates of polymers having perfluorocyclobutane rings and polymers containing perfluorocyclobutane rings
KR950011927B1 (ko) 1989-12-07 1995-10-12 가부시끼가이샤 도시바 감광성 조성물 및 수지봉지형 반도체장치
US5126231A (en) * 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
JPH0414212A (ja) * 1990-05-02 1992-01-20 Dainippon Printing Co Ltd レジストパターン形成方法
US5066566A (en) * 1990-07-31 1991-11-19 At&T Bell Laboratories Resist materials
JP3041972B2 (ja) * 1991-01-10 2000-05-15 富士通株式会社 半導体装置の製造方法
EP0536690B1 (en) * 1991-10-07 1998-09-09 Fuji Photo Film Co., Ltd. Light-sensitive composition
JP3014065B2 (ja) * 1991-10-29 2000-02-28 日本ビクター株式会社 光ディスク,ガラスマスタ,ガラススタンパ,ガラス基板,それらの製造方法
EP0718696B1 (en) 1992-07-22 2002-01-16 Asahi Kasei Kabushiki Kaisha Photosensitive polyimide precursor composition
US5370969A (en) 1992-07-28 1994-12-06 Sharp Kabushiki Kaisha Trilayer lithographic process
US5362608A (en) 1992-08-24 1994-11-08 Brewer Science, Inc. Microlithographic substrate cleaning and compositions therefor
JPH06230574A (ja) * 1993-02-05 1994-08-19 Fuji Photo Film Co Ltd ポジ型感光性組成物
US5443941A (en) * 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
US5397684A (en) * 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
JPH07183194A (ja) * 1993-12-24 1995-07-21 Sony Corp 多層レジストパターン形成方法
US5691101A (en) 1994-03-15 1997-11-25 Kabushiki Kaisha Toshiba Photosensitive composition
SG54108A1 (en) 1994-03-31 1998-11-16 Catalysts & Chem Ind Co Coating solution for formation of coating and use thereof
JP3033443B2 (ja) * 1994-06-29 2000-04-17 信越化学工業株式会社 反射防止膜材料
US5607824A (en) * 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JP3325715B2 (ja) 1994-08-24 2002-09-17 ホーヤ株式会社 反射防止性を有する光学部材の製造方法
JPH08110638A (ja) 1994-10-13 1996-04-30 Hitachi Chem Co Ltd 感光性樹脂組成物およびレジスト像の製造法
US5688987A (en) 1994-11-09 1997-11-18 Brewer Science, Inc. Non-subliming Mid-UV dyes and ultra-thin organic arcs having differential solubility
US5554473A (en) * 1994-11-23 1996-09-10 Mitsubishi Chemical America, Inc. Photoreceptor having charge transport layers containing a copolycarbonate and layer containing same
US5542971A (en) * 1994-12-01 1996-08-06 Pitney Bowes Bar codes using luminescent invisible inks
US5545588A (en) * 1995-05-05 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of using disposable hard mask for gate critical dimension control
KR100441551B1 (ko) * 1995-07-12 2004-11-03 미쓰비시 엔지니어링-플라스틱스 코포레이션 폴리카르보네이트수지조성물
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
KR100223329B1 (ko) * 1995-12-29 1999-10-15 김영환 반도체 소자의 미세 패턴 제조방법
EP0824719B1 (en) * 1996-03-06 2001-12-05 Clariant Finance (BVI) Limited A process for obtaining a lift-off imaging profile
US5633210A (en) * 1996-04-29 1997-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming damage free patterned layers adjoining the edges of high step height apertures
US5807790A (en) * 1996-05-07 1998-09-15 Advanced Micro Devices, Inc. Selective i-line BARL etch process
US5861231A (en) * 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US5739254A (en) * 1996-08-29 1998-04-14 Xerox Corporation Process for haloalkylation of high performance polymers
JP3135508B2 (ja) * 1996-10-22 2001-02-19 キヤノン株式会社 パターン形成方法およびデバイス生産方法
JPH10149531A (ja) 1996-11-15 1998-06-02 Sony Corp 磁気記録媒体及びその製造方法
US5952448A (en) * 1996-12-31 1999-09-14 Korea Research Institute Of Chemical Technology Stable precursor of polyimide and a process for preparing the same
TW432257B (en) * 1997-01-31 2001-05-01 Shinetsu Chemical Co High molecular weight silicone compound, chemically amplified positive resist composition and patterning method
US6232386B1 (en) * 1997-02-26 2001-05-15 Integument Technologies, Inc. Polymer composites having an oxyhalo surface and methods for making same
WO1998039496A1 (en) 1997-03-07 1998-09-11 Corning Incorporated Method of making titania-doped fused silica
JPH10307394A (ja) 1997-05-09 1998-11-17 Hitachi Ltd ポジ型感光性樹脂組成物とそれを用いたパターン形成方法並びに電子装置の製法
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6054254A (en) * 1997-07-03 2000-04-25 Kabushiki Kaisha Toshiba Composition for underlying film and method of forming a pattern using the film
JP3473887B2 (ja) * 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
TW468091B (en) * 1997-09-05 2001-12-11 Kansai Paint Co Ltd Visible light-sensitive compositions and pattern formation process
KR100566042B1 (ko) * 1997-10-07 2006-05-25 간사이 페인트 가부시키가이샤 포지티브형전착포토레지스트조성물및패턴의제조방법
US6218292B1 (en) * 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6338936B1 (en) * 1998-02-02 2002-01-15 Taiyo Ink Manufacturing Co., Ltd. Photosensitive resin composition and method for formation of resist pattern by use thereof
US5998569A (en) 1998-03-17 1999-12-07 International Business Machines Corporation Environmentally stable optical filter materials
US6156665A (en) 1998-04-13 2000-12-05 Lucent Technologies Inc. Trilayer lift-off process for semiconductor device metallization
US6451498B1 (en) * 1998-05-28 2002-09-17 Atotech Deutschland Gmbh Photosensitive composition
JP3673399B2 (ja) 1998-06-03 2005-07-20 クラリアント インターナショナル リミテッド 反射防止コーティング用組成物
US6063547A (en) * 1998-06-11 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Physical vapor deposition poly-p-phenylene sulfide film as a bottom anti-reflective coating on polysilicon
US6121098A (en) * 1998-06-30 2000-09-19 Infineon Technologies North America Corporation Semiconductor manufacturing method
US6976904B2 (en) 1998-07-09 2005-12-20 Li Family Holdings, Ltd. Chemical mechanical polishing slurry
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6071662A (en) * 1998-07-23 2000-06-06 Xerox Corporation Imaging member with improved anti-curl backing layer
TWI250379B (en) 1998-08-07 2006-03-01 Az Electronic Materials Japan Chemical amplified radiation-sensitive composition which contains onium salt and generator
US6380611B1 (en) * 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US20020102483A1 (en) * 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
JP3852889B2 (ja) * 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
US6361833B1 (en) * 1998-10-28 2002-03-26 Henkel Corporation Composition and process for treating metal surfaces
US6114085A (en) 1998-11-18 2000-09-05 Clariant Finance (Bvi) Limited Antireflective composition for a deep ultraviolet photoresist
US6165695A (en) 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
US6127070A (en) 1998-12-01 2000-10-03 Advanced Micro Devices, Inc. Thin resist with nitride hard mask for via etch application
US6162587A (en) * 1998-12-01 2000-12-19 Advanced Micro Devices Thin resist with transition metal hard mask for via etch application
US6306560B1 (en) 1998-12-02 2001-10-23 Advanced Micro Devices, Inc. Ultra-thin resist and SiON/oxide hard mask for metal etch
US6156658A (en) 1998-12-02 2000-12-05 Advanced Micro Devices, Inc. Ultra-thin resist and silicon/oxide hard mask for metal etch
US6020269A (en) * 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6171763B1 (en) * 1998-12-02 2001-01-09 Advanced Micro Devices, Inc. Ultra-thin resist and oxide/nitride hard mask for metal etch
US6200907B1 (en) * 1998-12-02 2001-03-13 Advanced Micro Devices, Inc. Ultra-thin resist and barrier metal/oxide hard mask for metal etch
US6309926B1 (en) 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
US6046112A (en) 1998-12-14 2000-04-04 Taiwan Semiconductor Manufacturing Company Chemical mechanical polishing slurry
US6207238B1 (en) * 1998-12-16 2001-03-27 Battelle Memorial Institute Plasma enhanced chemical deposition for high and/or low index of refraction polymers
US6251562B1 (en) * 1998-12-23 2001-06-26 International Business Machines Corporation Antireflective polymer and method of use
KR100363695B1 (ko) * 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6136511A (en) 1999-01-20 2000-10-24 Micron Technology, Inc. Method of patterning substrates using multilayer resist processing
US6136679A (en) 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6426125B1 (en) * 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6616692B1 (en) * 1999-04-30 2003-09-09 Advanced Medical Optics, Inc. Intraocular lens combinations
US6458509B1 (en) 1999-04-30 2002-10-01 Toagosei Co., Ltd. Resist compositions
US6110653A (en) * 1999-07-26 2000-08-29 International Business Machines Corporation Acid sensitive ARC and method of use
JP4512217B2 (ja) * 1999-08-20 2010-07-28 富士フイルム株式会社 アリールシラン化合物、発光素子材料およびそれを使用した発光素子
WO2001015211A1 (en) 1999-08-26 2001-03-01 Brewer Science Improved fill material for dual damascene processes
KR100533379B1 (ko) * 1999-09-07 2005-12-06 주식회사 하이닉스반도체 유기 난반사 방지막용 조성물과 이의 제조방법
EP1266264A1 (en) * 1999-11-30 2002-12-18 Brewer Science, Inc. Non-aromatic chromophores for use in polymer anti-reflective coatings
US20020009599A1 (en) * 2000-01-26 2002-01-24 Welch Cletus N. Photochromic polyurethane coating and articles having such a coating
TW439118B (en) * 2000-02-10 2001-06-07 Winbond Electronics Corp Multilayer thin photoresist process
AU2001233290A1 (en) * 2000-02-22 2001-09-03 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
US6461717B1 (en) * 2000-04-24 2002-10-08 Shipley Company, L.L.C. Aperture fill
JP2001338926A (ja) 2000-05-29 2001-12-07 Sony Corp 半導体装置の製造方法
JP2001344732A (ja) 2000-05-29 2001-12-14 Fujitsu Ltd 磁気記録媒体用基板及びその製造方法、並びに磁気記録媒体の評価方法
TW556047B (en) * 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
KR100917101B1 (ko) 2000-08-04 2009-09-15 도요 보세키 가부시키가이샤 플렉시블 금속적층체 및 그 제조방법
JP3948646B2 (ja) * 2000-08-31 2007-07-25 東京応化工業株式会社 ポジ型レジスト組成物及びそれを用いたレジストパターン形成方法
DE60128818T2 (de) * 2000-09-19 2008-02-07 Shipley Co., L.L.C., Marlborough Antireflexionszusammensetzung
US6455416B1 (en) * 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
JP3787271B2 (ja) * 2000-11-20 2006-06-21 東京応化工業株式会社 微細レジストホールパターン形成方法
US20030054117A1 (en) * 2001-02-02 2003-03-20 Brewer Science, Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6444582B1 (en) * 2001-02-05 2002-09-03 United Microelectronics Corp. Methods for removing silicon-oxy-nitride layer and wafer surface cleaning
WO2002066539A1 (en) 2001-02-16 2002-08-29 Dominion Energy, Inc. Poly amic acid system for polyimides
US6309955B1 (en) 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
TW594416B (en) * 2001-05-08 2004-06-21 Shipley Co Llc Photoimageable composition
TW576859B (en) * 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6680252B2 (en) * 2001-05-15 2004-01-20 United Microelectronics Corp. Method for planarizing barc layer in dual damascene process
ATE334809T1 (de) * 2001-05-29 2006-08-15 Essilor Int Verfahren zur herstellung von einem beschichteten optischen gegenstand
US6605545B2 (en) * 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
US6458705B1 (en) 2001-06-06 2002-10-01 United Microelectronics Corp. Method for forming via-first dual damascene interconnect structure
US6548387B2 (en) * 2001-07-20 2003-04-15 United Microelectronics Corporation Method for reducing hole defects in the polysilicon layer
US6624068B2 (en) * 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US6586560B1 (en) * 2001-09-18 2003-07-01 Microchem Corp. Alkaline soluble maleimide-containing polymers
EP1448669B1 (en) * 2001-09-27 2010-04-07 LG Chem Ltd. Adhesive composition comprising a polyimide copolymer and method for preparing the same
KR100465866B1 (ko) 2001-10-26 2005-01-13 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
US6916537B2 (en) * 2001-11-01 2005-07-12 Transitions Optical Inc. Articles having a photochromic polymeric coating
JP2003162065A (ja) 2001-11-26 2003-06-06 Mitsubishi Electric Corp 露光装置、露光マスク、露光方法、表示装置及び電子部品
JP3773445B2 (ja) 2001-12-19 2006-05-10 セントラル硝子株式会社 含フッ素脂環族ジアミンおよびこれを用いた重合体
US7070914B2 (en) * 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US20030215736A1 (en) 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
US7261997B2 (en) * 2002-01-17 2007-08-28 Brewer Science Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
US6846612B2 (en) * 2002-02-01 2005-01-25 Brewer Science Inc. Organic anti-reflective coating compositions for advanced microlithography
KR20030068729A (ko) * 2002-02-16 2003-08-25 삼성전자주식회사 반사 방지용 광흡수막 형성 조성물 및 이를 이용한 반도체소자의 패턴 형성 방법
US6911293B2 (en) 2002-04-11 2005-06-28 Clariant Finance (Bvi) Limited Photoresist compositions comprising acetals and ketals as solvents
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6849293B2 (en) * 2002-05-02 2005-02-01 Institute Of Microelectronics Method to minimize iso-dense contact or via gap filling variation of polymeric materials in the spin coat process
US7265431B2 (en) 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
US6740469B2 (en) * 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6872506B2 (en) * 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US6638853B1 (en) 2002-07-03 2003-10-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for avoiding photoresist resist residue on semioconductor feature sidewalls
KR20040009384A (ko) * 2002-07-23 2004-01-31 삼성전자주식회사 포토레지스트용 현상액에 용해되는 유기 바닥 반사 방지조성물과 이를 이용한 사진 식각 공정
US7108958B2 (en) 2002-07-31 2006-09-19 Brewer Science Inc. Photosensitive bottom anti-reflective coatings
US20040077173A1 (en) * 2002-10-17 2004-04-22 Swaminathan Sivakumar Using water soluble bottom anti-reflective coating
KR100487948B1 (ko) 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR100539494B1 (ko) 2003-05-02 2005-12-29 한국전자통신연구원 전기광학 및 비선형 광학 고분자로서의 곁사슬형폴리아미드 에스테르, 그것의 제조 방법 및 그것으로부터제조된 필름
ATE377036T1 (de) 2003-05-23 2007-11-15 Dow Corning Siloxan-harz basierte anti- reflektionsbeschichtung mit hoher nassätzgeschwindigkeit
US7364832B2 (en) * 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
JP4173414B2 (ja) 2003-08-28 2008-10-29 東京応化工業株式会社 反射防止膜形成用組成物およびレジストパターンの形成方法
US7074527B2 (en) 2003-09-23 2006-07-11 Freescale Semiconductor, Inc. Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
KR101189397B1 (ko) * 2003-10-15 2012-10-11 브레우어 사이언스 인코포레이션 비아-퍼스트 듀얼 다마신 적용예에서 사용되는 현상제에 용해성인 물질 및 상기 물질 사용 방법
KR20050045560A (ko) 2003-11-12 2005-05-17 삼성전자주식회사 리세스 게이트 트랜지스터의 채널형성용 이온주입 방법
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20070207406A1 (en) 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
WO2005111724A1 (ja) 2004-05-14 2005-11-24 Nissan Chemical Industries, Ltd. ビニルエーテル化合物を含む反射防止膜形成組成物
KR20060028220A (ko) 2004-09-24 2006-03-29 주식회사 하이닉스반도체 반도체장치의 제조 방법
KR20070087356A (ko) 2006-02-23 2007-08-28 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06295064A (ja) * 1993-04-09 1994-10-21 Kansai Paint Co Ltd 感光性組成物及びパターンの製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019065476A1 (ja) * 2017-09-29 2019-04-04 富士フイルム株式会社 着色感光性組成物および光学フィルタの製造方法
KR20200043453A (ko) * 2017-09-29 2020-04-27 후지필름 가부시키가이샤 착색 감광성 조성물 및 광학 필터의 제조 방법
JPWO2019065476A1 (ja) * 2017-09-29 2020-11-05 富士フイルム株式会社 着色感光性組成物および光学フィルタの製造方法
KR102374880B1 (ko) 2017-09-29 2022-03-16 후지필름 가부시키가이샤 착색 감광성 조성물 및 광학 필터의 제조 방법
JP7390189B2 (ja) 2017-09-29 2023-12-01 富士フイルム株式会社 着色感光性組成物および光学フィルタの製造方法

Also Published As

Publication number Publication date
US20050255410A1 (en) 2005-11-17
JP2007536389A (ja) 2007-12-13
CN1981240B (zh) 2012-09-26
KR101308281B1 (ko) 2013-09-13
WO2005111719A2 (en) 2005-11-24
US9110372B2 (en) 2015-08-18
EP1743219A4 (en) 2010-04-28
WO2005111719A3 (en) 2006-11-09
EP1743219B1 (en) 2015-12-09
CN1981240A (zh) 2007-06-13
TWI387612B (zh) 2013-03-01
US20120156613A1 (en) 2012-06-21
EP1743219A2 (en) 2007-01-17
CN101916051A (zh) 2010-12-15
US20090317747A1 (en) 2009-12-24
KR20120015360A (ko) 2012-02-21
CN101916051B (zh) 2014-07-23
US20070117049A1 (en) 2007-05-24
KR20070012812A (ko) 2007-01-29
JP5972510B2 (ja) 2016-08-17
US7601483B2 (en) 2009-10-13
TW200615312A (en) 2006-05-16
JP5511887B2 (ja) 2014-06-04
KR101308191B1 (ko) 2013-09-13

Similar Documents

Publication Publication Date Title
JP5972510B2 (ja) ビニルエーテル架橋剤を用いた反射防止膜
JP2010520516A (ja) ビニルエーテル架橋剤を使用した反射防止膜
KR101820263B1 (ko) 반사방지 코팅 조성물 및 이의 공정
TWI310881B (en) Antireflective film material, and antireflective film and pattern formation method using the same
KR101668505B1 (ko) 산-민감성, 현상제-용해성 바닥부 반사방지 코팅
JP5822358B2 (ja) 縮合芳香環を含む反射防止コーティング組成物
JP5421258B2 (ja) フォトリソグラフィープロセス用の非共有結合架橋性材料
JP2011520148A (ja) 反射防止コーティング組成物
KR101211325B1 (ko) 광화상형성가능한 측쇄 폴리머
JP5418906B2 (ja) 反射防止コーティング組成物
TWI491986B (zh) 硬遮罩用組成物、使用該組成物形成圖案的方法,以及包括該圖案之半導體積體電路元件
KR20190038895A (ko) 하층 반사 방지막 형성 조성물
JP6509496B2 (ja) 下層膜形成用組成物
US7976894B1 (en) Materials with thermally reversible curing mechanism
TWI436165B (zh) 可光成像分枝聚合物

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130902

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140325

R150 Certificate of patent or registration of utility model

Ref document number: 5511887

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250