KR100487948B1 - 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법 - Google Patents

이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법 Download PDF

Info

Publication number
KR100487948B1
KR100487948B1 KR10-2003-0014122A KR20030014122A KR100487948B1 KR 100487948 B1 KR100487948 B1 KR 100487948B1 KR 20030014122 A KR20030014122 A KR 20030014122A KR 100487948 B1 KR100487948 B1 KR 100487948B1
Authority
KR
South Korea
Prior art keywords
layer
film
hard mask
pattern
insulating
Prior art date
Application number
KR10-2003-0014122A
Other languages
English (en)
Other versions
KR20040079180A (ko
Inventor
하상록
김일구
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2003-0014122A priority Critical patent/KR100487948B1/ko
Priority to US10/748,900 priority patent/US6924228B2/en
Priority to JP2004060646A priority patent/JP2004274053A/ja
Publication of KR20040079180A publication Critical patent/KR20040079180A/ko
Application granted granted Critical
Publication of KR100487948B1 publication Critical patent/KR100487948B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는 방법을 제공한다. 이 방법은 반도체기판 상에 하부배선을 형성하는 것과, 상기 하부배선을 갖는 반도체기판의 전면 상에 금속층간절연막 및 하드 마스크막을 차례로 형성하는 것을 구비한다. 상기 하드 마스크막 및 상기 금속층간절연막을 연속적으로 패터닝하여 상기 하부배선을 노출시키는 비아홀을 형성한다. 상기 하드 마스크막 상에 상기 비아홀을 채우는 무기 희생막을 형성한다. 상기 무기 희생막 및 상기 하드마스크막을 패터닝하여 상기 비아홀의 상부를 가로지르는 개구부를 갖는 제1 무기 희생막 패턴 및 상기 비아홀 내에 잔존하는 제2 무기 희생막 패턴을 형성함과 동시에 상기 제1 무기 희생막 패턴의 하부에 하드마스크 패턴을 형성한다. 이어서, 상기 하드마스크 패턴을 식각 마스크로 사용하여 상기 금속층간절연막을 부분식각하여(partially etching) 트렌치를 형성한다. 상기 제2 무기 희생막 패턴을 선택적으로 제거하여 상기 하부배선을 노출시킨다.

Description

이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는 방법{Method of forming a via contact structure using a dual damascene technique}
본 발명은 반도체소자의 제조방법에 관한 것으로, 특히 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는 방법에 관한 것이다.
반도체소자의 집적도가 증가함에 따라, 다층의 금속배선들(multi-layered metal interconnection lines)을 채택하는 기술이 널리 사용되고 있다. 특히, 상기 다층의 금속배선들은 상기 반도체소자의 성능(performance)을 향상시키기 위하여 낮은 비저항(low resistivity) 및 높은 신뢰성(high reliability)을 갖는 금속막으로 형성되어야 한다. 이러한 금속막으로 구리막이 널리 사용되고 있다. 그러나, 상기 구리막을 통상의 사진/식각 공정을 사용하여 패터닝하는 것이 어렵다. 이에 따라, 최근에 상기 구리막과 같은 금속막을 패터닝하기 위한 기술로서 다마신 공정(damascene process)이 제안된 바 있다.
상기 다마신 공정은 하부 금속배선과 전기적으로 접속되는 상부 금속배선을 형성하는 데 널리 사용된다. 이 경우에, 상기 상부 금속배선은 금속 층간절연막 내에 형성되는 비아홀 및 트렌치 영역을 채운다. 상기 비아홀은 상기 하부 금속배선의 소정영역을 노출시키도록 형성되고, 상기 트렌치는 상기 비아홀의 상부를 가로지르는 라인 형태의 그루브를 갖도록 형성된다. 따라서, 상기 비아홀 및 상기 트렌치는 서로 분리된 2회의 식각 공정들을 사용하여 형성된다. 이러한 다마신 공정은 이중 다마신 공정(dual damascene process)이라 불리운다.
상기 이중 다마신 공정은 미국특허 제6,268,283호에 "이중 다마신 구조를 형성하는 방법(method for forming dual damascene structure)"라는 제목으로 후앙(Huang)에 의해 개시된 바 있다.
도 1 내지 도 4는 상기 미국특허 제6,268,283호에 개시된 이중 다마신 공정을 설명하기 위한 단면도들이다.
도 1을 참조하면, 하부배선(202)을 갖는 반도체기판 상에 제1 절연막(204)을 형성한다. 상기 제1 절연막(204) 상에 식각저지막(206), 제2 절연막(208) 및 하드 마스크막(210)을 차례로 형성한다. 상기 하드 마스크막(210)은 실리콘 산화막(SiO), 실리콘 질화막(SiN) 또는 실리콘 옥시나이트라이드막(SiON)으로 형성한다. 상기 하드 마스크막(210)은 화학기상증착 기술(chemical vapor deposition technique)을 사용하여 형성한다. 상기 하드 마스크막(210) 상에 제1 포토레지스트 패턴(212)을 형성한다. 상기 제1 포토레지스트 패턴(212)은 비아홀을 한정하기 위한 개구부를 갖는다. 상기 제1 포토레지스트 패턴(212)을 형성하는 동안 상기 제2 절연막(208)은 현상액(developer)에 의해 손상되지 않는다. 이는 상기 하드 마스크막(210)의 존재에 기인한다. 따라서, 상기 제2 절연막(208)이 상기 제1 포토레지스트 패턴(212)을 형성하는 동안 변형되는 것을 방지할 수 있다.
도 2를 참조하면, 상기 제1 포토레지스트 패턴(212)을 식각 마스크로 사용하여 상기 하드 마스크막(210), 제2 절연막(208), 식각저지막(206) 및 제1 절연막(204)을 연속적으로 식각하여 상기 하부배선(202)을 노출시키는 비아홀(214)을 형성한다.
도 3을 참조하면, 상기 제1 포토레지스트 패턴(212)을 제거한다. 이어서, 상기 하드 마스크막(210) 상에 캐핑막(216)을 형성한다. 상기 캐핑막(216)은 플라즈마 CVD 공정을 사용하여 형성한다. 일반적으로, 상기 플라즈마 CVD 공정은 빈약한 단차도포성(poor step coverage)을 보인다. 따라서, 상기 캐핑막(216)은 상기 비아홀(214)의 상부 영역(216)만을 덮는다. 결과적으로, 상기 비아홀(214)의 하부 영역(220)에 보이드가 형성된다. 상기 캐핑막(216) 상에 제2 포토레지스트 패턴(224)을 형성한다. 상기 제2 포토레지스트 패턴(224)은 상기 비아홀(214)의 상부를 가로지르는 개구부를 갖는다. 상기 제2 포토레지스트 패턴(224)을 형성하는 동안 상기 비아홀(214)의 측벽(222)은 현상액에 의해 손상되지 않는다. 이는 상기 캐핑막(216)의 존재에 기인한다. 따라서, 상기 비아홀(214)의 측벽 프로파일이 변형되는 것을 방지할 수 있다.
도 4를 참조하면, 상기 제2 포토레지스트 패턴(224)을 식각 마스크로 사용하여 상기 캐핑막(216), 하드 마스크막(210) 및 제2 절연막(208)을 연속적으로 식각하여 상기 제2 절연막(208) 내에 트렌치(226)를 형성한다. 상기 트렌치(226)를 형성하는 동안, 상기 비아홀(214)에 의해 노출된 상기 하부배선(202)은 과도식각될 수 있다. 이는 상기 비아홀(214)의 하부 영역(220)에 형성된 보이드에 기인한다. 결과적으로, 상기 하부 배선(202)의 표면에 심한 식각손상(severe etch damage)이 가해질 수 있다. 상기 심한 식각손상은 상기 하부 배선(202) 및 후속 공정에서 형성되는 상부배선 사이의 콘택불량(contact fail)을 초래할 수 있다.
상술한 바와 같이 종래의 기술에 따르면, 트렌치를 형성하는 동안 하부배선의 표면에 심한 식각손상이 가해질 수 있다. 이에 따라, 하부 배선 및 상부 배선 사이의 콘택불량이 발생할 수 있다.
본 발명이 이루고자 하는 기술적 과제는 하부배선의 표면에 가해지는 식각손상을 최소화시킬 수 있는 비아콘택 구조체의 형성방법을 제공하는 데 있다.
본 발명이 이루고자 하는 다른 기술적 과제는 트렌치의 프로파일을 개선시킬 수 있는 비아콘택 구조체의 형성방법을 제공하는 데 있다.
상기 기술적 과제들을 이루기 위하여, 본 발명은 이중 다마신 기술을 사용하는 비아콘택 구조체의 형성방법을 제공한다.
본 발명이 일 양태에 따르면, 상기 방법은 반도체기판 상에 하부배선을 형성하는 것과 상기 하부배선을 갖는 반도체기판의 전면 상에 금속층간절연막 및 하드 마스크막을 차례로 형성하는 것을 포함한다. 상기 하드 마스크막 및 상기 금속층간절연막을 연속적으로 패터닝하여 상기 하부배선을 노출시키는 비아홀을 형성한다. 상기 하드 마스크막 상에 상기 비아홀을 채우는 희생막을 형성한다. 상기 희생막 및 상기 하드 마스크막을 패터닝하여 상기 비아홀의 상부를 가로지르는 개구부를 갖는 제1 희생막 패턴 및 상기 비아홀 내에 잔존하는 제2 희생막 패턴을 형성함과 동시에 상기 제1 희생막 패턴의 하부에 하드마스크 패턴을 형성한다. 상기 하드 마스크 패턴을 식각 마스크로 사용하여 상기 금속층간절연막을 부분식각하여 트렌치를 형성한다. 상기 제2 희생막 패턴을 선택적으로 제거하여 상기 하부배선을 노출시킨다.
본 발명은 상기 금속층간절연막을 형성하기 전에 상기 하부배선을 갖는 반도체기판의 전면 상에 비아 식각저지막을 형성하는 것을 더 포함할 수 있다. 이 경우에, 상기 비아 식각저지막은 상기 제2 희생막 패턴을 제거한 후에 식각되어 상기 하부배선을 노출시킨다. 이에 따라, 상기 하부배선을 노출시키는 최종 비아홀은 상기 제2 희생막 패턴을 제거한 후에 형성된다.
상기 희생막 및 하드 마스크막은 상기 희생막 상에 형성되는 포토레지스트 패턴을 식각마스크로 사용하여 연속적으로 패터닝될 수 있다. 이 경우에, 상기 트렌치는 상기 포토레지스트 패턴을 제거하고 상기 패터닝된 하드 마스크막을 식각 마스크로 사용하여 상기 금속층간절연막을 부분식각함으로써 형성된다.
이와는 달리, 상기 희생막 및 하드 마스크막은 2회의 식각 공정들을 사용하여 패터닝될 수도 있다. 구체적으로, 상기 희생막은 상기 희생막 상에 형성되는 포토레지스트 패턴을 식각 마스크로 사용하여 패터닝되고, 상기 하드 마스크막은 상기 포토레지스트 패턴을 제거한 다음에 상기 패터닝된 희생막을 식각 마스크로 사용하여 패터닝된다.
상기 하드마스크막은 상기 금속층간절연막 및 상기 희생막에 대하여 식각선택비를 갖는 절연막 또는 도전막으로 형성할 수 있다.
이에 더하여, 본 발명은 상기 제2 희생막 패턴을 제거한 후에 상기 하부배선을 노출시키는 비아홀의 내부 및 상기 비아홀의 상부를 가로지르는 트렌치의 내부를 채우는 상부 금속배선을 형성하는 것을 더 포함할 수 있다. 상기 상부 금속배선은 상기 비아홀 및 트렌치를 갖는 반도체기판의 전면 상에 상부 금속막을 형성하는 것과 상기 상부 금속막을 평탄화시키는 것을 포함한다. 상기 하드마스크막이 도전막으로 형성되는 경우에, 상기 하드마스크 패턴은 상기 평탄화 공정 동안 또는 상기 평탄화 공정 후에 제거된다.
이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예들은 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장되어진 것이다. 또한, 층이 다른 층 또는 기판 "상"에 있다고 언급되어지는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제3의 층이 개재될 수도 있다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.
도 5 내지 도 10은 본 발명의 바람직한 실시예에 따른 비아콘택 구조체의 형성방법을 설명하기 위한 단면도들이다.
도 5를 참조하면, 반도체기판(1) 상에 층간절연막(3)을 형성한다. 상기 층간절연막(3) 내에 통상의 다마신 기술을 사용하여 하부배선(5)을 형성한다. 상기 하부배선(5)은 구리막 또는 텅스텐막과 같은 금속막으로 형성될 수 있다. 상기 하부배선(5)을 갖는 반도체기판의 전면 상에 금속층간절연막(14) 및 하드마스크막(15)을 차례로 형성한다. 상기 금속층간절연막(14)은 반도체소자의 동작속도를 향상시키기 위하여 단일 저유전체막(a single low-k dielectric layer)으로 형성하는 것이 바람직하다. 예를 들면, 상기 단일 저유전체막은 탄소, 불소 또는 수소를 함유하는 실리콘 산화막, 예컨대 SiOC막, SiOCH막, 플루오린 함유된 산화막(FSQ layer; fluoro-silses-quioxane layer), 수소 함유된 산화막(HSQ layer; hydro-silses-quioxane layer) 또는 메틸 함유된 산화막(MSQ layer; methyl-silses-quioxane layer)으로 형성할 수 있다.
이와는 달리, 상기 금속층간절연막(14)은 하부 금속층간절연막(9), 트렌치 식각저지막(11) 및 상부 금속층간절연막(13)을 차례로 적층시키어 형성할 수도 있다. 이 경우에, 상기 하부 금속층간절연막(9) 및 상부 금속층간절연막(13)은 상술한 저유전체막(the aforementioned low-k dielectric layer)으로 형성하는 것이 바람직하고, 상기 트렌치 식각저지막(11)은 상기 하부 금속층간절연막(9) 및 상기 상부 금속층간절연막(13)에 대하여 식각선택비를 갖는 절연막으로 형성하는 것이 바람직하다. 예를 들면, 상기 트렌치 식각저지막(11)은 실리콘 질화막(SiN), 실리콘 탄질화막(SiCN), 실리콘 탄화막(SiC) 또는 붕소질화막(BN)으로 형성할 수 있다.
이에 더하여, 상기 금속층간절연막(14)을 형성하기 전에 상기 하부배선(5)을 갖는 반도체기판의 전면 상에 비아 식각저지막(7)을 추가로 형성할 수도 있다. 상기 비아 식각저지막(7)은 상기 금속층간절연막(14) 또는 상기 하부 금속층간절연막(9)에 대하여 식각선택비를 갖는 절연막으로 형성하는 것이 바람직하다. 예를 들면, 상기 비아 식각저지막(7)은 실리콘 질화막(SiN), 실리콘 탄질화막(SiCN), 실리콘 탄화막(SiC) 또는 붕소질화막(BN)으로 형성할 수 있다.
또한, 상기 하드 마스크막(15)은 상기 금속층간절연막(14)에 대하여 식각선택비를 갖는 절연막 또는 도전막으로 형성하는 것이 바람직하다. 예를 들면, 상기 하드 마스크막(15)은 절연성 질화막(insulating nitride layer), 절연성 탄화막(insulating carbide layer), 금속 질화막(metal nitride layer), 금속 산화막(metal oxide layer) 또는 실리콘막으로 형성할 수 있다. 구체적으로, 상기 절연성 질화막은 실리콘 질화막(SiN), 실리콘 탄질화막(SiCN) 또는 붕소 질화막(BN)으로 형성하고, 상기 절연성 탄화막은 실리콘 탄화막(SiC)으로 형성한다. 또한, 상기 금속 질화막은 탄탈륨 질화막, 타이타늄 질화막, 텅스텐 질화막 또는 알루미늄 질화막으로 형성하고, 상기 금속 산화막은 알루미늄 산화막(Al2O3), 탄탈륨 산화막 또는 타이타늄 산화막으로 형성한다. 이에 더하여, 상기 실리콘막은 다결정 실리콘막 또는 비정질 실리콘막으로 형성한다.
상기 하드 마스크막(15) 상에 제1 포토레지스트 패턴(17)을 형성한다. 상기 제1 포토레지스트 패턴(17)을 식각 마스크로 사용하여 상기 하드마스크막(15) 및 금속층간절연막(14)을 연속적으로 식각하여 상기 하부배선(7) 상의 상기 비아 식각저지막(7)을 노출시키는 예비 비아홀(19)을 형성한다. 상기 비아 식각저지막(7)을 형성하는 공정이 생략된 경우에는, 상기 하부배선(7)을 노출시키는 비아홀이 형성된다.
도 6을 참조하면, 상기 제1 포토레지스트 패턴(17)을 제거한다. 상기 제1 포토레지스트 패턴(17)이 제거된 반도체기판의 전면 상에 희생막(21)을 형성한다. 상기 희생막(21)은 무기 절연막(inorganic material layer) 또는 유기 물질막으로 형성할 수 있다. 상기 희생막(21)은 상기 금속층간절연막(14) 및 상기 하드마스크막(15)에 대하여 각각 습식 식각선택비 및 건식 식각선택비를 갖는 무기 절연막으로 형성한다. 구체적으로, 상기 무기 절연막은 스핀 코팅 방식을 사용하여 HSQ(hydro-silses-quioxane)막으로 형성하는 것이 바람직하다. 이에 따라, 상기 예비 비아홀(19)은 상기 희생막(21)으로 완전히 채워지고, 상기 희생막(21)은 평평한 상부면(flat top surface)을 가질 수 있다.
더 나아가서, 상기 희생막(21) 상에 반사방지막(23)을 형성할 수도 있다. 상기 반사방지막(23) 상에 상기 비아홀(19)의 상부를 가로지르는 라인 형태의 트렌치 개구부(25a)를 갖는 제2 포토레지스트 패턴(25)을 형성한다.
도 7a를 참조하면, 상기 제2 포토레지스트 패턴(25)을 식각 마스크로 사용하여 상기 반사방지막(23) 및 상기 희생막(21)을 연속적으로 식각하여 상기 제2 포토레지스트 패턴(25) 하부에 차례로 적층된 제1 희생막 패턴(21a) 및 반사방지막 패턴(23a)을 형성함과 동시에 상기 비아홀(19) 내에 잔존하는 제2 희생막 패턴(21b)을 형성한다.
이와는 달리, 도 7b에 도시된 바와 같이 상기 제2 포토레지스트 패턴(25)을 식각 마스크로 사용하여 상기 반사방지막(23), 상기 희생막(21) 및 상기 하드마스크막(15)을 연속적으로 식각할 수도 있다. 그 결과, 상기 제1 희생막 패턴(21a), 상기 반사방지막 패턴(23a) 및 상기 제2 희생막 패턴(21b)에 더하여 상기 제1 희생막 패턴(21a) 하부에 하드마스크 패턴(15a)이 형성된다.
도 8을 참조하면, 도 7a 또는 도 7b에 보여진 제2 포토레지스트 패턴(25)을 제거한다. 계속해서, 도 7a에서 설명된 바와 같이 상기 제2 포토레지스트 패턴(25)을 식각 마스크로 사용하여 상기 반사방지막(23) 및 희생막(21)만을 식각하는 경우에는, 상기 희생막 패턴(21a)을 식각 마스크로 사용하여 상기 하드마스크막(15)을 식각하여 도 7b에 도시된 상기 하드마스크 패턴(15a)을 형성한다. 이어서, 상기 하드마스크 패턴(15a)을 식각 마스크로 사용하여 상기 트렌치 식각저지막(11)이 노출될 때까지 상기 상부 금속층간절연막(14)을 식각한다. 그 결과, 상기 상부 금속층간절연막(14) 내에 상기 비아홀(19)의 상부를 가로지르는 트렌치(27)가 형성된다. 이 경우에, 상기 반사방지막 패턴(23a)은 상기 제2 포토레지스트 패턴(25)을 제거하는 동안 또는 상기 트렌치(27)를 형성하는 동안 제거될 수 있고, 상기 희생막 패턴(21a)은 상기 트렌치(27)을 형성하는 동안 제거될 수 있다.
한편, 도 7b에서 설명된 바와 같이 상기 제2 포토레지스트 패턴(25)을 식각 마스크로 사용하여 상기 반사방지막(23), 희생막(21) 및 하드마스크막(15)을 연속적으로 식각하는 경우에는, 상기 하드마스크 패턴(15a)을 형성하기 위한 추가 식각공정(an addtional etching process)이 요구되지 않는다.
상기 금속층간절연막(14)이 도 5에서 언급된 바와 같이 상기 단일 저유전체막으로 형성되는 경우에, 상기 트렌치(27)는 상기 금속층간절연막(14)을 부분식각(partially etching)함으로써 형성된다. 다시 말해서, 상기 트렌치(27)는 상기 금속층간절연막(14)의 두께보다 작은 깊이를 갖도록 형성된다.
도 7b 또는 도 8에 있어서, 상기 하드마스크 패턴(15a)을 형성하기 위한 식각공정은 염소를 기본으로 하는 가스(chlorine-based gas) 또는 불소를 기본으로 하는 가스(fluorine-based gas)를 사용하여 실시하는 것이 바람직하다. 구체적으로, 상기 하드마스크막(15)이 탄탈륨 질화막, 타이타늄 질화막, 텅스텐 질화막, 알루미늄 질화막 또는 실리콘막으로 형성되는 경우에, 상기 식각 가스로는 염소(Cl2) 또는 염화붕소(BCl3)와 같은 염소 기본가스를 사용하여 실시하는 것이 바람직하다. 또한, 상기 하드마스크막(15)이 실리콘 질화막, 실리콘 탄질화막, 실리콘 탄화막 또는 붕소질화막으로 형성되는 경우에, 상기 식각 가스로는 CF4 가스, CH2F2 가스 또는 CHF3 가스와 같은 불소 기본가스를 사용하여 실시하는 것이 바람직하다.
도 9를 참조하면, 상기 예비 비아홀(19) 내의 상기 제2 희생막 패턴(21b)을 선택적으로 제거하여 상기 비아 식각저지막(7)의 소정영역을 노출시킨다. 상기 제2 희생막 패턴(21b)은 불산을 사용하는 습식 식각공정 또는 플라즈마를 사용하는 건식 식각공정에 의해 제거될 수 있다. 이어서, 상기 노출된 비아 식각저지막(7)을 식각하여 상기 하부배선(5)을 노출시키는 최종 비아홀(19a)을 형성한다. 상기 노출된 비아 식각저지막(7)을 식각하는 동안 상기 트렌치(27)에 의해 노출된 상기 트렌치 식각저지막(11) 역시 식각될 수 있다.
한편, 상기 비아 식각저지막(7)의 형성이 생략되는 경우에는, 상기 하부배선(5)의 소정영역은 상기 제2 희생막 패턴(21b)을 제거함으로써 노출된다.
도 10을 참조하면, 상기 최종 비아홀(19a)을 포함하는 반도체기판의 전면 상에 상부 금속막을 형성한다. 상기 상부 금속막은 확산장벽막 및 금속막을 차례로 적층시키어 형성할 수 있다. 상기 확산장벽막은 탄탈륨 질화막 또는 타이타늄 질화막과 같은 도전성 금속질화막으로 형성하고, 상기 금속막은 구리막 또는 텅스텐막으로 형성한다. 상기 금속막 및 확산장벽막을 평탄화시키어 상기 트렌치(27) 및 최종 비아홀(19a) 내에 상부 금속배선(32)을 형성한다. 상기 평탄화 공정은 화학기계적 연마 공정을 사용하여 실시될 수 있다. 결과적으로, 상기 상부 금속배선(32)은 차례로 적층된 확산장벽막 패턴(29) 및 금속막 패턴(31)으로 구성된다. 상기 하드마스크 패턴(15a)이 도전막으로 형성되는 경우에, 상기 하드마스크 패턴(15a)은 상기 평탄화 공정 동안 또는 상기 평탄화 공정 후에 제거된다. 그러나, 상기 하드마스크 패턴(15a)이 절연막으로 형성되는 경우에, 상기 하드마스크 패턴(15a)은 상기 평탄화 공정 후에도 잔존할 수 있다.
상술한 바와 같이 본 발명의 실시예에 따르면, 트렌치를 형성하는 동안 상기 비아홀 내에 제2 희생막 패턴이 여전히 잔존한다. 이에 따라, 상기 하부배선에 가해지는 식각손상을 최소화시킬 수 있다.
도 1 내지 도 4는 종래의 비아콘택 구조체를 형성하는 방법을 설명하기 위한 단면도들이다.
도 5 내지 도 10은 본 발명에 따른 비아콘택 구조체를 형성하는 방법을 설명하기 위한 단면도들이다.

Claims (29)

  1. 반도체기판 상에 하부배선을 형성하고,
    상기 하부배선을 갖는 반도체기판의 전면 상에 금속층간절연막 및 하드 마스크막을 차례로 형성하고,
    상기 하드 마스크막 및 상기 금속층간절연막을 연속적으로 패터닝하여 상기 하부배선을 노출시키는 비아홀을 형성하고,
    상기 하드 마스크막 상에 상기 비아홀을 채우는 희생막을 형성하되, 상기 희생막은 무기 물질막으로 형성하고,
    상기 무기 희생막 상에 포토레지스트 패턴을 형성하고,
    상기 포토레지스트 패턴을 식각 마스크로 사용하여 상기 무기 희생막 및 상기 하드마스크막을 식각하여 상기 비아홀의 상부를 가로지르는 개구부를 갖는 제1 무기 희생막 패턴 및 상기 비아홀 내에 잔존하는 제2 무기 희생막 패턴을 형성함과 동시에 상기 제1 무기 희생막 패턴의 하부에 하드마스크 패턴을 형성하고,
    상기 포토레지스트 패턴을 제거하고,
    상기 하드마스크 패턴을 식각 마스크로 사용하여 상기 금속층간절연막을 부분식각하여(partially etching) 트렌치를 형성하고,
    상기 제2 무기 희생막 패턴을 선택적으로 제거하여 상기 하부배선을 노출시키는 것을 포함하는 비아콘택 구조체 형성방법.
  2. 제 1 항에 있어서,
    상기 금속층간절연막을 형성하기 전에 상기 하부배선을 갖는 반도체기판의 전면 상에 비아 식각저지막(via etch stop layer)을 형성하는 것을 더 포함하되, 상기 비아 식각저지막은 상기 제2 무기 희생막 패턴을 제거한 후에 식각되어 상기 하부배선을 노출시키는 최종 비아홀을 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  3. 제 2 항에 있어서,
    상기 비아 식각저지막은 상기 금속층간절연막에 대하여 식각선택비를 갖는 절연성 질화막(insulating nitride layer) 또는 절연성 탄화막(insulating carbide layer)으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  4. 제 3 항에 있어서,
    상기 절연성 질화막은 실리콘 질화막(SiN), 실리콘 탄질화막(SiCN) 또는 붕소 질화막(boron nitride layer; BN)으로 형성하고, 상기 절연성 탄화막은 실리콘 탄화막(SiC)으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  5. 제 1 항에 있어서,
    상기 금속층간절연막은 단일 절연막(a single insulation layer)으로 형성하되, 상기 트렌치는 상기 금속층간절연막의 두께보다 작은 깊이로 형성되는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  6. 제 1 항에 있어서,
    상기 금속층간절연막은 하부 금속층간절연막, 트렌치 식각저지막 및 상부 금속층간절연막을 차례로 적층시키어 형성하되, 상기 트렌치는 상기 트렌치 식각저지막이 노출될 때까지 상기 상부 금속층간절연막을 식각함으로써 형성되는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  7. 제 6 항에 있어서,
    상기 트렌치 식각저지막은 상기 상부 금속층간절연막에 대하여 식각 선택비를 갖는 절연성 질화막(insulating nitride layer) 또는 절연성 탄화막(insulating carbide layer)으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  8. 제 7 항에 있어서,
    상기 절연성 질화막은 실리콘 질화막(SiN), 실리콘 탄질화막(SiCN) 또는 붕소 질화막(BN)으로 형성하고, 상기 절연성 탄화막은 실리콘 탄화막(SiC)으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  9. 제 1 항에 있어서,
    상기 하드 마스크막은 상기 금속층간절연막 및 상기 무기 희생막에 대하여 식각 선택비를 갖는 절연성 질화막, 절연성 탄화막, 금속 질화막, 금속 산화막 또는 실리콘막으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  10. 제 9 항에 있어서,
    상기 절연성 질화막은 실리콘 질화막(SiN), 실리콘 탄질화막(SiCN) 또는 붕소 질화막(BN)으로 형성하고, 상기 절연성 탄화막은 실리콘 탄화막(SiC)으로 형성하고, 상기 금속 질화막은 탄탈륨 질화막 또는 타이타늄 질화막으로 형성하고, 상기 금속 산화막은 알루미늄 산화막(Al2O3), 탄탈륨 산화막 또는 타이타늄 산화막으로 형성하고, 상기 실리콘막은 다결정 실리콘막 또는 비정질 실리콘막으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  11. 제 1 항에 있어서,
    상기 무기 희생막은 스핀 코팅 방법을 사용하여 HSQ막(hydro-silses-quioxane)으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  12. 제 1 항에 있어서,
    상기 포토레지스트 패턴을 형성하기 전에 상기 무기 희생막 상에 반사방지막을 형성하는 것을 더 포함하되, 상기 반사방지막은 상기 무기 희생막 및 상기 하드 마스크막과 함께 패터닝되는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  13. 제 1 항에 있어서,
    상기 제2 무기 희생막 패턴을 제거한 후에,
    상기 하드 마스크 패턴 상에 상기 비아홀 및 상기 트렌치를 채우는 상부 금속막을 형성하고,
    상기 상부 금속막을 평탄화시키어 상기 비아홀 및 상기 트렌치를 채우는 상부 금속배선을 형성하는 것을 더 포함하되, 상기 하드 마스크 패턴이 도전막 또는 반도체막으로 형성되는 경우에, 상기 하드 마스크 패턴은 상기 평탄화 공정 동안 또는 상기 평탄화 공정 후에 제거되는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  14. 제 13 항에 있어서,
    상기 상부 금속막은 확산방지막 및 금속막을 차례로 적층시키어 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  15. 반도체기판 상에 하부배선을 형성하고,
    상기 하부배선을 갖는 반도체기판의 전면 상에 비아 식각저지막, 하부 금속층간절연막, 트렌치 식각저지막, 상부 금속층간절연막 및 하드 마스크막을 차례로 형성하고,
    상기 하드 마스크막, 상기 상부 금속층간절연막, 상기 트렌치 식각저지막 및 상기 하부 금속층간절연막을 연속적으로 패터닝하여 상기 하부배선 상의 상기 비아 식각저지막을 노출시키는 예비 비아홀을 형성하고,
    상기 하드 마스크막 상에 상기 예비 비아홀을 채우는 희생막을 형성하고,
    상기 희생막 및 상기 하드 마스크막을 패터닝하여 상기 예비 비아홀의 상부를 가로지르는 개구부를 갖는 제1 희생막 패턴 및 상기 비아홀 내에 잔존하는 제2 희생막 패턴을 형성함과 동시에 상기 제1 희생막 패턴의 하부에 하드 마스크 패턴을 형성하고,
    상기 하드 마스크 패턴 및 상기 트렌치 식각저지막을 각각 식각 마스크 및 식각저지막으로 사용하여 상기 상부 금속층간절연막을 식각하여(partially etching) 트렌치를 형성하고,
    상기 제2 희생막 패턴을 선택적으로 제거하여 상기 비아 식각저지막을 노출시키고,
    상기 노출된 비아 식각저지막을 식각하여 상기 하부배선을 노출시키는 최종 비아홀을 형성하는 것을 포함하는 비아콘택 구조체 형성방법.
  16. 제 15 항에 있어서,
    상기 비아 식각저지막은 상기 하부 금속층간절연막에 대하여 식각선택비를 갖는 절연성 질화막(insulating nitride layer) 또는 절연성 탄화막(insulating carbide layer)으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  17. 제 16 항에 있어서,
    상기 절연성 질화막은 실리콘 질화막(SiN), 실리콘 탄질화막(SiCN) 또는 붕소 질화막(boron nitride layer; BN)으로 형성하고, 상기 절연성 탄화막은 실리콘 탄화막(SiC)으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  18. 제 15 항에 있어서,
    상기 트렌치 식각저지막은 상기 상부 금속층간절연막에 대하여 식각 선택비를 갖는 절연성 질화막(insulating nitride layer) 또는 절연성 탄화막(insulating carbide layer)으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  19. 제 18 항에 있어서,
    상기 절연성 질화막은 실리콘 질화막(SiN), 실리콘 탄질화막(SiCN) 또는 붕소 질화막(BN)으로 형성하고, 상기 절연성 탄화막은 실리콘 탄화막(SiC)으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  20. 제 15 항에 있어서,
    상기 하드 마스크막은 상기 상부 금속층간절연막 및 상기 희생막에 대하여 식각 선택비를 갖는 절연성 질화막, 절연성 탄화막, 금속 질화막, 금속 산화막 또는 실리콘막으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  21. 제 20 항에 있어서,
    상기 절연성 질화막은 실리콘 질화막(SiN), 실리콘 탄질화막(SiCN) 또는 붕소 질화막(BN)으로 형성하고, 상기 절연성 탄화막은 실리콘 탄화막(SiC)으로 형성하고, 상기 금속 질화막은 탄탈륨 질화막 또는 타이타늄 질화막으로 형성하고, 상기 금속 산화막은 알루미늄 산화막(Al2O3), 탄탈륨 산화막 또는 타이타늄 산화막으로 형성하고, 상기 실리콘막은 다결정 실리콘막 또는 비정질 실리콘막으로 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  22. 제 15 항에 있어서,
    상기 희생막 및 상기 하드 마스크막을 패터닝하기 전에 상기 희생막 상에 반사방지막을 형성하는 것을 더 포함하되, 상기 반사방지막은 상기 희생막 및 상기 하드 마스크막과 함께 패터닝되는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  23. 제 15 항에 있어서,
    상기 희생막 및 상기 하드 마스크막을 패터닝하는 것은
    상기 희생막 상에 상기 비아홀의 상부를 가로지르는 개구부를 갖는 포토레지스트 패턴을 형성하고,
    상기 포토레지스트 패턴을 식각 마스크로 사용하여 상기 희생막을 식각하여 상기 비아홀의 상부를 가로지르는 개구부를 갖는 제1 희생막 패턴 및 상기 비아홀 내에 잔존하는 제2 희생막 패턴을 형성하고,
    상기 포토레지스트 패턴을 제거하고,
    상기 제1 희생막 패턴을 식각 마스크로 사용하여 상기 하드 마스크막을 식각하는 것을 포함하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  24. 제 15 항에 있어서,
    상기 희생막 및 상기 하드 마스크막을 패터닝하는 것은
    상기 희생막 상에 상기 비아홀의 상부를 가로지르는 개구부를 갖는 포토레지스트 패턴을 형성하고,
    상기 포토레지스트 패턴을 식각 마스크로 사용하여 상기 희생막 및 상기 하드 마스크막을 연속적으로 식각하여 상기 비아홀의 상부를 가로지르는 개구부를 갖는 제1 희생막 패턴 및 상기 비아홀 내에 잔존하는 제2 희생막 패턴을 형성함과 동시에 상기 제1 희생막 패턴 하부에 하드 마스크 패턴을 형성하고,
    상기 포토레지스트 패턴을 제거하는 것을 포함하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  25. 제 15 항에 있어서,
    상기 최종 비아홀을 형성한 후에,
    상기 하드 마스크 패턴 상에 상기 최종 비아홀 및 상기 트렌치를 채우는 상부 금속막을 형성하고,
    상기 상부 금속막을 평탄화시키어 상기 비아홀 및 상기 트렌치를 채우는 상부 금속배선을 형성하는 것을 더 포함하되, 상기 하드 마스크 패턴이 도전막 또는 반도체막으로 형성되는 경우에, 상기 하드 마스크 패턴은 상기 평탄화 공정 동안 또는 상기 평탄화 공정 후에 제거되는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  26. 제 25 항에 있어서,
    상기 상부 금속막은 확산방지막 및 금속막을 차례로 적층시키어 형성하는 것을 특징으로 하는 비아콘택 구조체 형성방법.
  27. 삭제
  28. 삭제
  29. 삭제
KR10-2003-0014122A 2003-03-06 2003-03-06 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법 KR100487948B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2003-0014122A KR100487948B1 (ko) 2003-03-06 2003-03-06 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
US10/748,900 US6924228B2 (en) 2003-03-06 2003-12-30 Method of forming a via contact structure using a dual damascene technique
JP2004060646A JP2004274053A (ja) 2003-03-06 2004-03-04 ビアコンタクト構造体形成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2003-0014122A KR100487948B1 (ko) 2003-03-06 2003-03-06 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법

Publications (2)

Publication Number Publication Date
KR20040079180A KR20040079180A (ko) 2004-09-14
KR100487948B1 true KR100487948B1 (ko) 2005-05-06

Family

ID=32923806

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0014122A KR100487948B1 (ko) 2003-03-06 2003-03-06 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법

Country Status (3)

Country Link
US (1) US6924228B2 (ko)
JP (1) JP2004274053A (ko)
KR (1) KR100487948B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100698103B1 (ko) * 2005-10-11 2007-03-23 동부일렉트로닉스 주식회사 듀얼 다마센 형성방법
KR20160049437A (ko) * 2014-10-27 2016-05-09 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 구조물 및 그 형성 방법

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200504932A (en) * 2003-07-31 2005-02-01 Winbond Electronics Corp Dual-damascene opening structure, and fabrication method for dual-damascene interconnect
KR101189397B1 (ko) * 2003-10-15 2012-10-11 브레우어 사이언스 인코포레이션 비아-퍼스트 듀얼 다마신 적용예에서 사용되는 현상제에 용해성인 물질 및 상기 물질 사용 방법
KR100529654B1 (ko) * 2003-12-31 2005-11-17 동부아남반도체 주식회사 반도체 소자의 듀얼 다마신 배선을 위한 컨택 홀 형성 방법
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US7056821B2 (en) * 2004-08-17 2006-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing dual damascene structure with a trench formed first
US7235479B2 (en) * 2004-08-26 2007-06-26 Applied Materials, Inc. Organic solvents having ozone dissolved therein for semiconductor processing utilizing sacrificial materials
KR100745986B1 (ko) * 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
KR100641980B1 (ko) 2004-12-17 2006-11-02 동부일렉트로닉스 주식회사 반도체 소자의 배선 및 그 형성방법
KR100606537B1 (ko) * 2004-12-22 2006-08-01 동부일렉트로닉스 주식회사 풀백 공정을 이용한 반도체 소자의 금속배선 형성 방법
KR100641484B1 (ko) * 2004-12-27 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
KR100602133B1 (ko) * 2004-12-29 2006-07-19 동부일렉트로닉스 주식회사 반도체 소자의 마스크 패턴 형성 방법
US20060216929A1 (en) * 2005-03-28 2006-09-28 Hyun-Mog Park Etch stopless dual damascene structure and method of fabrication
KR100643853B1 (ko) * 2005-06-04 2006-11-14 삼성전자주식회사 반도체 소자의 다마신 배선 형성 방법 및 이에 의해 제조된반도체 소자
US7320934B2 (en) * 2005-06-20 2008-01-22 Infineon Technologies Ag Method of forming a contact in a flash memory device
US7651942B2 (en) * 2005-08-15 2010-01-26 Infineon Technologies Ag Metal interconnect structure and method
US7214612B2 (en) * 2005-08-31 2007-05-08 United Microelectronics Corp. Dual damascene structure and fabrication thereof
JP2007081162A (ja) * 2005-09-14 2007-03-29 Sumitomo Bakelite Co Ltd 半導体装置およびその製造方法
KR100704474B1 (ko) * 2005-11-29 2007-04-09 주식회사 하이닉스반도체 반도체 소자의 스토리지노드콘택플러그 제조방법
KR100703561B1 (ko) * 2005-12-28 2007-04-03 동부일렉트로닉스 주식회사 반도체 소자의 듀얼 다마신 패턴 형성 방법
US7480990B2 (en) * 2006-01-06 2009-01-27 International Business Machines Corporation Method of making conductor contacts having enhanced reliability
JPWO2007078011A1 (ja) * 2006-01-06 2009-06-11 日本電気株式会社 多層配線の製造方法と多層配線構造
US20070249165A1 (en) * 2006-04-05 2007-10-25 Huang Chun-Jen Dual damascene process
US20070259457A1 (en) * 2006-05-04 2007-11-08 Texas Instruments Optical endpoint detection of planarization
US7601607B2 (en) * 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
US8188527B2 (en) * 2006-06-07 2012-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded capacitor in semiconductor device and method for fabricating the same
KR100796512B1 (ko) * 2006-07-31 2008-01-21 동부일렉트로닉스 주식회사 반도체 소자의 인덕터 형성 방법
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US7649264B2 (en) * 2006-09-28 2010-01-19 Intel Corporation Hard mask for low-k interlayer dielectric patterning
KR100830576B1 (ko) * 2006-09-29 2008-05-22 삼성전자주식회사 반도체 장치 및 그 형성 방법
CN103560107A (zh) * 2006-10-09 2014-02-05 英闻萨斯有限公司 形成互连结构的方法
US7659196B2 (en) * 2006-12-20 2010-02-09 Intel Corporation Soluble hard mask for interlayer dielectric patterning
KR100802226B1 (ko) * 2006-12-21 2008-02-11 주식회사 하이닉스반도체 듀얼 다마신 패턴 형성 방법
US7838415B2 (en) * 2007-01-16 2010-11-23 United Microelectronics Corp. Method of fabricating dual damascene structure
US7615484B2 (en) * 2007-04-24 2009-11-10 Chartered Semiconductor Manufacturing Ltd. Integrated circuit manufacturing method using hard mask
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US8753974B2 (en) * 2007-06-20 2014-06-17 Micron Technology, Inc. Charge dissipation of cavities
US7723851B2 (en) * 2007-09-11 2010-05-25 International Business Machines Corporation Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
KR101647158B1 (ko) 2008-01-29 2016-08-09 브레우어 사이언스 인코포레이션 다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8008206B2 (en) * 2009-09-24 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8536064B2 (en) 2010-02-08 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8470708B2 (en) * 2010-02-25 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
DE102011005642B4 (de) * 2011-03-16 2012-09-27 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zum Schutz von reaktiven Metalloberflächen von Halbleiterbauelementen während des Transports durch Bereitstellen einer zusätzlichen Schutzschicht
US8647989B2 (en) 2011-04-15 2014-02-11 United Microelectronics Corp. Method of forming opening on semiconductor substrate
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8853831B2 (en) * 2012-03-29 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
US9153477B2 (en) 2012-09-28 2015-10-06 Intel Corporation Directed self assembly of block copolymers to form vias aligned with interconnects
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
CN104124202A (zh) * 2013-04-28 2014-10-29 中芯国际集成电路制造(上海)有限公司 双大马士革结构的形成方法
US9153538B2 (en) * 2013-08-22 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US10707123B2 (en) 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of interconnect structures
KR102495573B1 (ko) 2017-07-21 2023-02-03 삼성전자주식회사 이미지 센서
CN111969104B (zh) * 2019-05-20 2023-09-12 联华电子股份有限公司 半导体元件及其制作方法
KR20210138927A (ko) * 2020-05-13 2021-11-22 에스케이하이닉스 주식회사 반도체 장치 제조방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5539255A (en) * 1995-09-07 1996-07-23 International Business Machines Corporation Semiconductor structure having self-aligned interconnection metallization formed from a single layer of metal
US6649515B2 (en) * 1998-09-30 2003-11-18 Intel Corporation Photoimageable material patterning techniques useful in fabricating conductive lines in circuit structures
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
US6312874B1 (en) * 1998-11-06 2001-11-06 Advanced Micro Devices, Inc. Method for forming a dual damascene trench and underlying borderless via in low dielectric constant materials
JP3312604B2 (ja) * 1998-11-06 2002-08-12 日本電気株式会社 半導体装置の製造方法
US6156643A (en) * 1998-11-06 2000-12-05 Advanced Micro Devices, Inc. Method of forming a dual damascene trench and borderless via structure
US6060380A (en) * 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6309962B1 (en) * 1999-09-15 2001-10-30 Taiwan Semiconductor Manufacturing Company Film stack and etching sequence for dual damascene
US6812131B1 (en) * 2000-04-11 2004-11-02 Honeywell International Inc. Use of sacrificial inorganic dielectrics for dual damascene processes utilizing organic intermetal dielectrics
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
KR100366621B1 (ko) * 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
JP4377040B2 (ja) * 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 半導体の製造方法
US6383912B1 (en) * 2000-10-23 2002-05-07 Honeywell International, Inc. Fabrication method of integrated circuits with multiple low dielectric-constant intermetal dielectrics
US6583047B2 (en) * 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
US6724069B2 (en) * 2001-04-05 2004-04-20 International Business Machines Corporation Spin-on cap layer, and semiconductor device containing same
KR100433091B1 (ko) * 2001-10-23 2004-05-28 주식회사 하이닉스반도체 반도체소자의 도전배선 형성방법
US20030082905A1 (en) * 2001-10-31 2003-05-01 Jen-Ku Hung Method for forming a uniform damascene profile
JP3810309B2 (ja) * 2001-12-03 2006-08-16 Necエレクトロニクス株式会社 半導体装置の製造方法
US20030119305A1 (en) * 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6548401B1 (en) * 2002-01-23 2003-04-15 Micron Technology, Inc. Semiconductor processing methods, and semiconductor constructions
US6764810B2 (en) * 2002-04-25 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Method for dual-damascene formation using a via plug
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
JP2004079901A (ja) * 2002-08-21 2004-03-11 Nec Electronics Corp 半導体装置及びその製造方法
KR100462884B1 (ko) * 2002-08-21 2004-12-17 삼성전자주식회사 희생충진물질을 이용한 반도체 장치의 듀얼다마신배선형성방법
US7119006B2 (en) * 2002-11-26 2006-10-10 Texas Instruments Incorporated Via formation for damascene metal conductors in an integrated circuit

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100698103B1 (ko) * 2005-10-11 2007-03-23 동부일렉트로닉스 주식회사 듀얼 다마센 형성방법
KR20160049437A (ko) * 2014-10-27 2016-05-09 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 구조물 및 그 형성 방법
KR101698271B1 (ko) * 2014-10-27 2017-01-19 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 구조물 및 그 형성 방법
US10002826B2 (en) 2014-10-27 2018-06-19 Taiwan Semiconductor Manufacturing Company Semiconductor device structure with conductive pillar and conductive line and method for forming the same
US10867906B2 (en) 2014-10-27 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structures in semiconductor devices

Also Published As

Publication number Publication date
JP2004274053A (ja) 2004-09-30
KR20040079180A (ko) 2004-09-14
US20040175932A1 (en) 2004-09-09
US6924228B2 (en) 2005-08-02

Similar Documents

Publication Publication Date Title
KR100487948B1 (ko) 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
US6689695B1 (en) Multi-purpose composite mask for dual damascene patterning
US7541276B2 (en) Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
US6861347B2 (en) Method for forming metal wiring layer of semiconductor device
US6465888B2 (en) Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US7470616B1 (en) Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US7635645B2 (en) Method for forming interconnection line in semiconductor device and interconnection line structure
KR100641362B1 (ko) 이중 확산방지막을 갖는 배선구조 및 그 제조방법
US7015133B2 (en) Dual damascene structure formed of low-k dielectric materials
US8164196B2 (en) Semiconductor device and method for manufacturing the same
JP2006019480A (ja) 半導体装置の製造方法
US7436009B2 (en) Via structures and trench structures and dual damascene structures
JPWO2005013356A1 (ja) 溝配線を有する半導体装置および半導体装置の製造方法
JP5047504B2 (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
KR100441685B1 (ko) 듀얼 다마신 공정
US20030222349A1 (en) Semiconductor device with multilayer interconnection structure
US7307014B2 (en) Method of forming a via contact structure using a dual damascene process
US20080057707A1 (en) Method for forming contacts of semiconductor device
US8048799B2 (en) Method for forming copper wiring in semiconductor device
KR100483838B1 (ko) 금속배선의 듀얼 다마신 방법
KR20050116479A (ko) 이중 다마신 공정을 사용하여 비아콘택 구조체를 형성하는방법
KR20230085819A (ko) 패터닝 프로세스를 위한 막 성막
KR20050117131A (ko) 듀얼 다마신 패턴 형성 방법
KR20070055910A (ko) 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR20070077670A (ko) 반도체 메모리 소자 제조 방법 및 이에 따라 제조된 반도체소자

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
FPAY Annual fee payment
FPAY Annual fee payment

Payment date: 20190329

Year of fee payment: 15