WO2024053386A1 - Système de traitement de substrat - Google Patents

Système de traitement de substrat Download PDF

Info

Publication number
WO2024053386A1
WO2024053386A1 PCT/JP2023/030095 JP2023030095W WO2024053386A1 WO 2024053386 A1 WO2024053386 A1 WO 2024053386A1 JP 2023030095 W JP2023030095 W JP 2023030095W WO 2024053386 A1 WO2024053386 A1 WO 2024053386A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
light
light source
gas
processing system
Prior art date
Application number
PCT/JP2023/030095
Other languages
English (en)
Japanese (ja)
Inventor
宏史 長池
航 相田
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Publication of WO2024053386A1 publication Critical patent/WO2024053386A1/fr

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations

Definitions

  • the present disclosure relates to a substrate processing system.
  • U.S. Pat. No. 5,002,203 discloses that after processing the wafer, the processed wafer is transferred into a degassing chamber and treated with UV light and a gas stream containing at least one of ozone molecules, oxygen, or H2O . I am proposing that.
  • Patent Document 2 proposes installing a support chamber that irradiates UV light at the position of the transfer chamber.
  • the present disclosure provides a technique that can effectively suppress contamination within a substrate processing system.
  • a processing device that processes a substrate; and a vacuum transfer device that is connected to the processing device via an irradiation unit and has a transfer mechanism that transfers the substrate between the processing device and the processing device.
  • the irradiation section having a UV light source that is provided between the processing device and the vacuum transfer device and irradiates ultraviolet light with a wavelength of 200 nm or less;
  • a substrate processing system is provided, which is configured to clean the substrate by irradiating the substrate with ultraviolet light when the substrate is transferred by the transfer mechanism to the vacuum transfer device via the irradiation unit.
  • contamination within the substrate processing system can be effectively suppressed.
  • FIG. 1 is a diagram illustrating a configuration example of a substrate processing system according to an embodiment.
  • 5 is a flowchart illustrating an example of a method executed by the substrate processing system according to the embodiment.
  • FIG. 3 is a diagram illustrating a configuration example of an irradiation unit and cleaning using UV light according to the embodiment. The figure which shows the other example of a structure of the irradiation part based on embodiment.
  • FIG. 3 is a diagram showing a cleaning mechanism according to the method according to the embodiment.
  • FIG. 3 is a diagram showing an example of the cleaning effect by the irradiation section according to the embodiment.
  • 1 is a diagram illustrating a configuration example of a plasma processing apparatus according to an embodiment.
  • FIG. 1 is a diagram showing a configuration example of a substrate processing system according to an embodiment.
  • the substrate processing system executes the method of the present disclosure (see FIG. 2) described below.
  • FIG. 2 the configuration of the substrate processing system in FIG. 1 is an example, and other configurations may be adopted.
  • the substrate processing system includes processing devices 100a to 100f, a vacuum (reduced pressure) transfer device 200, load lock devices 301 to 303, an atmospheric transfer device 400, load ports 501 to 504, and a control device 600.
  • the processing devices 100a to 100f are also collectively referred to as the processing device 100.
  • the processing devices 100a to 100f are connected to the vacuum transfer device 200 via irradiation units R1 to R6 and gate valves G1 to G6, respectively.
  • the inside of the processing apparatuses 100a to 100f is reduced to a predetermined vacuum atmosphere and maintained in vacuum.
  • the processing apparatuses 100a to 100f perform desired processing on the substrate W.
  • the processing devices 100a to 100f may be devices that perform the same processing, or may be devices that perform different processing. In the processing apparatuses 100a to 100f, processes such as etching, film formation, ashing, annealing, etc. are performed. Note that a configuration example of the processing device 100 will be described later using FIG. 7.
  • the irradiation units R1 to R6 are provided between the processing devices 100a to 100f and the vacuum transfer device 200, respectively, and are reduced to a predetermined vacuum atmosphere, and the reduced pressure is maintained.
  • Each of the irradiation units R1 to R6 has a UV light source 300 that irradiates ultraviolet (UV) light with a wavelength of 200 nm or less.
  • UV light sources 300 are disposed in each of the irradiation units R1 to R6, and the irradiation units R1 to R6 are also collectively referred to as the irradiation unit R.
  • each of the irradiation units R1 to R6 includes three UV light sources 300.
  • Each UV light source 300 of the irradiation section R is configured to be able to irradiate the substrate W with UV light when the substrate W passes through the respective irradiation section R.
  • the gate valves G1 to G6 open and close the irradiation units R1 to R6 and the vacuum transfer device 200.
  • the gate valves G1 to G6 are provided at the transfer ports (transfer ports 20a1 in FIG. 3A) of the vacuum transfer device 200 of the irradiation units R1 to R6, respectively, and are connected to the vacuum transfer device 200 and the irradiation unit R1.
  • - R6 is configured to open and close between the terminal and R6.
  • the present invention is not limited thereto, and the gate valves G1 to G6 are provided at the transfer ports (transfer ports 10a1 in FIG. 3A) of the processing devices 100a to 100f of the irradiation units R1 to R6, respectively. It may be configured to open and close between the irradiation units R1 to R6.
  • the interior of the vacuum transfer device 200 is maintained at a predetermined reduced pressure atmosphere.
  • the vacuum transfer device 200 is configured to transfer the substrate W between the processing devices 100a to 100f and the load lock devices 301 to 303.
  • the vacuum transfer device 200 is provided with a transfer mechanism 201 that can transfer the substrate W under reduced pressure.
  • the transport mechanism 201 includes a transport arm 201a as a support unit that supports and moves the substrate W, a rotary table 212 that rotatably supports the transport arm 201a, and a base 213 on which the rotary table 212 is mounted. There is. Further, a guide rail 214 extending in the longitudinal direction of the vacuum transfer device 200 is provided inside the vacuum transfer device 200. The base 213 is provided on a guide rail 214, and the transport mechanism 201 is configured to be movable along the guide rail 214. A pick capable of holding the substrate W is attached to the tip of the transfer arm 201a. The transport mechanism 201 transports the substrate W to the processing apparatuses 100a to 100f and load lock devices 301 to 303.
  • the load lock devices 301 to 303 are connected to the vacuum transfer device 200 via gate valves G21 to G23, and to the atmospheric transfer device 400 via gate valves G31 to G33, respectively.
  • the interior of the load lock devices 301 to 303 can be switched between an air atmosphere and a vacuum atmosphere.
  • the interior of the atmospheric transport device 400 is an atmospheric atmosphere, and for example, a downflow of clean air is formed.
  • the atmospheric transport device 400 is provided with an aligner (not shown) that aligns the substrate W.
  • the atmospheric transport device 400 is provided with a transport mechanism 440 that transports the substrate W.
  • the transport mechanism 440 includes a transport arm 41 that supports and moves the substrate W, a rotary table 42 that rotatably supports the transport arm 41, and a base 43 on which the rotary table 42 is mounted.
  • a guide rail 44 extending in the longitudinal direction of the atmospheric transport device 400 is provided inside the atmospheric transport device 400 .
  • the base 43 is provided on a guide rail 44, and the transport mechanism 440 is configured to be movable along the guide rail 44.
  • the load ports 501 to 504 are provided on the long side wall of the atmospheric transport device 400.
  • a carrier C containing a substrate W or an empty carrier C is placed in the load ports 501 to 504, and is connected to the atmospheric transport device 400 by opening and closing the lids provided on the load ports 501 to 504.
  • the substrate W is transported.
  • the carrier C for example, FOUP (Front Opening Unified Pod) can be used.
  • the control device 600 controls each part of the substrate processing system.
  • the control device 600 controls the operation of the processing devices 100a to 100f, the operation of the transport mechanisms 201 and 440, the opening and closing of gate valves G1 to G6, G21 to G23, and G31 to G33, and the switching of the atmosphere inside the load lock devices 301 to 303. control etc.
  • Control device 600 may be, for example, a computer.
  • FIG. 2 is a flowchart illustrating an example of a method executed by the substrate processing system according to the embodiment.
  • FIG. 3 is a diagram illustrating a configuration example of the irradiation section R and cleaning using UV light according to the embodiment.
  • the method of FIG. 2 is controlled by the control device 600 and automatically executed by the substrate processing system.
  • the control device 600 first controls the transport mechanism 440 to take out a substrate W from a FOUP in which a plurality of substrates W can be stored using the transport arm 41, and holds it on the transport arm 41 (step S1).
  • the control device 600 opens one of the gate valves G31 to G33 and transports the substrate W into one of the load lock devices 301 to 303 (step S2).
  • control device 600 switches the inside of the load lock device to which the substrate W has been transferred among the load lock devices 301 to 303 from an atmospheric pressure atmosphere to a reduced pressure atmosphere (vacuum state) (step S3).
  • control device 600 opens any of the gate valves G21 to G23, controls the transfer mechanism 201 to hold the substrate W on the transfer arm 201a, opens the gate valve G, and transfers the substrate W to the transfer arm 201a for processing via the vacuum transfer device 200. It is transported to one of the devices 100a to 100f (step S4).
  • control device 600 processes the substrate W in one of the processing devices 100a to 100f (step S5).
  • the control device 600 starts irradiating UV light from the UV light source 300, supplies oxygen-containing gas to the irradiation section R, evacuates the inside of the irradiation section R, and adjusts the pressure (step S6).
  • oxygen-containing gases include oxygen gas, carbon monoxide, carbon dioxide, air, or water.
  • it may be a nitrogen-containing gas, such as nitrogen gas.
  • the pressure inside the irradiation section R is regulated to 100 mTorr (13.33 Pa) to 500 mTorr (66.66 Pa).
  • the gas supply unit 20 see FIG. 7) and exhaust device of the processing apparatus 100 are used.
  • the etching gas remaining in the processing device 100 is controlled so as not to flow to the vacuum transfer device 200.
  • control device 600 causes the processed substrate W to pass through the irradiation unit R located at the transfer port (entrance/exit) of the processing device 100 and the vacuum transfer device 200, and cleans the substrate W (step S7).
  • FIG. 3(a) is a diagram schematically showing a longitudinal section of the irradiation section R disposed between the processing apparatus 100 and the vacuum transfer apparatus 200.
  • FIG. 3B is a diagram for explaining cleaning on the substrate W by UV light irradiated from the UV light source 300.
  • walls of the irradiation section R other than the ceiling wall 310 are omitted.
  • the irradiation section R has one or more walls forming a passage between the processing device 100 and the vacuum transfer device 200, and a UV light source 300 is disposed on the wall.
  • the irradiation unit R has a ceiling wall 310, a bottom wall 320, and a side wall (not shown), and the UV light source 300 is arranged on the ceiling wall 310.
  • the UV light source 300 irradiates UV light with a wavelength of 200 nm or less.
  • the UV light source 300 preferably outputs light in the vacuum ultraviolet (VUV) range with a wavelength of 150 nm to 200 nm among ultraviolet rays (UV light) with a wavelength of 10 nm to 400 nm.
  • VUV vacuum ultraviolet
  • EUV light extreme ultraviolet light having a wavelength of 150 nm or less may be output. That is, the UV light with a wavelength of 200 nm or less output from the UV light source 300 includes VUV light and EUV light.
  • the UV light source 300 can be a UV lamp, a UV-LED light source or a UV-laser.
  • the wall (ceiling wall 310 in the example of FIG. 3) to which the UV light source 300 is fixed is made of SiO 2 (quartz). Quartz can transmit UV light having a wavelength of 150 nm or more and 200 nm or less.
  • the UV light source 300 is attached to the ceiling wall 310 from the outside of the ceiling wall 310, and is configured to emit UV light including, for example, a wavelength of about 172 nm.
  • the UV light source 300 may be exposed into the irradiation part R from the ceiling wall 310.
  • the UV light source 300 be placed in the irradiation section R at a position close to the transport port 10a1 of the processing device 100.
  • the UV light source 300 may be located in the vacuum transfer device 200 as shown in FIG.
  • the irradiation unit R includes a wall 210 provided on the ceiling wall 200a of the vacuum transfer device 200 and a UV light source 300, and the UV light source 300 is arranged on the wall 210. has been done.
  • the wall (wall 210 in the example of FIG. 4) to which the UV light source 300 is fixed is made of SiO 2 (quartz).
  • the irradiation unit R is provided at a position where it can irradiate UV light onto the substrate that is being transported and is passing through the transport port 20a1. It is preferable that the UV light source 300 be placed near the transfer port 20a1 of the vacuum transfer device 200.
  • the wall 210 of the ceiling wall 200a of the vacuum transfer device 200 and the UV light source 300 are provided at a position adjacent to or close to the transfer port 20a1.
  • the UV light source 300 may be placed on the ceiling wall 200a without providing the wall 210.
  • the UV light source 300 is provided at a position adjacent to or close to the transfer port 20a1 of the ceiling wall 200a of the vacuum transfer device 200.
  • the substrate W is being transferred from the processing apparatus 100 to the vacuum transfer apparatus 200, the substrate W is irradiated with UV light.
  • the gate valve G is provided on the processing device 100 side and opens and closes the transfer port 10a1, but the invention is not limited to this; the gate valve G is provided on the vacuum transfer device 200 side and opens and closes the transfer port 20a1. may be opened or closed.
  • the ceiling wall 310 (see FIG. 3) and the wall 210 (see FIG. 4) are not particularly limited to quartz.
  • the ceiling wall 310 and the wall 210 may be made of the same material as the ceiling wall of the vacuum transfer device 200 and the side wall of the processing device 100.
  • the ceiling wall 310 and the wall 210 may be formed in a part of the vacuum transfer device 200 or in a part of the processing device 100.
  • Reaction byproducts De1 generated during substrate processing (etching, etc.) performed in the processing apparatus 100 and adsorbed substances of gas De2 used during substrate processing are attached to the surface of the substrate W after processing. . Furthermore, organic matter may adhere to the surface of the substrate W while the substrate W is being transported.
  • the reaction by-product De1 also includes such organic substances.
  • reaction by-product De1 When the processed substrate W in such a state is carried out from the processing apparatus 100 and carried into the vacuum transfer apparatus 200 or other processing apparatuses 100, gas De2 is desorbed and reaction by-products De1 are removed by the vacuum transfer apparatus 200 or the like. Peeling occurs and outgas from the reaction by-product De1 occurs. As a result, the inside of the vacuum transfer device 200 etc. is contaminated. Furthermore, when the substrate W is transported in the atmosphere, organic contaminants may be generated on the substrate W by reacting with moisture in the atmosphere, or the surface of the substrate W may be altered, which reduces the yield. become a factor.
  • the reaction by-product De1 and the gas De2 will also be referred to as deposits De.
  • the substrate W is heated in the space within the irradiation section R provided at the transfer ports of the processing device 100 and the vacuum transfer device 200. Irradiate with UV light. This decomposes the deposits De and the gas in the irradiation section R, and suppresses the diffusion of contaminants into the vacuum transfer device 200.
  • the CF-based gas or CF-based deposits are decomposed into C and CO, and then volatilized. By doing so, deposits on the substrate W can be removed (cleaned).
  • Oxygen (O 2 ) gas or a gas containing oxygen gas may be supplied into the irradiation unit R from the gas supply unit 20 (see FIG. 7) of the processing apparatus 100.
  • the UV light irradiated from the UV light source 300 decomposes the oxygen gas in the irradiation part R, and in the irradiation part R, ozone molecules (O 3 ) and singlet oxygen atoms O ( 1D ).
  • the deposit De absorbs UV light of a predetermined wavelength, and the bonds of the deposit De can be broken down and removed by the light energy.
  • the deposits De can be removed by being decomposed and reacted with volatile substances such as carbon dioxide and water by the action of ozone molecules and singlet oxygen generated by UV light irradiation. While cleaning the surface of the substrate W in this manner, it is also possible to decompose and remove deposits and gases attached to the inner wall of the irradiation section R, outgas from the deposits, and floating gases.
  • the control device 600 stops the irradiation of UV light from the UV light source 300, and stops the supply of oxygen gas from the gas supply unit 20 of the processing device 100 (step S8).
  • the control device 600 opens one of the gate valves G1 to G6 corresponding to the substrate W to be carried out, holds the substrate W by the transfer arm 201a, and transfers it to one of the load lock devices 301 to 303.
  • the control device 600 holds the substrate W on the transfer arm 41 of the transfer mechanism 440 of the atmospheric transfer device 400, and transfers it to the FOUP (step S9). Thereby, the method of transporting and cleaning the substrate W according to the embodiment is realized.
  • the substrate W can be cleaned by irradiating the substrate W with UV light in the irradiation section R under reduced pressure.
  • a plurality of UV light sources 300 are arranged (three in FIG. 1) substantially perpendicular to the direction in which the substrate W passes through the path of the irradiation unit R (the direction of the arrow “A” in FIG. 1). Then, the UV light source 300 irradiates the substrate W passing through this passage with UV light. Therefore, when the substrate W passes below the UV light source 300 in the irradiation section R, the entire surface of the substrate W is irradiated with UV light so that the UV light scans the surface of the substrate W due to the movement of the substrate W. can do. As a result, the deposits De attached to the surface of the substrate W can be decomposed and detached by the energy of the UV light.
  • UV light is irradiated from a UV light source 300.
  • the reaction by-products of CxHy and CnFm absorb the energy E of the UV light having a wavelength ⁇ of about 172 nm among the output UV light.
  • Energy E is represented by the product of Planck's constant h and the frequency v of UV light.
  • the frequency v is the speed c of the UV light divided by the wavelength ⁇ .
  • CxHy and CnFm attached in the polymer state are decomposed into substances such as CF 4 , CH 4 , CH 6 that are smaller in size and easily vaporized, and are released from the substrate W into the atmosphere in the irradiation area R.
  • Substances and gases desorbed from the substrate W in the irradiation section R are exhausted by the exhaust device of the processing device 100 or the vacuum transfer device 200. Thereby, the substrate W can be cleaned.
  • the UV light is irradiated onto the deposit De on the substrate W, which is the object to be directly cleaned, and the deposit De absorbs light of a predetermined wavelength depending on the type of the deposit, and decomposition proceeds.
  • oxygen gas absorbs UV light, and the energy of the UV light decomposes oxygen to form ozone molecules (O 3 ). and generates a singlet oxygen atom O( 1 D).
  • a singlet oxygen atom O( 1 D) indicates an excited state of an oxygen atom.
  • Ozone molecules and singlet oxygen are active oxygen species.
  • the deposit De may be not only an organic-containing substance but also a silicon-containing substance or a halogen-containing substance.
  • silicon-containing materials and halogen-containing materials can absorb UV light that is easily absorbed, thereby decomposing and desorbing each contained material.
  • the inside of the irradiation section R may be made into an atmosphere of nitrogen (N 2 ) gas.
  • N 2 nitrogen
  • the decomposition of deposits De progresses by absorbing .
  • nitrogen gas does not absorb light with a wavelength of 172 nm.
  • the inside of the irradiation part R be under reduced pressure, but it is not limited to a reduced pressure environment, and may be, for example, an oxygen-containing atmosphere, a nitrogen-containing atmosphere, or an atmospheric atmosphere. It's okay.
  • the inside of the irradiation section R is controlled to a reduced pressure or a nitrogen-containing atmosphere.
  • the cleaning effect can be enhanced by controlling the inside of the irradiation part R to be an oxygen-containing atmosphere.
  • FIG. 6 is a diagram showing an example of the cleaning effect by the irradiation section R according to the embodiment.
  • the horizontal axis in FIG. 6 shows the atmosphere inside the room of the irradiation part R, and the vertical axis shows the cleaning speed (nm/min), that is, the removal speed of deposits De.
  • the cleaning rate was as high as about 50 (nm/min) due to the action of ozone molecules and singlet oxygen.
  • the cleaning speed is about 5 (nm/min) lower than in the case of an oxygen-containing atmosphere. It was low. That is, the cleaning efficiency was higher when the inside of the irradiation part R was made into an oxygen-containing atmosphere than when it was made into a nitrogen-containing atmosphere.
  • the UV light is directly irradiated onto the deposit De, which causes the decomposition of the deposit De to proceed, so that the cleaning effect is effective.
  • the deposit De on the substrate W has a thickness of, for example, 1 nm or less, and is small in amount. Therefore, even when the inside of the irradiation part R is made into a nitrogen-containing atmosphere, a sufficient cleaning effect can be obtained.
  • the cleaning effect is high when the inside of the irradiation part R is made into an oxygen-containing atmosphere, but a sufficient cleaning effect can also be obtained not only in an oxygen-containing atmosphere but also in a nitrogen-containing atmosphere, for example. .
  • the cleaning of the substrate W after the treatment passing through the irradiation section R has been described above (see A in FIG. 1).
  • the application of the method of the present disclosure is not limited to this.
  • by irradiating the unprocessed substrate W with UV light from the UV light source 300 when the unprocessed substrate W passes through the irradiation section R it is possible to remove organic substances, moisture, etc. attached to the unprocessed substrate W. can.
  • the object to be cleaned may be not only the substrate W but also a transport arm or a pick.
  • a transport arm or a pick For example, as shown in “B” in FIG. UV light is applied.
  • the deposits attached to the transport arm 201a are broken down, and the pick and arm portion of the transport arm 201a can be cleaned.
  • Cleaning of the transport arm 201a can be performed at each of the irradiation units R1 to R6 when the transport arm 201a is carried into and out of the processing apparatuses 100a to 100f, respectively.
  • the method is not limited to irradiating the surface of the substrate W with UV light.
  • the UV light source 300 may be fixed to the bottom wall 320 of the irradiation unit R shown in FIG. 3A from below upward, and the back surface of the substrate W may be irradiated with UV light.
  • the deposits De may be attached not only to the front surface of the substrate W but also to the back surface (particularly the outer peripheral portion) of the substrate W. Therefore, by irradiating the back surface of the substrate W with UV light from below when the substrate W passes through the irradiation section R, the back surface of the substrate W can be cleaned. Furthermore, by irradiating the front and back surfaces of the substrate W from above and below with UV light when the substrate W passes through the irradiation section R, both surfaces of the substrate W can be cleaned at the same time.
  • an irradiation part Q through which the substrate W passes is provided in a part of the interior of the vacuum transfer apparatus 200, a UV light source is provided in the irradiation part Q, and the substrate W is irradiated with UV light within the irradiation part Q. , the substrate W may be cleaned.
  • the irradiation unit Q may be a container that stocks the substrates W, or may be a device that positions the substrates W.
  • a UV light source may be provided in a place where the substrate W temporarily stops or in a container that can form a space for the substrate W to pass, and the substrate W may be irradiated with UV light to clean the substrate W. .
  • An irradiation section R may be provided between the atmospheric transport device 400 and the load lock devices 301 to 303 to clean the substrate W to be transferred between the atmospheric transport device 400 and the load lock devices 301 to 303.
  • the deposits De on the substrate W can be decomposed and removed by irradiating the substrate W with UV light after the load lock devices 301 to 303 are brought into a reduced pressure atmosphere.
  • An irradiation section R (not shown) is installed not only between the atmospheric transport device 400 and the load lock devices 301 to 303, but also above the load lock devices 301 to 303, and is installed before, during, and after the atmosphere is released.
  • the substrates W passing through the load lock devices 301 to 303 may be irradiated with UV light to clean the substrates W.
  • the gas itself within each device can be cleaned.
  • a UV light source 300 is installed in each device, and by irradiating UV light from the UV light source 300 into the device at a specific timing, the gas itself in the device is decomposed.
  • the inside of the device can be cleaned by exhausting the decomposed gas.
  • the objects to be cleaned by the method of the present disclosure are not limited to the deposits De attached to the substrate W etc., but also include deposits on the inner walls of each device and floating gas.
  • gas molecules and reaction by-products used or generated during etching such as C 4 F 6 gas and C 4 F 8 gas, are also included in the objects to be cleaned.
  • the cleaning speed may be controlled by the intensity of the UV light output from the UV light source 300.
  • the quartz ceiling wall 310 to which the UV light source 300 is fixed may be formed into a lens shape to collect the UV light to increase the intensity of the light.
  • a gas detection device such as a quadrupole mass spectrometer or a light absorption type gas monitor
  • the exhaust device such as the processing device 100 or the vacuum transfer device 200 and monitoring the gas
  • UV irradiation conditions such as atmosphere may be optimized.
  • FIG. 7 is a diagram showing a configuration example of the plasma processing apparatus 1 according to the embodiment.
  • the plasma processing apparatus 1 is an example of a processing apparatus 100 (substrate processing apparatus).
  • FIG. 7 shows a configuration example of a capacitively coupled plasma processing apparatus 1.
  • the plasma processing apparatus 1 includes a control device 2 .
  • the plasma processing apparatus 1 includes a plasma processing chamber 10, a gas supply section 20, a power source 30, and an exhaust system 40. Further, the plasma processing apparatus 1 includes a substrate support section 11 and a gas introduction section.
  • the gas introduction section is configured to introduce at least one processing gas into the plasma processing apparatus 1 .
  • the gas introduction section includes a shower head 13.
  • the substrate support section 11 is arranged within the plasma processing apparatus 1 .
  • the shower head 13 is arranged above the substrate support section 11 . In one embodiment, the shower head 13 constitutes at least a portion of the ceiling of the plasma processing apparatus 1 .
  • the plasma processing apparatus 1 has a plasma processing space 10s defined by a shower head 13, a side wall 10a of the plasma processing apparatus 1, and a substrate support 11.
  • the side wall 10a of the plasma processing apparatus 1 is provided with a transport port 10a1 for transporting the substrate W.
  • An irradiation unit R is arranged adjacent to the transport port 10a1.
  • the plasma processing apparatus 1 has at least one gas supply port for supplying at least one processing gas to the plasma processing space 10s, and at least one gas exhaust port for discharging gas from the plasma processing space.
  • the plasma processing apparatus 1 is grounded.
  • the shower head 13, the substrate support section 11, and the irradiation section of the plasma processing apparatus 1 are electrically insulated.
  • the substrate support section 11 includes a main body section 111 and a ring assembly 112.
  • the main body portion 111 has a central region 111a for supporting the substrate W and an annular region 111b for supporting the ring assembly 112.
  • a wafer is an example of a substrate W.
  • the annular region 111b of the main body 111 surrounds the central region 111a of the main body 111 in plan view.
  • the substrate W is placed on the central region 111a of the main body 111, and the ring assembly 112 is placed on the annular region 111b of the main body 111 so as to surround the substrate W on the central region 111a of the main body 111. Therefore, the central region 111a is also called a substrate support surface for supporting the substrate W, and the annular region 111b is also called a ring support surface for supporting the ring assembly 112.
  • the main body 111 includes a base 1110 and an electrostatic chuck 1111.
  • Base 1110 includes a conductive member.
  • the conductive member of the base 1110 can function as a lower electrode.
  • Electrostatic chuck 1111 is placed on base 1110.
  • Electrostatic chuck 1111 includes a ceramic member 1111a and an electrostatic electrode 1111b disposed within ceramic member 1111a.
  • Ceramic member 1111a has a central region 111a. In one embodiment, ceramic member 1111a also has an annular region 111b. Note that another member surrounding the electrostatic chuck 1111, such as an annular electrostatic chuck or an annular insulating member, may have the annular region 111b.
  • ring assembly 112 may be placed on the annular electrostatic chuck or the annular insulation member, or may be placed on both the electrostatic chuck 1111 and the annular insulation member.
  • at least one RF/DC electrode coupled to an RF (Radio Frequency) power source 31 and/or a DC (Direct Current) power source 32, which will be described later, may be disposed within the ceramic member 1111a.
  • at least one RF/DC electrode functions as a bottom electrode.
  • An RF/DC electrode is also referred to as a bias electrode if a bias RF signal and/or a DC signal, as described below, is supplied to at least one RF/DC electrode.
  • the conductive member of the base 1110 and at least one RF/DC electrode may function as a plurality of lower electrodes.
  • the electrostatic electrode 1111b may function as a lower electrode. Therefore, the substrate support 11 includes at least one lower electrode.
  • Ring assembly 112 includes one or more annular members.
  • the one or more annular members include one or more edge rings and at least one cover ring.
  • the edge ring is made of a conductive or insulating material
  • the cover ring is made of an insulating material.
  • the substrate support unit 11 may include a temperature control module configured to adjust at least one of the electrostatic chuck 1111, the ring assembly 112, and the substrate to a target temperature.
  • the temperature control module may include a heater, a heat transfer medium, a flow path 1110a, or a combination thereof.
  • a heat transfer fluid such as brine or gas flows through the flow path 1110a.
  • a channel 1110a is formed within the base 1110 and one or more heaters are disposed within the ceramic member 1111a of the electrostatic chuck 1111.
  • the substrate support section 11 may include a heat transfer gas supply section configured to supply heat transfer gas to the gap between the back surface of the substrate W and the central region 111a.
  • the shower head 13 is configured to introduce at least one processing gas from the gas supply section 20 into the plasma processing space 10s.
  • the shower head 13 has at least one gas supply port 13a, at least one gas diffusion chamber 13b, and a plurality of gas introduction ports 13c.
  • the processing gas supplied to the gas supply port 13a passes through the gas diffusion chamber 13b and is introduced into the plasma processing space 10s from the plurality of gas introduction ports 13c.
  • the showerhead 13 also includes at least one upper electrode.
  • the gas introduction section may include one or more side gas injectors (SGI) attached to one or more openings formed in the side wall 10a.
  • SGI side gas injectors
  • the gas supply section 20 may include at least one gas source 21 and at least one flow rate controller 22.
  • the gas supply 20 is configured to supply at least one process gas from a respective gas source 21 to the showerhead 13 via a respective flow controller 22 .
  • Each flow controller 22 may include, for example, a mass flow controller or a pressure-controlled flow controller.
  • gas supply 20 may include one or more flow modulation devices that modulate or pulse the flow rate of at least one process gas.
  • the power source 30 includes an RF power source 31 coupled to the plasma processing apparatus 1 via at least one impedance matching circuit.
  • RF power source 31 is configured to supply at least one RF signal (RF power) to at least one bottom electrode and/or at least one top electrode.
  • RF power RF power
  • the RF power source 31 may function as at least part of a plasma generation section configured to generate plasma from one or more processing gases in the plasma processing apparatus 1. Further, by supplying a bias RF signal to at least one lower electrode, a bias potential is generated in the substrate W, and ion components in the formed plasma can be drawn into the substrate W.
  • the RF power supply 31 includes a first RF generation section 31a and a second RF generation section 31b.
  • the first RF generation section 31a is coupled to at least one lower electrode and/or at least one upper electrode via at least one impedance matching circuit, and generates a source RF signal (source RF power) for plasma generation. It is configured as follows.
  • the source RF signal has a frequency within the range of 10 MHz to 150 MHz.
  • the first RF generator 31a may be configured to generate multiple source RF signals having different frequencies. The generated one or more source RF signals are provided to at least one bottom electrode and/or at least one top electrode.
  • the second RF generating section 31b is coupled to at least one lower electrode via at least one impedance matching circuit, and is configured to generate a bias RF signal (bias RF power).
  • the frequency of the bias RF signal may be the same or different than the frequency of the source RF signal.
  • the bias RF signal has a lower frequency than the frequency of the source RF signal.
  • the bias RF signal has a frequency within the range of 100kHz to 60MHz.
  • the second RF generator 31b may be configured to generate multiple bias RF signals having different frequencies.
  • the generated one or more bias RF signals are provided to at least one bottom electrode. Also, in various embodiments, at least one of the source RF signal and the bias RF signal may be pulsed.
  • the power source 30 may include a DC power source 32 coupled to the plasma processing apparatus 1.
  • the DC power supply 32 includes a first DC generation section 32a and a second DC generation section 32b.
  • the first DC generator 32a is connected to at least one lower electrode and configured to generate a first DC signal.
  • the generated first bias DC signal is applied to the at least one bottom electrode.
  • the second DC generator 32b is connected to the at least one upper electrode and configured to generate a second DC signal.
  • the generated second DC signal is applied to the at least one top electrode.
  • At least one of the first and second DC signals may be pulsed.
  • a sequence of voltage pulses is applied to at least one lower electrode and/or at least one upper electrode.
  • the voltage pulse may have a pulse waveform that is rectangular, trapezoidal, triangular, or a combination thereof.
  • a waveform generator for generating a sequence of voltage pulses from a DC signal is connected between the first DC generator 32a and the at least one bottom electrode. Therefore, the first DC generation section 32a and the waveform generation section constitute a voltage pulse generation section.
  • the voltage pulse generation section is connected to at least one upper electrode.
  • the voltage pulse may have positive polarity or negative polarity.
  • the sequence of voltage pulses may include one or more positive voltage pulses and one or more negative voltage pulses within one cycle.
  • the first and second DC generation units 32a and 32b may be provided in addition to the RF power source 31, or the first DC generation unit 32a may be provided in place of the second RF generation unit 31b. good.
  • the exhaust system 40 may be connected to a gas exhaust port 10e provided at the bottom of the plasma processing apparatus 1, for example.
  • Evacuation system 40 may include a pressure regulating valve and a vacuum pump. The pressure within the plasma processing space 10s is adjusted by the pressure regulating valve.
  • the vacuum pump may include a turbomolecular pump, a dry pump, or a combination thereof.
  • the controller 2 processes computer-executable instructions that cause the plasma processing apparatus 1 to perform various steps described in this disclosure. Controller 2 may be configured to control each element of plasma processing apparatus 1 to perform the various steps described herein. In one embodiment, part or all of the control device 2 may be included in the plasma processing apparatus 1.
  • the control device 2 may include a processing section 2a1, a storage section 2a2, and a communication interface 2a3.
  • the control device 2 is realized by, for example, a computer 2a.
  • the processing unit two a1 may be configured to read a program from the storage unit two a2 and perform various control operations by executing the read program. This program may be stored in the storage unit 2a2 in advance, or may be acquired via a medium when necessary.
  • the acquired program is stored in the storage unit 2a2, and is read out from the storage unit 2a2 and executed by the processing unit 2a1.
  • the medium may be various storage media readable by the computer 2a, or may be a communication line connected to the communication interface 2a3.
  • the processing unit 2a1 may be a CPU (Central Processing Unit).
  • the storage unit 2a2 may include a RAM (Random Access Memory), a ROM (Read Only Memory), an HDD (Hard Disk Drive), an SSD (Solid State Drive), or a combination thereof. Good.
  • the communication interface 2a3 may communicate with the plasma processing apparatus 1 via a communication line such as a LAN (Local Area Network).
  • post-processing such as cleaning of the substrate W is often performed using O 2 or N 2 plasma after substrate processing or at the final stage of substrate processing. Since this method uses plasma, the surface of the substrate W after processing may be damaged. On the other hand, if weak plasma is used to reduce damage, the removal of deposits attached to the substrate W may not be sufficient.
  • UV light VUV light
  • reaction byproducts, attached gas, and foreign matter are decomposed, Can be removed.
  • UV light irradiation is not limited to being carried out between the processing apparatus 100 and the vacuum transfer apparatus 200 as long as the substrate W is transferred, but if it is carried out between the processing apparatus 100 and the vacuum transfer apparatus 200, This is preferable because contamination inside and around the vacuum transfer device 200 can also be suppressed. Thereby, contamination within the substrate processing system can be effectively suppressed.
  • the cleaning effect can be obtained for the diffused gas. Since the range of cleaning with UV light is limited, the cleaning effect may be enhanced by installing the UV light source 300 near the source of the deposits, or by bringing objects with deposits close to the UV light source 300. Further, by changing or spreading the UV light to a cleaning location using a mirror or lens, the cleaning effect on the parts to be cleaned can be enhanced.
  • moisture adhering in the atmospheric environment, organic contamination adhering in a previous process or in the environment, etc. may be present. These deposits may affect subsequent substrate processing. Therefore, by irradiating the substrate W with UV light before processing and removing moisture etc. using the method of the present disclosure, it is possible to improve the etching characteristics and eliminate variations in the etching process.
  • UV light By using UV light in this way, it is possible to remove deposits from the surface of the substrate W while minimizing damage to the underlying substrate, preventing deterioration of the substrate W after processing, and It becomes possible to suppress accumulation of foreign matter inside the device 100, the vacuum transfer device 200, etc.
  • the substrate processing system according to the embodiment disclosed herein is to be considered as an example in all respects and not as a limitation.
  • the embodiments can be modified and improved in various ways without departing from the scope and spirit of the appended claims.
  • the matters described in the plurality of embodiments described above may be configured in other ways without being inconsistent, and may be combined without being inconsistent.
  • the substrate processing apparatus of the present disclosure is not limited to the apparatus shown in FIG. 7, but includes an Atomic Layer Deposition (ALD) apparatus, a Capacitively Coupled Plasma (CCP) apparatus, an Inductively Coupled Plasma (ICP) apparatus, a Radial Line Slot Antenna (RLSA) apparatus, It can be applied to any type of device: Electron Cyclotron Resonance Plasma (ECR) device or Helicon Wave Plasma (HWP) device.
  • ALD Atomic Layer Deposition
  • CCP Capacitively Coupled Plasma
  • ICP Inductively Coupled Plasma
  • RLSA Radial Line Slot Antenna
  • ECR Electron Cyclotron Resonance Plasma
  • HWP Helicon Wave Plasma
  • the substrate processing apparatus disclosed in this specification is not limited to an apparatus that processes a substrate using plasma, but may be an apparatus that processes a substrate without using plasma.
  • a processing device that processes the substrate; a vacuum transfer device that is connected to the processing device via an irradiation unit and has a transfer mechanism that transfers the substrate to and from the processing device;
  • the irradiation unit is provided between the processing device and the vacuum transfer device and includes a UV light source that irradiates ultraviolet light with a wavelength of 200 nm or less; Equipped with The UV light source is configured to irradiate the substrate with ultraviolet light to clean the substrate when the substrate processed by the processing device is transferred by the transfer mechanism to the vacuum transfer device via the irradiation unit.
  • substrate processing system is configured to irradiate the substrate with ultraviolet light to clean the substrate when the substrate processed by the processing device is transferred by the transfer mechanism to the vacuum transfer device via the irradiation unit.
  • the UV light source is arranged on one or more walls forming a passage of the irradiation section between the processing device and the vacuum transfer device.
  • the substrate processing system according to Supplementary Note 1.
  • the plurality of UV light sources are arranged in a direction substantially perpendicular to the direction in which the substrate passes through the passage, and emit light toward the substrate passing through the passage.
  • the substrate processing system according to appendix 2.
  • the transport mechanism has a transport arm, the transport arm has a pick configured to hold a substrate; the UV light source is configured to clean the transfer arm including the substrate and the pick with the ultraviolet light;
  • the substrate processing system according to any one of Supplementary Notes 1 to 3.
  • the UV light source uses the ultraviolet light to decompose gas inside the irradiation unit and/or gas attached to the substrate passing through the passage.
  • Substrate processing system according to appendix 2 or 3.
  • the processing device and/or the vacuum transfer device has a gas supply section,
  • the gas supply unit supplies a gas containing an oxygen-containing gas or a nitrogen-containing gas to the irradiation unit,
  • the UV light source decomposes the oxygen gas with the ultraviolet light to generate ozone molecules and/or singlet oxygen in the irradiation part, cleaning the substrate and the irradiation part passing through the passage with the ozone molecules and/or singlet oxygen;
  • the substrate processing system according to appendix 5.
  • the wall is formed of SiO 2 and transmits the ultraviolet light having a wavelength of 200 nm or less, The UV light source is attached to the wall and irradiates the substrate with ultraviolet light that has passed through the wall.
  • Substrate processing system according to appendix 2 or 3.
  • the UV light source is a UV lamp, a UV-LED light source or a UV-laser;
  • the substrate processing system according to any one of Supplementary Notes 1 to 3.
  • the substrate processing system has a control device, The control device includes: processing a substrate in the processing apparatus; transporting the substrate processed by the processing device to an irradiation unit provided between the processing device and the vacuum transfer device; irradiating ultraviolet light with a wavelength of 200 nm or less toward the substrate from the UV light source included in the irradiation unit; A substrate processing system that controls processes including
  • Plasma processing device 2 Control device 11 Substrate support section 13 shower head 21 Gas source 20 Gas supply section 30 Power source 31 RF power source 100 Processing device 111 Main body 112 Ring assembly 200 Vacuum transfer device 300 UV light source 301, 302, 303 Load lock device 600 Control device R1 to R6 Irradiation section

Abstract

Est prévu un système de traitement de substrat comprenant : un dispositif de traitement qui soumet un substrat à un traitement ; un dispositif de transport sous vide qui est relié au dispositif de traitement par l'intermédiaire d'une section d'irradiation et a un mécanisme de transport pour transporter le substrat vers et depuis le dispositif de traitement ; et la section d'irradiation qui est placée entre le dispositif de traitement et le dispositif de transport sous vide et a une source de lumière UV pour rayonner une lumière ultraviolette ayant une longueur d'onde de 200 nm ou moins. Lorsque le substrat traité par le dispositif de traitement est transporté à travers la section d'irradiation et vers le dispositif de transport sous vide par le mécanisme de transport, la source de lumière UV irradie le substrat avec une lumière ultraviolette pour nettoyer le substrat.
PCT/JP2023/030095 2022-09-05 2023-08-22 Système de traitement de substrat WO2024053386A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2022-141001 2022-09-05
JP2022141001 2022-09-05

Publications (1)

Publication Number Publication Date
WO2024053386A1 true WO2024053386A1 (fr) 2024-03-14

Family

ID=90191068

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2023/030095 WO2024053386A1 (fr) 2022-09-05 2023-08-22 Système de traitement de substrat

Country Status (1)

Country Link
WO (1) WO2024053386A1 (fr)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1140642A (ja) * 1997-07-22 1999-02-12 Dainippon Screen Mfg Co Ltd 基板処理装置および方法
JP2001104776A (ja) * 1999-10-06 2001-04-17 Tokyo Electron Ltd 処理装置及び処理方法
JP2015032757A (ja) * 2013-08-05 2015-02-16 東京エレクトロン株式会社 紫外線照射装置及び基板処理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1140642A (ja) * 1997-07-22 1999-02-12 Dainippon Screen Mfg Co Ltd 基板処理装置および方法
JP2001104776A (ja) * 1999-10-06 2001-04-17 Tokyo Electron Ltd 処理装置及び処理方法
JP2015032757A (ja) * 2013-08-05 2015-02-16 東京エレクトロン株式会社 紫外線照射装置及び基板処理方法

Similar Documents

Publication Publication Date Title
TWI809154B (zh) 成膜裝置及成膜方法
TWI736946B (zh) 用於鹵化物驅氣的處理系統及方法
KR101596093B1 (ko) 성막 장치의 운전 방법 및 성막 장치
TWI540658B (zh) Cleaning methods, handling devices and memory media
US20070062646A1 (en) Method and apparatus for processing substrates
JP5371854B2 (ja) 基板処理装置および基板処理方法
TWI518217B (zh) Etching method and etching device
KR102454525B1 (ko) 저-산소 분위기에서 vuv 광에 대한 제어된 노출에 의한 표면 전하 및 임베딩된 기판 전하의 감소
US20160340779A1 (en) Radical Reactor With Inverted Orientation
WO2005059976A1 (fr) Appareil et support d'enregistrement pouvant etre lu par ordinateur
KR102104867B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
WO2021262371A9 (fr) Modification de surface pour dépôt de résine photosensible contenant du métal
WO2024053386A1 (fr) Système de traitement de substrat
KR100743275B1 (ko) 플라즈마 처리 방법 및 후처리방법
KR101994918B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20210015710A (ko) 기판 처리 방법, 기판 처리 장치 및 클리닝 장치
JPH09306892A (ja) クリーニング方法および半導体製造装置
US20090266711A1 (en) Substrate processing apparatus
CN112313777A (zh) 用于选择性亲水表面处理的臭氧
JPH10284291A (ja) プラズマ処理装置及び処理方法
JP2023551182A (ja) 堆積残留物制御のためのシステム及び方法
JP2009117597A (ja) 基板処理装置および基板処理方法
KR20210000356A (ko) 기판 처리 장치 및 방법
JPS63266835A (ja) 気相反応装置
US20230130652A1 (en) Substrate treating method and chamber cleaning method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23862925

Country of ref document: EP

Kind code of ref document: A1