WO2024053386A1 - Substrate treatment system - Google Patents

Substrate treatment system Download PDF

Info

Publication number
WO2024053386A1
WO2024053386A1 PCT/JP2023/030095 JP2023030095W WO2024053386A1 WO 2024053386 A1 WO2024053386 A1 WO 2024053386A1 JP 2023030095 W JP2023030095 W JP 2023030095W WO 2024053386 A1 WO2024053386 A1 WO 2024053386A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
light
light source
gas
processing system
Prior art date
Application number
PCT/JP2023/030095
Other languages
French (fr)
Japanese (ja)
Inventor
宏史 長池
航 相田
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Publication of WO2024053386A1 publication Critical patent/WO2024053386A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

Provided is a substrate treatment system comprising: a treatment device that subjects a substrate to a treatment; a vacuum conveyance device that is connected to the treatment device via an irradiation section and has a conveyance mechanism for conveying the substrate to and from the treatment device; and the irradiation section, which is provided between the treatment device and the vacuum conveyance device and has a UV light source for radiating ultraviolet light having a wavelength of 200 nm or less. When the substrate treated by the treatment device is conveyed through the irradiation section and to the vacuum conveyance device by the conveyance mechanism, the UV light source irradiates the substrate with ultraviolet light to clean the substrate.

Description

基板処理システムSubstrate processing system
 本開示は、基板処理システムに関する。 The present disclosure relates to a substrate processing system.
 例えば、特許文献1は、ウェハの加工後、加工されたウェハを脱気チャンバの中へ移し、UV光と、オゾン分子、酸素又はHOの少なくとも一つを含むガス流と、によって処理することを提案している。 For example, U.S. Pat. No. 5,002,203 discloses that after processing the wafer, the processed wafer is transferred into a degassing chamber and treated with UV light and a gas stream containing at least one of ozone molecules, oxygen, or H2O . I am proposing that.
 例えば、特許文献2は、UV光を照射する支援チャンバを移送室の位置に取り付けることを提案している。 For example, Patent Document 2 proposes installing a support chamber that irradiates UV light at the position of the transfer chamber.
特表2013-509003号公報Special Publication No. 2013-509003 特表2009-543355号公報Special Publication No. 2009-543355
 本開示は、基板処理システム内の汚染を効果的に抑制することができる技術を提供する。 The present disclosure provides a technique that can effectively suppress contamination within a substrate processing system.
 本開示の一の態様によれば、基板に処理を施す処理装置と、前記処理装置に照射部を介して接続され、前記処理装置との間で基板を搬送する搬送機構を有する真空搬送装置と、前記処理装置と前記真空搬送装置との間に設けられ、200nm以下の波長の紫外光を照射するUV光源を有する前記照射部と、を備え、前記UV光源は、前記処理装置で処理された基板を、前記搬送機構により前記照射部を介して前記真空搬送装置に搬送するとき、前記基板に紫外光を照射し前記基板をクリーニングするように構成される、基板処理システムが提供される。 According to one aspect of the present disclosure, there is provided a processing device that processes a substrate; and a vacuum transfer device that is connected to the processing device via an irradiation unit and has a transfer mechanism that transfers the substrate between the processing device and the processing device. , the irradiation section having a UV light source that is provided between the processing device and the vacuum transfer device and irradiates ultraviolet light with a wavelength of 200 nm or less; A substrate processing system is provided, which is configured to clean the substrate by irradiating the substrate with ultraviolet light when the substrate is transferred by the transfer mechanism to the vacuum transfer device via the irradiation unit.
 一の側面によれば、基板処理システム内の汚染を効果的に抑制することができる。 According to one aspect, contamination within the substrate processing system can be effectively suppressed.
実施形態に係る基板処理システムの構成例を示す図。1 is a diagram illustrating a configuration example of a substrate processing system according to an embodiment. 実施形態に係る基板処理システムが実行する方法の一例を示すフローチャート。5 is a flowchart illustrating an example of a method executed by the substrate processing system according to the embodiment. 実施形態に係る照射部の構成例及びUV光によるクリーニングを説明する図。FIG. 3 is a diagram illustrating a configuration example of an irradiation unit and cleaning using UV light according to the embodiment. 実施形態に係る照射部の他の構成例を示す図。The figure which shows the other example of a structure of the irradiation part based on embodiment. 実施形態に係る方法によるクリーニングのメカニズムを示す図。FIG. 3 is a diagram showing a cleaning mechanism according to the method according to the embodiment. 実施形態に係る照射部によるクリーニング効果例を示す図。FIG. 3 is a diagram showing an example of the cleaning effect by the irradiation section according to the embodiment. 実施形態に係るプラズマ処理装置の構成例を示す図。1 is a diagram illustrating a configuration example of a plasma processing apparatus according to an embodiment.
 以下、図面を参照して本開示を実施するための形態について説明する。各図面において、同一構成部分には同一符号を付し、重複した説明を省略する場合がある。 Hereinafter, embodiments for implementing the present disclosure will be described with reference to the drawings. In each drawing, the same components are given the same reference numerals, and redundant explanations may be omitted.
 本明細書において平行、直角、直交、水平、垂直、上下、左右などの方向には、実施形態の効果を損なわない程度のずれが許容される。角部の形状は、直角に限られず、弓状に丸みを帯びてもよい。平行、直角、直交、水平、垂直、円、一致には、略平行、略直角、略直交、略水平、略垂直、略円、略一致が含まれてもよい。 In this specification, deviations in directions such as parallel, perpendicular, perpendicular, horizontal, perpendicular, up and down, left and right are allowed to the extent that the effects of the embodiments are not impaired. The shape of the corner portion is not limited to a right angle, but may be rounded in an arcuate manner. Parallel, perpendicular, orthogonal, horizontal, perpendicular, circular, and coincident may include substantially parallel, substantially perpendicular, substantially orthogonal, substantially horizontal, substantially perpendicular, substantially circular, and substantially coincident.
 [基板処理システム]
 実施形態に係る基板処理システムについて、図1を参照しながら説明する。図1は、実施形態に係る基板処理システムの構成例を示す図である。基板処理システムは、後述する本開示の方法(図2参照)を実行する。ただし、図1の基板処理システムの構成は一例であり、他の構成を取り得る。
[Substrate processing system]
A substrate processing system according to an embodiment will be described with reference to FIG. FIG. 1 is a diagram showing a configuration example of a substrate processing system according to an embodiment. The substrate processing system executes the method of the present disclosure (see FIG. 2) described below. However, the configuration of the substrate processing system in FIG. 1 is an example, and other configurations may be adopted.
 基板処理システムは、処理装置100a~100fと、真空(減圧)搬送装置200と、ロードロック装置301~303と、大気搬送装置400と、ロードポート501~504と、制御装置600と、を有する。処理装置100a~100fは、総称して処理装置100ともいう。 The substrate processing system includes processing devices 100a to 100f, a vacuum (reduced pressure) transfer device 200, load lock devices 301 to 303, an atmospheric transfer device 400, load ports 501 to 504, and a control device 600. The processing devices 100a to 100f are also collectively referred to as the processing device 100.
 処理装置100a~100fは、それぞれ照射部R1~R6及びゲートバルブG1~G6を介して真空搬送装置200と接続されている。処理装置100a~100f内は所定の真空雰囲気に減圧され、真空が維持されている。処理装置100a~100fは、基板Wに所望の処理を施す。処理装置100a~100fは同じ処理を行う装置であってもよく、別の処理を行う装置であってもよい。処理装置100a~100fでは、エッチング、成膜、アッシング、アニール等の処理が行われる。なお、処理装置100の構成例については、図7を用いて後述する。 The processing devices 100a to 100f are connected to the vacuum transfer device 200 via irradiation units R1 to R6 and gate valves G1 to G6, respectively. The inside of the processing apparatuses 100a to 100f is reduced to a predetermined vacuum atmosphere and maintained in vacuum. The processing apparatuses 100a to 100f perform desired processing on the substrate W. The processing devices 100a to 100f may be devices that perform the same processing, or may be devices that perform different processing. In the processing apparatuses 100a to 100f, processes such as etching, film formation, ashing, annealing, etc. are performed. Note that a configuration example of the processing device 100 will be described later using FIG. 7.
 照射部R1~R6は、それぞれ処理装置100a~100fと真空搬送装置200との間に設けられ、所定の真空雰囲気に減圧され、減圧が維持されている。照射部R1~R6は、それぞれ200nm以下の波長の紫外(UV)光を照射するUV光源300を有する。照射部R1~R6にはそれぞれ1以上のUV光源300が配置されている、照射部R1~R6は、総称して照射部Rともいう。図1の例では、照射部R1~R6はそれぞれ3つのUV光源300を含む。また、ゲートバルブG1~G6は、総称してゲートバルブGともいう。照射部RのそれぞれのUV光源300は、基板Wがそれぞれの照射部Rを通るとき、基板WにUV光を照射可能なように構成される。 The irradiation units R1 to R6 are provided between the processing devices 100a to 100f and the vacuum transfer device 200, respectively, and are reduced to a predetermined vacuum atmosphere, and the reduced pressure is maintained. Each of the irradiation units R1 to R6 has a UV light source 300 that irradiates ultraviolet (UV) light with a wavelength of 200 nm or less. One or more UV light sources 300 are disposed in each of the irradiation units R1 to R6, and the irradiation units R1 to R6 are also collectively referred to as the irradiation unit R. In the example of FIG. 1, each of the irradiation units R1 to R6 includes three UV light sources 300. Further, the gate valves G1 to G6 are also collectively referred to as gate valves G. Each UV light source 300 of the irradiation section R is configured to be able to irradiate the substrate W with UV light when the substrate W passes through the respective irradiation section R.
 ゲートバルブG1~G6は、照射部R1~R6と真空搬送装置200とを開閉する。図1の例では、ゲートバルブG1~G6は、それぞれ照射部R1~R6の真空搬送装置200の搬送口(図3(a)の搬送口20a1)に設けられ、真空搬送装置200と照射部R1~R6との間を開閉するように構成されている。ただし、これに限らず、ゲートバルブG1~G6は、それぞれ照射部R1~R6の処理装置100a~100fの搬送口(図3(a)の搬送口10a1)に設けられ、処理装置100a~100fと照射部R1~R6との間を開閉するように構成されてもよい。 The gate valves G1 to G6 open and close the irradiation units R1 to R6 and the vacuum transfer device 200. In the example of FIG. 1, the gate valves G1 to G6 are provided at the transfer ports (transfer ports 20a1 in FIG. 3A) of the vacuum transfer device 200 of the irradiation units R1 to R6, respectively, and are connected to the vacuum transfer device 200 and the irradiation unit R1. - R6 is configured to open and close between the terminal and R6. However, the present invention is not limited thereto, and the gate valves G1 to G6 are provided at the transfer ports (transfer ports 10a1 in FIG. 3A) of the processing devices 100a to 100f of the irradiation units R1 to R6, respectively. It may be configured to open and close between the irradiation units R1 to R6.
 真空搬送装置200内は、所定の減圧雰囲気に維持されている。真空搬送装置200は、処理装置100a~100f及びロードロック装置301~303の間で基板Wを搬送するように構成されている。真空搬送装置200には、減圧状態で基板Wを搬送可能な搬送機構201が設けられている。 The interior of the vacuum transfer device 200 is maintained at a predetermined reduced pressure atmosphere. The vacuum transfer device 200 is configured to transfer the substrate W between the processing devices 100a to 100f and the load lock devices 301 to 303. The vacuum transfer device 200 is provided with a transfer mechanism 201 that can transfer the substrate W under reduced pressure.
 搬送機構201は、基板Wを支持して移動する支持部としての搬送アーム201aと、搬送アーム201aを回転可能に支持する回転台212と、回転台212を搭載した基台213とを有している。また、真空搬送装置200の内部には、真空搬送装置200の長手方向に延伸するガイドレール214が設けられている。基台213はガイドレール214上に設けられ、搬送機構201はガイドレール214に沿って移動可能に構成されている。搬送アーム201aの先端には、基板Wを保持可能なピックが取り付けられている。搬送機構201は、処理装置100a~100f、ロードロック装置301~303に対して、基板Wを搬送する。 The transport mechanism 201 includes a transport arm 201a as a support unit that supports and moves the substrate W, a rotary table 212 that rotatably supports the transport arm 201a, and a base 213 on which the rotary table 212 is mounted. There is. Further, a guide rail 214 extending in the longitudinal direction of the vacuum transfer device 200 is provided inside the vacuum transfer device 200. The base 213 is provided on a guide rail 214, and the transport mechanism 201 is configured to be movable along the guide rail 214. A pick capable of holding the substrate W is attached to the tip of the transfer arm 201a. The transport mechanism 201 transports the substrate W to the processing apparatuses 100a to 100f and load lock devices 301 to 303.
 ロードロック装置301~303は、それぞれゲートバルブG21~G23を介して真空搬送装置200と接続され、ゲートバルブG31~G33を介して大気搬送装置400と接続されている。ロードロック装置301~303内は、大気雰囲気と真空雰囲気とを切り替えることができるようになっている。 The load lock devices 301 to 303 are connected to the vacuum transfer device 200 via gate valves G21 to G23, and to the atmospheric transfer device 400 via gate valves G31 to G33, respectively. The interior of the load lock devices 301 to 303 can be switched between an air atmosphere and a vacuum atmosphere.
 大気搬送装置400内は、大気雰囲気となっており、例えば清浄空気のダウンフローが形成されている。大気搬送装置400には、基板Wのアライメントを行う図示しないアライナが設けられている。また、大気搬送装置400には、基板Wを搬送する搬送機構440が設けられている。搬送機構440は、基板Wを支持して移動する搬送アーム41と、搬送アーム41を回転可能に支持する回転台42と、回転台42を搭載した基台43とを有している。また、大気搬送装置400の内部には、大気搬送装置400の長手方向に延伸するガイドレール44が設けられている。基台43はガイドレール44上に設けられ、搬送機構440はガイドレール44に沿って移動可能に構成されている。 The interior of the atmospheric transport device 400 is an atmospheric atmosphere, and for example, a downflow of clean air is formed. The atmospheric transport device 400 is provided with an aligner (not shown) that aligns the substrate W. Further, the atmospheric transport device 400 is provided with a transport mechanism 440 that transports the substrate W. The transport mechanism 440 includes a transport arm 41 that supports and moves the substrate W, a rotary table 42 that rotatably supports the transport arm 41, and a base 43 on which the rotary table 42 is mounted. Furthermore, a guide rail 44 extending in the longitudinal direction of the atmospheric transport device 400 is provided inside the atmospheric transport device 400 . The base 43 is provided on a guide rail 44, and the transport mechanism 440 is configured to be movable along the guide rail 44.
 ロードポート501~504は、大気搬送装置400の長辺の壁面に設けられている。ロードポート501~504には、基板Wが収容されたキャリアC又は空のキャリアCが載置され、ロードポート501~504に設けられた蓋が開閉されることにより大気搬送装置400との間で基板Wが搬送される。キャリアCとしては、例えばFOUP(Front Opening Unified Pod)を利用できる。 The load ports 501 to 504 are provided on the long side wall of the atmospheric transport device 400. A carrier C containing a substrate W or an empty carrier C is placed in the load ports 501 to 504, and is connected to the atmospheric transport device 400 by opening and closing the lids provided on the load ports 501 to 504. The substrate W is transported. As the carrier C, for example, FOUP (Front Opening Unified Pod) can be used.
 制御装置600は、基板処理システムの各部を制御する。例えば、制御装置600は、処理装置100a~100fの動作、搬送機構201,440の動作、ゲートバルブG1~G6、G21~G23,G31~G33の開閉、ロードロック装置301~303内の雰囲気の切り替え等を制御する。制御装置600は、例えばコンピュータであってよい。 The control device 600 controls each part of the substrate processing system. For example, the control device 600 controls the operation of the processing devices 100a to 100f, the operation of the transport mechanisms 201 and 440, the opening and closing of gate valves G1 to G6, G21 to G23, and G31 to G33, and the switching of the atmosphere inside the load lock devices 301 to 303. control etc. Control device 600 may be, for example, a computer.
 [方法]
 次に、基板処理システムが実行するクリーニングを含む方法について、図2及び図3を参照しながら説明する。図2は、実施形態に係る基板処理システムが実行する方法の一例を示すフローチャートである。図3は、実施形態に係る照射部Rの構成例及びUV光によるクリーニングを説明する図である。
[Method]
Next, a method including cleaning performed by the substrate processing system will be described with reference to FIGS. 2 and 3. FIG. 2 is a flowchart illustrating an example of a method executed by the substrate processing system according to the embodiment. FIG. 3 is a diagram illustrating a configuration example of the irradiation section R and cleaning using UV light according to the embodiment.
 図2の方法は、制御装置600により制御され、基板処理システムにより自動的に実行される。制御装置600は、まず、搬送機構440を制御して搬送アーム41により複数の基板Wを保管可能なFOUPから基板Wを取り出し、搬送アーム41に保持する(ステップS1)。次に、制御装置600は、ゲートバルブG31~G33のいずれかを開き、ロードロック装置301~303のいずれかの中に基板Wを搬送する(ステップS2)。 The method of FIG. 2 is controlled by the control device 600 and automatically executed by the substrate processing system. The control device 600 first controls the transport mechanism 440 to take out a substrate W from a FOUP in which a plurality of substrates W can be stored using the transport arm 41, and holds it on the transport arm 41 (step S1). Next, the control device 600 opens one of the gate valves G31 to G33 and transports the substrate W into one of the load lock devices 301 to 303 (step S2).
 次に、制御装置600は、ロードロック装置301~303のうち基板Wが搬送されたロードロック装置の内部を大気圧雰囲気から減圧雰囲気(真空状態)へ切り替える(ステップS3)。次に、制御装置600は、ゲートバルブG21~G23のいずれかを開き、搬送機構201を制御して基板Wを搬送アーム201aに保持し、ゲートバルブGを開き、真空搬送装置200を介して処理装置100a~100fのいずれかに搬送する(ステップS4)。 Next, the control device 600 switches the inside of the load lock device to which the substrate W has been transferred among the load lock devices 301 to 303 from an atmospheric pressure atmosphere to a reduced pressure atmosphere (vacuum state) (step S3). Next, the control device 600 opens any of the gate valves G21 to G23, controls the transfer mechanism 201 to hold the substrate W on the transfer arm 201a, opens the gate valve G, and transfers the substrate W to the transfer arm 201a for processing via the vacuum transfer device 200. It is transported to one of the devices 100a to 100f (step S4).
 次に、制御装置600は、処理装置100a~100fのいずれかにおいて基板Wを処理する(ステップS5)。 Next, the control device 600 processes the substrate W in one of the processing devices 100a to 100f (step S5).
 次に、制御装置600は、UV光源300からUV光の照射を開始し、照射部Rに、一例として、酸素含有ガスを供給し、照射部R内を排気して、調圧する(ステップS6)。酸素含有ガスの例は、酸素ガス、一酸化炭素、二酸化炭素、エアー、または水を含む。別の例では、窒素含有ガス、例えば、窒素ガスでもよい。例えば、照射部R内は、100mTorr(13.33Pa)~500mTorr(66.66Pa)に調圧される。照射部R内のガスの供給及び排気は、処理装置100のガス供給部20(図7参照)及び排気装置を用いる。処理装置100の圧力を真空搬送装置200の圧力よりも低く制御することで、処理装置100内に残留するエッチングガスが真空搬送装置200に流れないように制御する。 Next, the control device 600 starts irradiating UV light from the UV light source 300, supplies oxygen-containing gas to the irradiation section R, evacuates the inside of the irradiation section R, and adjusts the pressure (step S6). . Examples of oxygen-containing gases include oxygen gas, carbon monoxide, carbon dioxide, air, or water. In another example, it may be a nitrogen-containing gas, such as nitrogen gas. For example, the pressure inside the irradiation section R is regulated to 100 mTorr (13.33 Pa) to 500 mTorr (66.66 Pa). For supplying and exhausting gas in the irradiation unit R, the gas supply unit 20 (see FIG. 7) and exhaust device of the processing apparatus 100 are used. By controlling the pressure of the processing device 100 to be lower than the pressure of the vacuum transfer device 200, the etching gas remaining in the processing device 100 is controlled so as not to flow to the vacuum transfer device 200.
 次に、制御装置600は、処理した基板Wを、処理装置100と真空搬送装置200との搬送口(出入口)に位置する照射部Rを通過させ、基板Wをクリーニングする(ステップS7)。 Next, the control device 600 causes the processed substrate W to pass through the irradiation unit R located at the transfer port (entrance/exit) of the processing device 100 and the vacuum transfer device 200, and cleans the substrate W (step S7).
 図3(a)は、処理装置100と真空搬送装置200との間に配置された照射部Rの縦断面を模式的に示した図である。図3(b)は、UV光源300から照射したUV光による基板W上のクリーニングを説明するための図である。図3(b)では、天井壁310以外の照射部Rの壁を省略している。 FIG. 3(a) is a diagram schematically showing a longitudinal section of the irradiation section R disposed between the processing apparatus 100 and the vacuum transfer apparatus 200. FIG. 3B is a diagram for explaining cleaning on the substrate W by UV light irradiated from the UV light source 300. In FIG. 3B, walls of the irradiation section R other than the ceiling wall 310 are omitted.
 例えば、図3(a)に示すように、照射部Rは、処理装置100と真空搬送装置200との間の通路を形成する1以上の壁を有し、壁にUV光源300を配置している。図3(a)の例では、照射部Rは、天井壁310、底壁320、図示しない側壁を有し、UV光源300は、天井壁310に配置されている。 For example, as shown in FIG. 3(a), the irradiation section R has one or more walls forming a passage between the processing device 100 and the vacuum transfer device 200, and a UV light source 300 is disposed on the wall. There is. In the example of FIG. 3A, the irradiation unit R has a ceiling wall 310, a bottom wall 320, and a side wall (not shown), and the UV light source 300 is arranged on the ceiling wall 310.
 UV光源300は、200nm以下の波長のUV光を照射する。UV光源300は、波長が10nm~400nmの紫外線(UV光)のうち、波長が150nm~200nmの真空紫外線(VUV光)の領域の光を出力することが好ましい。ただし、波長が150nm以下の極端紫外線(EUV光)を出力してもよい。つまり、UV光源300から出力される200nm以下の波長のUV光は、VUV光及びEUV光を含む。 The UV light source 300 irradiates UV light with a wavelength of 200 nm or less. The UV light source 300 preferably outputs light in the vacuum ultraviolet (VUV) range with a wavelength of 150 nm to 200 nm among ultraviolet rays (UV light) with a wavelength of 10 nm to 400 nm. However, extreme ultraviolet light (EUV light) having a wavelength of 150 nm or less may be output. That is, the UV light with a wavelength of 200 nm or less output from the UV light source 300 includes VUV light and EUV light.
 UV光を伝播させる為には、エネルギーの吸収がないため真空環境が良い。以上から、照射部Rは、処理装置100及び真空搬送装置200と同様に減圧され、真空が維持されている。UV光源300は、UVランプ、UV-LED光源又はUV-レーザであり得る。UV光源300が固定された壁(図3の例では天井壁310)は、SiO(石英)で形成されている。石英は波長が150nm以上200nm以下のUV光を透過させることができる。 A vacuum environment is best for propagating UV light because there is no absorption of energy. From the above, the irradiation section R is depressurized and maintained in vacuum similarly to the processing apparatus 100 and the vacuum transfer apparatus 200. The UV light source 300 can be a UV lamp, a UV-LED light source or a UV-laser. The wall (ceiling wall 310 in the example of FIG. 3) to which the UV light source 300 is fixed is made of SiO 2 (quartz). Quartz can transmit UV light having a wavelength of 150 nm or more and 200 nm or less.
 よって、図3(b)に示すように、UV光源300は天井壁310の外側から天井壁310に取り付けられ、一例として約172nmの波長を含むUV光を照射するように構成される。ただし、図3(a)に示すように、UV光源300は天井壁310から照射部R内に露出してもよい。また、UV光源300は、照射部R内の処理装置100の搬送口10a1に近い位置に配置されるほうがよい。 Therefore, as shown in FIG. 3(b), the UV light source 300 is attached to the ceiling wall 310 from the outside of the ceiling wall 310, and is configured to emit UV light including, for example, a wavelength of about 172 nm. However, as shown in FIG. 3A, the UV light source 300 may be exposed into the irradiation part R from the ceiling wall 310. Furthermore, it is preferable that the UV light source 300 be placed in the irradiation section R at a position close to the transport port 10a1 of the processing device 100.
 ただし、UV光源300は、図4に示すように真空搬送装置200にあってもよい。図4(a)及び(b)の例では、照射部Rは、真空搬送装置200の天井壁200aに設けられた壁210とUV光源300とを有し、UV光源300は、壁210に配置されている。UV光源300が固定された壁(図4の例では壁210)は、SiO(石英)で形成されている。照射部Rは、搬送口20a1を通過している搬送途中の基板に対してUV光を照射できる位置に設けられる。UV光源300は、真空搬送装置200の搬送口20a1に近い位置に配置されるほうがよい。一例として、図4の例では真空搬送装置200の天井壁200aの壁210とUV光源300とが搬送口20a1に隣接又は近接した位置に設けられる。壁210を設けることなく、天井壁200aにUV光源300が配置されてもよい。この際も、真空搬送装置200の天井壁200aの搬送口20a1に隣接又は近接した位置にUV光源300が設けられる。処理装置100から真空搬送装置200へ基板Wを搬送する途中に基板Wに対してUV光を照射する。なお、図4に示す例では、ゲートバルブGは処理装置100側に設けられ、搬送口10a1を開閉するが、これに限られず、ゲートバルブGは真空搬送装置200側に設けられ、搬送口20a1を開閉してもよい。 However, the UV light source 300 may be located in the vacuum transfer device 200 as shown in FIG. In the example of FIGS. 4A and 4B, the irradiation unit R includes a wall 210 provided on the ceiling wall 200a of the vacuum transfer device 200 and a UV light source 300, and the UV light source 300 is arranged on the wall 210. has been done. The wall (wall 210 in the example of FIG. 4) to which the UV light source 300 is fixed is made of SiO 2 (quartz). The irradiation unit R is provided at a position where it can irradiate UV light onto the substrate that is being transported and is passing through the transport port 20a1. It is preferable that the UV light source 300 be placed near the transfer port 20a1 of the vacuum transfer device 200. As an example, in the example of FIG. 4, the wall 210 of the ceiling wall 200a of the vacuum transfer device 200 and the UV light source 300 are provided at a position adjacent to or close to the transfer port 20a1. The UV light source 300 may be placed on the ceiling wall 200a without providing the wall 210. Also in this case, the UV light source 300 is provided at a position adjacent to or close to the transfer port 20a1 of the ceiling wall 200a of the vacuum transfer device 200. While the substrate W is being transferred from the processing apparatus 100 to the vacuum transfer apparatus 200, the substrate W is irradiated with UV light. Note that in the example shown in FIG. 4, the gate valve G is provided on the processing device 100 side and opens and closes the transfer port 10a1, but the invention is not limited to this; the gate valve G is provided on the vacuum transfer device 200 side and opens and closes the transfer port 20a1. may be opened or closed.
 天井壁310(図3参照)及び壁210(図4参照)は、特に石英に限定されない。天井壁310及び壁210は、真空搬送装置200の天井壁や処理装置100の側壁と同じ材質で形成されていてもよい。天井壁310及び壁210は、真空搬送装置200の一部に形成されていてもよいし、処理装置100の一部に形成されていてもよい。 The ceiling wall 310 (see FIG. 3) and the wall 210 (see FIG. 4) are not particularly limited to quartz. The ceiling wall 310 and the wall 210 may be made of the same material as the ceiling wall of the vacuum transfer device 200 and the side wall of the processing device 100. The ceiling wall 310 and the wall 210 may be formed in a part of the vacuum transfer device 200 or in a part of the processing device 100.
 図3(a)に示す基板W表面の1nm~数nm程度の付着物について、下側の「処理後基板」にその一例を可視化して模式的に示す。処理後の基板Wの表面には、処理装置100内で行った基板処理(エッチング等)中に発生した反応副生成物De1や基板処理中に使用されたガスDe2の吸着物が付着している。また、基板Wを搬送中に基板Wの表面に有機物が付着することがある。反応副生成物De1には、このような有機物も含まれる。 An example of deposits of about 1 nm to several nm on the surface of the substrate W shown in FIG. 3(a) is visualized and schematically shown in the lower "substrate after processing". Reaction byproducts De1 generated during substrate processing (etching, etc.) performed in the processing apparatus 100 and adsorbed substances of gas De2 used during substrate processing are attached to the surface of the substrate W after processing. . Furthermore, organic matter may adhere to the surface of the substrate W while the substrate W is being transported. The reaction by-product De1 also includes such organic substances.
 かかる状態の処理後の基板Wが処理装置100から搬出され、真空搬送装置200やその他の処理装置100等へ搬入されると、真空搬送装置200等でガスDe2の脱離、反応副生成物De1の剥がれや反応副生成物De1からのアウトガスが生じる。この結果、真空搬送装置200等の内部が汚染される。また、基板Wを大気搬送する場合には、大気中の水分等と反応して基板W上で有機汚染物質を生成したり、基板Wの表面が変質したりすることがあり、歩留まりを低下させる要因になる。以下、反応副生成物De1及びガスDe2を、付着物Deとも表記する。 When the processed substrate W in such a state is carried out from the processing apparatus 100 and carried into the vacuum transfer apparatus 200 or other processing apparatuses 100, gas De2 is desorbed and reaction by-products De1 are removed by the vacuum transfer apparatus 200 or the like. Peeling occurs and outgas from the reaction by-product De1 occurs. As a result, the inside of the vacuum transfer device 200 etc. is contaminated. Furthermore, when the substrate W is transported in the atmosphere, organic contaminants may be generated on the substrate W by reacting with moisture in the atmosphere, or the surface of the substrate W may be altered, which reduces the yield. become a factor. Hereinafter, the reaction by-product De1 and the gas De2 will also be referred to as deposits De.
 基板Wの搬送中に付着物Deやアウトガスが真空搬送装置200へ拡散することを防ぐために、処理装置100と真空搬送装置200の搬送口に設けられた照射部R内の空間にて基板WにUV光を照射する。これにより、付着物Deや照射部R内のガスを分解し、真空搬送装置200への汚染物の拡散を抑制する。例えば、基板Wに付着したガスDe2や反応副生成物De1がエッチングガスとして使用されたCF系ガス、CF系堆積物の場合、CF系ガスやCF系堆積物をCやCOに分解し、揮発させることで基板W上の付着物を除去(クリーニング)することができる。 In order to prevent deposits De and outgas from diffusing into the vacuum transfer device 200 during the transfer of the substrate W, the substrate W is heated in the space within the irradiation section R provided at the transfer ports of the processing device 100 and the vacuum transfer device 200. Irradiate with UV light. This decomposes the deposits De and the gas in the irradiation section R, and suppresses the diffusion of contaminants into the vacuum transfer device 200. For example, in the case of CF-based gas or CF-based deposits where the gas De2 or reaction by-product De1 attached to the substrate W is used as an etching gas, the CF-based gas or CF-based deposits are decomposed into C and CO, and then volatilized. By doing so, deposits on the substrate W can be removed (cleaned).
 処理装置100のガス供給部20(図7参照)から照射部R内に酸素(O)ガス又は酸素ガスを含むガスを供給してもよい。図3(b)に示すように、UV光源300から照射されるUV光は、照射部R内の酸素ガスを分解して、照射部R内でオゾン分子(O)及び一重項酸素原子O(D)を生成する。 Oxygen (O 2 ) gas or a gas containing oxygen gas may be supplied into the irradiation unit R from the gas supply unit 20 (see FIG. 7) of the processing apparatus 100. As shown in FIG. 3(b), the UV light irradiated from the UV light source 300 decomposes the oxygen gas in the irradiation part R, and in the irradiation part R, ozone molecules (O 3 ) and singlet oxygen atoms O ( 1D ).
 これにより、付着物Deが所定波長のUV光を吸収し、光エネルギーにより付着物Deの結合を分解させて除去することができる。これと同時にUV光の照射により発生したオゾン分子及び一重項酸素の作用により付着物Deを二酸化炭素や水などの揮発性物質に分解及び反応させて除去することもできる。このように基板Wの表面をクリーニングする間、照射部Rの内壁に付着した付着物やガス、付着物からのアウトガス、浮遊するガスを分解し、除去することもできる。 As a result, the deposit De absorbs UV light of a predetermined wavelength, and the bonds of the deposit De can be broken down and removed by the light energy. At the same time, the deposits De can be removed by being decomposed and reacted with volatile substances such as carbon dioxide and water by the action of ozone molecules and singlet oxygen generated by UV light irradiation. While cleaning the surface of the substrate W in this manner, it is also possible to decompose and remove deposits and gases attached to the inner wall of the irradiation section R, outgas from the deposits, and floating gases.
 図2に戻り、次に、制御装置600は、UV光源300からのUV光の照射を停止し、処理装置100のガス供給部20からの酸素ガスの供給を停止する(ステップS8)。次に、制御装置600は、搬出する基板Wに対応するゲートバルブG1~G6のいずれかを開け、搬送アーム201aにより基板Wを保持し、ロードロック装置301~303のいずれかに搬送する。そして、制御装置600は、基板Wを大気搬送装置400の搬送機構440の搬送アーム41に保持し、FOUPへ搬送する(ステップS9)。これにより、実施形態に係る基板Wの搬送及びクリーニングの方法が実現される。 Returning to FIG. 2, next, the control device 600 stops the irradiation of UV light from the UV light source 300, and stops the supply of oxygen gas from the gas supply unit 20 of the processing device 100 (step S8). Next, the control device 600 opens one of the gate valves G1 to G6 corresponding to the substrate W to be carried out, holds the substrate W by the transfer arm 201a, and transfers it to one of the load lock devices 301 to 303. Then, the control device 600 holds the substrate W on the transfer arm 41 of the transfer mechanism 440 of the atmospheric transfer device 400, and transfers it to the FOUP (step S9). Thereby, the method of transporting and cleaning the substrate W according to the embodiment is realized.
 本開示の方法によれば、減圧下の照射部RにてUV光を基板Wに照射することにより基板Wをクリーニングすることができる。 According to the method of the present disclosure, the substrate W can be cleaned by irradiating the substrate W with UV light in the irradiation section R under reduced pressure.
 この結果、図1の「A」の矢印にて示される基板Wの搬送中にクリーニングが完了し、基板Wが真空搬送装置200に搬送されたとき、真空搬送装置200が基板Wに付着した付着物Deやアウトガスにより汚染されることを抑制することができる。 As a result, when the cleaning is completed during the transportation of the substrate W as indicated by the arrow “A” in FIG. Contamination by kimono De and outgas can be suppressed.
 UV光源300は、基板Wが照射部Rの通路を通る方向(図1の「A」の矢印の方向)に対して略垂直方向に複数配置される(図1では3つ)。そして、UV光源300は、この通路を通る基板Wに向けてUV光を照射する。このため、基板Wが照射部R内でUV光源300の下方を通過するときに、基板Wの移動により、UV光が基板Wの表面を走査するようにして基板Wの全面にUV光を照射することができる。この結果、UV光のエネルギーにより基板Wの表面に付着している付着物Deを分解し、脱離させることができる。 A plurality of UV light sources 300 are arranged (three in FIG. 1) substantially perpendicular to the direction in which the substrate W passes through the path of the irradiation unit R (the direction of the arrow “A” in FIG. 1). Then, the UV light source 300 irradiates the substrate W passing through this passage with UV light. Therefore, when the substrate W passes below the UV light source 300 in the irradiation section R, the entire surface of the substrate W is irradiated with UV light so that the UV light scans the surface of the substrate W due to the movement of the substrate W. can do. As a result, the deposits De attached to the surface of the substrate W can be decomposed and detached by the energy of the UV light.
 図5(a)に一例を示すように、付着物Deの一例としてCH含有反応副生成物(CxHy)、CF含有反応副生成物(CnFm)が基板Wの表面に付着している状態で、UV光源300からUV光を照射する。そうすると、CxHy、CnFmの反応副生成物は、出力されたUV光のうち、約172nmの波長λのUV光のエネルギーEを吸収する。エネルギーEは、プランク定数hとUV光の振動数vの積で示される。振動数vは、UV光の速度cを波長λで割ったものである。これにより、ポリマーの状態で付着しているCxHyやCnFmは、CF、CH、CH等のよりサイズが小さく、気化しやすい物質に分解され、基板Wから照射部R内の雰囲気中に脱離していく。照射部R内の基板Wから脱離した物質及びガスは、処理装置100又は真空搬送装置200の排気装置により排出される。これにより基板Wをクリーニングすることができる。このようにして、UV光がクリーニングの直接対象物である基板W上の付着物Deに照射され、付着物Deが付着物の種類に応じた所定の波長の光を吸収して分解が進む。 As shown in FIG. 5A, in a state where a CH-containing reaction by-product (CxHy) and a CF-containing reaction by-product (CnFm) are attached to the surface of the substrate W as an example of the deposit De, UV light is irradiated from a UV light source 300. Then, the reaction by-products of CxHy and CnFm absorb the energy E of the UV light having a wavelength λ of about 172 nm among the output UV light. Energy E is represented by the product of Planck's constant h and the frequency v of UV light. The frequency v is the speed c of the UV light divided by the wavelength λ. As a result, CxHy and CnFm attached in the polymer state are decomposed into substances such as CF 4 , CH 4 , CH 6 that are smaller in size and easily vaporized, and are released from the substrate W into the atmosphere in the irradiation area R. Detachment. Substances and gases desorbed from the substrate W in the irradiation section R are exhausted by the exhaust device of the processing device 100 or the vacuum transfer device 200. Thereby, the substrate W can be cleaned. In this way, the UV light is irradiated onto the deposit De on the substrate W, which is the object to be directly cleaned, and the deposit De absorbs light of a predetermined wavelength depending on the type of the deposit, and decomposition proceeds.
 また、図5(b)に一例を示すように、照射部R内が酸素含有雰囲気の場合、酸素ガスがUV光を吸収し、UV光のエネルギーにより酸素を分解してオゾン分子(O)及び一重項酸素原子O(D)を生成する。一重項酸素原子O(D)は、酸素原子の励起状態を示す。オゾン分子や一重項酸素は、活性酸素種である。 Furthermore, as an example shown in FIG. 5(b), when the inside of the irradiation part R is in an oxygen-containing atmosphere, oxygen gas absorbs UV light, and the energy of the UV light decomposes oxygen to form ozone molecules (O 3 ). and generates a singlet oxygen atom O( 1 D). A singlet oxygen atom O( 1 D) indicates an excited state of an oxygen atom. Ozone molecules and singlet oxygen are active oxygen species.
 オゾン分子や一重項酸素が基板W上の付着物Deと反応することでクリーニングが促進される。この結果、図5(c)に一例を示すように、UV光が直接基板W上の付着物Deに照射され、付着物Deが分解され、除去される。これとともに、オゾン分子や一重項酸素が付着物Deと反応し、CO、CO、HO等となって除去される。照射部R内を酸素含有雰囲気にすることにより、基板Wから付着物Deを効果的に除去することができる。 Cleaning is facilitated by the reaction of ozone molecules and singlet oxygen with deposits De on the substrate W. As a result, as an example shown in FIG. 5(c), the UV light is directly irradiated onto the deposit De on the substrate W, and the deposit De is decomposed and removed. At the same time, ozone molecules and singlet oxygen react with the deposits De, and are removed as CO, CO 2 , H 2 O, and the like. By creating an oxygen-containing atmosphere in the irradiation part R, deposits De can be effectively removed from the substrate W.
 なお、付着物Deは、有機含有物だけでなく、シリコン含有物やハロゲン含有物であってもよい。この場合にもシリコン含有物やハロゲン含有物が吸収しやすいUV光をそれぞれが吸収して各含有物を分解し、脱離させることができる。 Note that the deposit De may be not only an organic-containing substance but also a silicon-containing substance or a halogen-containing substance. In this case as well, silicon-containing materials and halogen-containing materials can absorb UV light that is easily absorbed, thereby decomposing and desorbing each contained material.
 酸素ガスはUV光を吸収しやすい点で有利であるが、照射部R内を窒素(N)ガスの雰囲気にしてもよい。この場合、照射部R内から酸素ガスのようなUV光を吸収しやすいガスが減るため、相対的に付着物Deに直接照射されるUV光のエネルギーが多くなり、付着物Deが直接UV光を吸収することによる付着物Deの分解が進む。なお、窒素ガスは172nmの波長の光は吸収しない。 Although oxygen gas is advantageous in that it easily absorbs UV light, the inside of the irradiation section R may be made into an atmosphere of nitrogen (N 2 ) gas. In this case, since the amount of gas that easily absorbs UV light, such as oxygen gas, is reduced from within the irradiation area R, the energy of the UV light that is directly irradiated to the deposit De is relatively increased, and the deposit De is directly exposed to the UV light. The decomposition of deposits De progresses by absorbing . Note that nitrogen gas does not absorb light with a wavelength of 172 nm.
 以上から、照射部R内は、減圧されていることが好ましいが、減圧環境に限らず、例えば、酸素含有雰囲気であってもよいし、窒素含有雰囲気であってもよいし、大気雰囲気であってもよい。UV光のエネルギーだけをクリーニングに利用する場合は、照射部R内を減圧又は窒素含有雰囲気に制御する。一方、オゾン分子や一重項酸素を発生させて酸化分解させる効果を利用する場合は、照射部R内を酸素含有雰囲気に制御することでクリーニングの効果を高めることができる。 From the above, it is preferable that the inside of the irradiation part R be under reduced pressure, but it is not limited to a reduced pressure environment, and may be, for example, an oxygen-containing atmosphere, a nitrogen-containing atmosphere, or an atmospheric atmosphere. It's okay. When only the energy of UV light is used for cleaning, the inside of the irradiation section R is controlled to a reduced pressure or a nitrogen-containing atmosphere. On the other hand, when utilizing the effect of generating ozone molecules or singlet oxygen to cause oxidative decomposition, the cleaning effect can be enhanced by controlling the inside of the irradiation part R to be an oxygen-containing atmosphere.
 [効果]
 UV光による基板Wへの付着物Deのクリーニング評価結果の一例について、図6を参照しながら説明する。図6は、実施形態に係る照射部Rによるクリーニング効果の一例を示す図である。
[effect]
An example of evaluation results for cleaning deposits De on the substrate W using UV light will be described with reference to FIG. 6. FIG. 6 is a diagram showing an example of the cleaning effect by the irradiation section R according to the embodiment.
 図6の横軸は照射部Rの室内の雰囲気を示し、縦軸はクリーニング速度(nm/min)、すなわち、付着物Deの除去速度を示す。これによれば、照射部Rの室内の雰囲気を大気(Air(酸素含有雰囲気))にした場合、オゾン分子や一重項酸素の作用によりクリーニング速度が約50(nm/min)と高かった。 The horizontal axis in FIG. 6 shows the atmosphere inside the room of the irradiation part R, and the vertical axis shows the cleaning speed (nm/min), that is, the removal speed of deposits De. According to this, when the indoor atmosphere of the irradiation part R was set to the atmosphere (Air (oxygen-containing atmosphere)), the cleaning rate was as high as about 50 (nm/min) due to the action of ozone molecules and singlet oxygen.
 一方、照射部Rの室内の雰囲気を窒素含有雰囲気にした場合、オゾン分子や一重項酸素によるクリーニングの作用がないため、クリーニング速度が酸素含有雰囲気の場合と比べて約5(nm/min)と低かった。すなわち、クリーニングの効率は、照射部R内を酸素含有雰囲気にする方が窒素含有雰囲気よりも高くなった。 On the other hand, when the indoor atmosphere of the irradiation part R is made into a nitrogen-containing atmosphere, there is no cleaning effect by ozone molecules or singlet oxygen, so the cleaning speed is about 5 (nm/min) lower than in the case of an oxygen-containing atmosphere. It was low. That is, the cleaning efficiency was higher when the inside of the irradiation part R was made into an oxygen-containing atmosphere than when it was made into a nitrogen-containing atmosphere.
 ただし、照射部R内を窒素含有雰囲気にした場合にもUV光が直接付着物Deに照射されることによる付着物Deの分解が進むため、クリーニングの効果はあることがわかった。実際に基板W上の付着物Deは、例えば1nm又はそれ以下の厚さであり量は少ない。このため、照射部R内を窒素含有雰囲気にした場合にも充分にクリーニング効果が得られる。 However, it has been found that even when the inside of the irradiation part R is made into a nitrogen-containing atmosphere, the UV light is directly irradiated onto the deposit De, which causes the decomposition of the deposit De to proceed, so that the cleaning effect is effective. Actually, the deposit De on the substrate W has a thickness of, for example, 1 nm or less, and is small in amount. Therefore, even when the inside of the irradiation part R is made into a nitrogen-containing atmosphere, a sufficient cleaning effect can be obtained.
 以上から、本開示の基板処理システムによれば、照射部R内を酸素含有雰囲気にするとクリーニング効果は高いが、酸素含有雰囲気でなく例えば、窒素含有雰囲気でも充分なクリーニング効果が得られることがわかる。 From the above, it can be seen that according to the substrate processing system of the present disclosure, the cleaning effect is high when the inside of the irradiation part R is made into an oxygen-containing atmosphere, but a sufficient cleaning effect can also be obtained not only in an oxygen-containing atmosphere but also in a nitrogen-containing atmosphere, for example. .
 以上、照射部Rを通過する処理後の基板Wのクリーニングについて説明した(図1のA参照)。しかし、本開示の方法の適用はこれに限らない。例えば、処理前の基板Wが照射部Rを通過するときにUV光源300からUV光を処理前の基板Wに照射することで、処理前の基板Wに付着した有機物や水分等を取り除くことができる。 The cleaning of the substrate W after the treatment passing through the irradiation section R has been described above (see A in FIG. 1). However, the application of the method of the present disclosure is not limited to this. For example, by irradiating the unprocessed substrate W with UV light from the UV light source 300 when the unprocessed substrate W passes through the irradiation section R, it is possible to remove organic substances, moisture, etc. attached to the unprocessed substrate W. can.
 また、クリーニングの対象物は、基板Wだけでなく、搬送アームやピックであってもよい。例えば、図1の「B」に示すように、真空搬送装置200と処理装置100eとの間を搬送アーム201aが進入及び退避し、搬送アーム201aが照射部Rを通過するとき、搬送アーム201aにUV光が照射される。これにより、搬送アーム201aに付着した付着物が分解し、搬送アーム201aのピックやアーム部分をクリーニングすることができる。搬送アーム201aのクリーニングは、処理装置100a~100fのそれぞれに搬送アーム201aが搬入するとき及び搬出するとき、それぞれの照射部R1~R6において行うことができる。 Furthermore, the object to be cleaned may be not only the substrate W but also a transport arm or a pick. For example, as shown in “B” in FIG. UV light is applied. As a result, the deposits attached to the transport arm 201a are broken down, and the pick and arm portion of the transport arm 201a can be cleaned. Cleaning of the transport arm 201a can be performed at each of the irradiation units R1 to R6 when the transport arm 201a is carried into and out of the processing apparatuses 100a to 100f, respectively.
 基板Wの表面にUV光を照射することに限らない。例えば、図3(a)に示す照射部Rの底壁320に下から上向きにUV光源300を固定させ、基板Wの裏面にUV光を照射させてもよい。基板Wの表面だけでなく、基板Wの裏面(特に外周部分)にも付着物Deが付着していることがある。よって、基板Wが照射部Rを通過するときに下方向から基板Wの裏面にUV光を照射させることで、基板Wの裏面をクリーニングすることができる。また、基板Wが照射部Rを通過するときに上下方向から基板Wの表面及び裏面にUV光を照射させることで、基板Wの両面を同時にクリーニングすることができる。 The method is not limited to irradiating the surface of the substrate W with UV light. For example, the UV light source 300 may be fixed to the bottom wall 320 of the irradiation unit R shown in FIG. 3A from below upward, and the back surface of the substrate W may be irradiated with UV light. The deposits De may be attached not only to the front surface of the substrate W but also to the back surface (particularly the outer peripheral portion) of the substrate W. Therefore, by irradiating the back surface of the substrate W with UV light from below when the substrate W passes through the irradiation section R, the back surface of the substrate W can be cleaned. Furthermore, by irradiating the front and back surfaces of the substrate W from above and below with UV light when the substrate W passes through the irradiation section R, both surfaces of the substrate W can be cleaned at the same time.
 図1に示すように、真空搬送装置200の内部の一部に基板Wが通過する照射部Qを設け、照射部QにUV光源を設けて照射部Q内で基板WにUV光を照射し、基板Wをクリーニングしてもよい。照射部Qは、基板Wをストックする容器であってもよいし、基板Wを位置決めする装置であってもよい。照射部Qに限らず、基板Wが一時的に停止する場所や基板Wが通過する空間を形成できる容器にUV光源を設けて基板WにUV光を照射し、基板Wをクリーニングしてもよい。 As shown in FIG. 1, an irradiation part Q through which the substrate W passes is provided in a part of the interior of the vacuum transfer apparatus 200, a UV light source is provided in the irradiation part Q, and the substrate W is irradiated with UV light within the irradiation part Q. , the substrate W may be cleaned. The irradiation unit Q may be a container that stocks the substrates W, or may be a device that positions the substrates W. In addition to the irradiation unit Q, a UV light source may be provided in a place where the substrate W temporarily stops or in a container that can form a space for the substrate W to pass, and the substrate W may be irradiated with UV light to clean the substrate W. .
 大気搬送装置400とロードロック装置301~303との間に照射部R(図示せず)を設け、大気搬送装置400とロードロック装置301~303とを移送させる基板Wをクリーニングしてもよい。この場合、ロードロック装置301~303を減圧雰囲気にした後、UV光を基板Wに照射することで基板W上の付着物Deを分解して除去することができる。 An irradiation section R (not shown) may be provided between the atmospheric transport device 400 and the load lock devices 301 to 303 to clean the substrate W to be transferred between the atmospheric transport device 400 and the load lock devices 301 to 303. In this case, the deposits De on the substrate W can be decomposed and removed by irradiating the substrate W with UV light after the load lock devices 301 to 303 are brought into a reduced pressure atmosphere.
 大気搬送装置400とロードロック装置301~303との間だけではなく、ロードロック装置301~303の上部に照射部R(図示せず)を設置し、大気開放前や大気開放中、大気開放後にロードロック装置301~303を通る基板WにUV光を照射し、基板Wをクリーニングしてもよい。 An irradiation section R (not shown) is installed not only between the atmospheric transport device 400 and the load lock devices 301 to 303, but also above the load lock devices 301 to 303, and is installed before, during, and after the atmosphere is released. The substrates W passing through the load lock devices 301 to 303 may be irradiated with UV light to clean the substrates W.
 また、本開示の方法によれば、各装置内のガス自体をクリーニングすることができる。例えば図1の「C」に示すように、UV光源300を各装置内に組み込み、特定のタイミングでUV光源300からUV光を装置内に照射することで、装置内のガス自体を分解し、分解したガスを排気することで装置内をクリーニングすることができる。 Furthermore, according to the method of the present disclosure, the gas itself within each device can be cleaned. For example, as shown in "C" in FIG. 1, a UV light source 300 is installed in each device, and by irradiating UV light from the UV light source 300 into the device at a specific timing, the gas itself in the device is decomposed. The inside of the device can be cleaned by exhausting the decomposed gas.
 このように本開示の方法によるクリーニングの対象物は、基板W等に付着した付着物Deに限らず、各装置の内壁の付着物や浮遊しているガスも含まれる。例えば、Cガス、Cガス等のエッチングで使用又は生成されるガス分子や反応副生成物もクリーニングの対象物に含まれる。 In this way, the objects to be cleaned by the method of the present disclosure are not limited to the deposits De attached to the substrate W etc., but also include deposits on the inner walls of each device and floating gas. For example, gas molecules and reaction by-products used or generated during etching, such as C 4 F 6 gas and C 4 F 8 gas, are also included in the objects to be cleaned.
 更に、本開示の方法において、UV光源300から出力されるUV光の強度によりクリーニング速度を制御してもよい。また、UV光源300を固定する石英の天井壁310をレンズ形状にしてUV光を集光することで光の強度を上げるようにしてもよい。 Furthermore, in the method of the present disclosure, the cleaning speed may be controlled by the intensity of the UV light output from the UV light source 300. Alternatively, the quartz ceiling wall 310 to which the UV light source 300 is fixed may be formed into a lens shape to collect the UV light to increase the intensity of the light.
 処理装置100又は真空搬送装置200等の排気装置に四重極質量分析計や光吸収型ガスモニタなどのガス検知装置を設置してガスのモニタリングを行うことでクリーニングの終点検出や、照射パワー、時間、雰囲気などのUV照射条件の最適化を行ってもよい。 By installing a gas detection device such as a quadrupole mass spectrometer or a light absorption type gas monitor in the exhaust device such as the processing device 100 or the vacuum transfer device 200 and monitoring the gas, it is possible to detect the end point of cleaning, and to determine the irradiation power and time. , UV irradiation conditions such as atmosphere may be optimized.
 以上により、基板処理システム内の汚染を効果的に抑制することができる。 With the above, contamination within the substrate processing system can be effectively suppressed.
 [処理装置]
 最後に、処理装置100の一例について、図7を参照しながら説明する。図7は、実施形態に係るプラズマ処理装置1の構成例を示す図である。プラズマ処理装置1は、処理装置100(基板処理装置)の一例である。
[Processing device]
Finally, an example of the processing device 100 will be described with reference to FIG. 7. FIG. 7 is a diagram showing a configuration example of the plasma processing apparatus 1 according to the embodiment. The plasma processing apparatus 1 is an example of a processing apparatus 100 (substrate processing apparatus).
 図7は、容量結合型のプラズマ処理装置1の構成例を示す。プラズマ処理装置1は、制御装置2を含む。プラズマ処理装置1は、プラズマ処理チャンバ10、ガス供給部20、電源30及び排気システム40を含む。また、プラズマ処理装置1は、基板支持部11及びガス導入部を含む。ガス導入部は、少なくとも1つの処理ガスをプラズマ処理装置1内に導入するように構成される。ガス導入部は、シャワーヘッド13を含む。基板支持部11は、プラズマ処理装置1内に配置される。シャワーヘッド13は、基板支持部11の上方に配置される。一実施形態において、シャワーヘッド13は、プラズマ処理装置1の天部(ceiling)の少なくとも一部を構成する。プラズマ処理装置1は、シャワーヘッド13、プラズマ処理装置1の側壁10a及び基板支持部11により規定されたプラズマ処理空間10sを有する。プラズマ処理装置1の側壁10aには、基板Wを搬送するための搬送口10a1が設けられている。搬送口10a1に隣接して照射部Rが配置されている。 FIG. 7 shows a configuration example of a capacitively coupled plasma processing apparatus 1. The plasma processing apparatus 1 includes a control device 2 . The plasma processing apparatus 1 includes a plasma processing chamber 10, a gas supply section 20, a power source 30, and an exhaust system 40. Further, the plasma processing apparatus 1 includes a substrate support section 11 and a gas introduction section. The gas introduction section is configured to introduce at least one processing gas into the plasma processing apparatus 1 . The gas introduction section includes a shower head 13. The substrate support section 11 is arranged within the plasma processing apparatus 1 . The shower head 13 is arranged above the substrate support section 11 . In one embodiment, the shower head 13 constitutes at least a portion of the ceiling of the plasma processing apparatus 1 . The plasma processing apparatus 1 has a plasma processing space 10s defined by a shower head 13, a side wall 10a of the plasma processing apparatus 1, and a substrate support 11. The side wall 10a of the plasma processing apparatus 1 is provided with a transport port 10a1 for transporting the substrate W. An irradiation unit R is arranged adjacent to the transport port 10a1.
 プラズマ処理装置1は、少なくとも1つの処理ガスをプラズマ処理空間10sに供給するための少なくとも1つのガス供給口と、プラズマ処理空間からガスを排出するための少なくとも1つのガス排出口とを有する。プラズマ処理装置1は接地される。シャワーヘッド13及び基板支持部11とプラズマ処理装置1の照射部とは電気的に絶縁される。 The plasma processing apparatus 1 has at least one gas supply port for supplying at least one processing gas to the plasma processing space 10s, and at least one gas exhaust port for discharging gas from the plasma processing space. The plasma processing apparatus 1 is grounded. The shower head 13, the substrate support section 11, and the irradiation section of the plasma processing apparatus 1 are electrically insulated.
 基板支持部11は、本体部111及びリングアセンブリ112を含む。本体部111は、基板Wを支持するための中央領域111aと、リングアセンブリ112を支持するための環状領域111bとを有する。ウェハは基板Wの一例である。本体部111の環状領域111bは、平面視で本体部111の中央領域111aを囲んでいる。基板Wは、本体部111の中央領域111a上に配置され、リングアセンブリ112は、本体部111の中央領域111a上の基板Wを囲むように本体部111の環状領域111b上に配置される。従って、中央領域111aは、基板Wを支持するための基板支持面とも呼ばれ、環状領域111bは、リングアセンブリ112を支持するためのリング支持面とも呼ばれる。 The substrate support section 11 includes a main body section 111 and a ring assembly 112. The main body portion 111 has a central region 111a for supporting the substrate W and an annular region 111b for supporting the ring assembly 112. A wafer is an example of a substrate W. The annular region 111b of the main body 111 surrounds the central region 111a of the main body 111 in plan view. The substrate W is placed on the central region 111a of the main body 111, and the ring assembly 112 is placed on the annular region 111b of the main body 111 so as to surround the substrate W on the central region 111a of the main body 111. Therefore, the central region 111a is also called a substrate support surface for supporting the substrate W, and the annular region 111b is also called a ring support surface for supporting the ring assembly 112.
 一実施形態において、本体部111は、基台1110及び静電チャック1111を含む。基台1110は、導電性部材を含む。基台1110の導電性部材は下部電極として機能し得る。静電チャック1111は、基台1110の上に配置される。静電チャック1111は、セラミック部材1111aとセラミック部材1111a内に配置される静電電極1111bとを含む。セラミック部材1111aは、中央領域111aを有する。一実施形態において、セラミック部材1111aは、環状領域111bも有する。なお、環状静電チャックや環状絶縁部材のような、静電チャック1111を囲む他の部材が環状領域111bを有してもよい。この場合、リングアセンブリ112は、環状静電チャック又は環状絶縁部材の上に配置されてもよく、静電チャック1111と環状絶縁部材の両方の上に配置されてもよい。また、後述するRF(Radio Frequency)電源31及び/又はDC(Direct Current)電源32に結合される少なくとも1つのRF/DC電極がセラミック部材1111a内に配置されてもよい。この場合、少なくとも1つのRF/DC電極が下部電極として機能する。後述するバイアスRF信号及び/又はDC信号が少なくとも1つのRF/DC電極に供給される場合、RF/DC電極はバイアス電極とも呼ばれる。なお、基台1110の導電性部材と少なくとも1つのRF/DC電極とが複数の下部電極として機能してもよい。また、静電電極1111bが下部電極として機能してもよい。従って、基板支持部11は、少なくとも1つの下部電極を含む。 In one embodiment, the main body 111 includes a base 1110 and an electrostatic chuck 1111. Base 1110 includes a conductive member. The conductive member of the base 1110 can function as a lower electrode. Electrostatic chuck 1111 is placed on base 1110. Electrostatic chuck 1111 includes a ceramic member 1111a and an electrostatic electrode 1111b disposed within ceramic member 1111a. Ceramic member 1111a has a central region 111a. In one embodiment, ceramic member 1111a also has an annular region 111b. Note that another member surrounding the electrostatic chuck 1111, such as an annular electrostatic chuck or an annular insulating member, may have the annular region 111b. In this case, ring assembly 112 may be placed on the annular electrostatic chuck or the annular insulation member, or may be placed on both the electrostatic chuck 1111 and the annular insulation member. Further, at least one RF/DC electrode coupled to an RF (Radio Frequency) power source 31 and/or a DC (Direct Current) power source 32, which will be described later, may be disposed within the ceramic member 1111a. In this case, at least one RF/DC electrode functions as a bottom electrode. An RF/DC electrode is also referred to as a bias electrode if a bias RF signal and/or a DC signal, as described below, is supplied to at least one RF/DC electrode. Note that the conductive member of the base 1110 and at least one RF/DC electrode may function as a plurality of lower electrodes. Further, the electrostatic electrode 1111b may function as a lower electrode. Therefore, the substrate support 11 includes at least one lower electrode.
 リングアセンブリ112は、1又は複数の環状部材を含む。一実施形態において、1又は複数の環状部材は、1又は複数のエッジリングと少なくとも1つのカバーリングとを含む。エッジリングは、導電性材料又は絶縁材料で形成され、カバーリングは、絶縁材料で形成される。 Ring assembly 112 includes one or more annular members. In one embodiment, the one or more annular members include one or more edge rings and at least one cover ring. The edge ring is made of a conductive or insulating material, and the cover ring is made of an insulating material.
 また、基板支持部11は、静電チャック1111、リングアセンブリ112及び基板のうち少なくとも1つをターゲット温度に調節するように構成される温調モジュールを含んでもよい。温調モジュールは、ヒータ、伝熱媒体、流路1110a、又はこれらの組み合わせを含んでもよい。流路1110aには、ブラインやガスのような伝熱流体が流れる。一実施形態において、流路1110aが基台1110内に形成され、1又は複数のヒータが静電チャック1111のセラミック部材1111a内に配置される。また、基板支持部11は、基板Wの裏面と中央領域111aとの間の間隙に伝熱ガスを供給するように構成された伝熱ガス供給部を含んでもよい。 Further, the substrate support unit 11 may include a temperature control module configured to adjust at least one of the electrostatic chuck 1111, the ring assembly 112, and the substrate to a target temperature. The temperature control module may include a heater, a heat transfer medium, a flow path 1110a, or a combination thereof. A heat transfer fluid such as brine or gas flows through the flow path 1110a. In one embodiment, a channel 1110a is formed within the base 1110 and one or more heaters are disposed within the ceramic member 1111a of the electrostatic chuck 1111. Further, the substrate support section 11 may include a heat transfer gas supply section configured to supply heat transfer gas to the gap between the back surface of the substrate W and the central region 111a.
 シャワーヘッド13は、ガス供給部20からの少なくとも1つの処理ガスをプラズマ処理空間10s内に導入するように構成される。シャワーヘッド13は、少なくとも1つのガス供給口13a、少なくとも1つのガス拡散室13b、及び複数のガス導入口13cを有する。ガス供給口13aに供給された処理ガスは、ガス拡散室13bを通過して複数のガス導入口13cからプラズマ処理空間10s内に導入される。また、シャワーヘッド13は、少なくとも1つの上部電極を含む。なお、ガス導入部は、シャワーヘッド13に加えて、側壁10aに形成された1又は複数の開口部に取り付けられる1又は複数のサイドガス注入部(SGI:Side Gas Injector)を含んでもよい。 The shower head 13 is configured to introduce at least one processing gas from the gas supply section 20 into the plasma processing space 10s. The shower head 13 has at least one gas supply port 13a, at least one gas diffusion chamber 13b, and a plurality of gas introduction ports 13c. The processing gas supplied to the gas supply port 13a passes through the gas diffusion chamber 13b and is introduced into the plasma processing space 10s from the plurality of gas introduction ports 13c. The showerhead 13 also includes at least one upper electrode. In addition to the shower head 13, the gas introduction section may include one or more side gas injectors (SGI) attached to one or more openings formed in the side wall 10a.
 ガス供給部20は、少なくとも1つのガスソース21及び少なくとも1つの流量制御器22を含んでもよい。一実施形態において、ガス供給部20は、少なくとも1つの処理ガスを、それぞれに対応のガスソース21からそれぞれに対応の流量制御器22を介してシャワーヘッド13に供給するように構成される。各流量制御器22は、例えばマスフローコントローラ又は圧力制御式の流量制御器を含んでもよい。さらに、ガス供給部20は、少なくとも1つの処理ガスの流量を変調又はパルス化する1又はそれ以上の流量変調デバイスを含んでもよい。 The gas supply section 20 may include at least one gas source 21 and at least one flow rate controller 22. In one embodiment, the gas supply 20 is configured to supply at least one process gas from a respective gas source 21 to the showerhead 13 via a respective flow controller 22 . Each flow controller 22 may include, for example, a mass flow controller or a pressure-controlled flow controller. Additionally, gas supply 20 may include one or more flow modulation devices that modulate or pulse the flow rate of at least one process gas.
 電源30は、少なくとも1つのインピーダンス整合回路を介してプラズマ処理装置1に結合されるRF電源31を含む。RF電源31は、少なくとも1つのRF信号(RF電力)を少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に供給するように構成される。これにより、プラズマ処理空間10sに供給された少なくとも1つの処理ガスからプラズマが形成される。従って、RF電源31は、プラズマ処理装置1において1又はそれ以上の処理ガスからプラズマを生成するように構成されるプラズマ生成部の少なくとも一部として機能し得る。また、バイアスRF信号を少なくとも1つの下部電極に供給することにより、基板Wにバイアス電位が発生し、形成されたプラズマ中のイオン成分を基板Wに引き込むことができる。 The power source 30 includes an RF power source 31 coupled to the plasma processing apparatus 1 via at least one impedance matching circuit. RF power source 31 is configured to supply at least one RF signal (RF power) to at least one bottom electrode and/or at least one top electrode. Thereby, plasma is formed from at least one processing gas supplied to the plasma processing space 10s. Accordingly, the RF power source 31 may function as at least part of a plasma generation section configured to generate plasma from one or more processing gases in the plasma processing apparatus 1. Further, by supplying a bias RF signal to at least one lower electrode, a bias potential is generated in the substrate W, and ion components in the formed plasma can be drawn into the substrate W.
 一実施形態において、RF電源31は、第1のRF生成部31a及び第2のRF生成部31bを含む。第1のRF生成部31aは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に結合され、プラズマ生成用のソースRF信号(ソースRF電力)を生成するように構成される。一実施形態において、ソースRF信号は、10MHz~150MHzの範囲内の周波数を有する。一実施形態において、第1のRF生成部31aは、異なる周波数を有する複数のソースRF信号を生成するように構成されてもよい。生成された1又は複数のソースRF信号は、少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に供給される。 In one embodiment, the RF power supply 31 includes a first RF generation section 31a and a second RF generation section 31b. The first RF generation section 31a is coupled to at least one lower electrode and/or at least one upper electrode via at least one impedance matching circuit, and generates a source RF signal (source RF power) for plasma generation. It is configured as follows. In one embodiment, the source RF signal has a frequency within the range of 10 MHz to 150 MHz. In one embodiment, the first RF generator 31a may be configured to generate multiple source RF signals having different frequencies. The generated one or more source RF signals are provided to at least one bottom electrode and/or at least one top electrode.
 第2のRF生成部31bは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つの下部電極に結合され、バイアスRF信号(バイアスRF電力)を生成するように構成される。バイアスRF信号の周波数は、ソースRF信号の周波数と同じであっても異なっていてもよい。一実施形態において、バイアスRF信号は、ソースRF信号の周波数よりも低い周波数を有する。一実施形態において、バイアスRF信号は、100kHz~60MHzの範囲内の周波数を有する。一実施形態において、第2のRF生成部31bは、異なる周波数を有する複数のバイアスRF信号を生成するように構成されてもよい。生成された1又は複数のバイアスRF信号は、少なくとも1つの下部電極に供給される。また、種々の実施形態において、ソースRF信号及びバイアスRF信号のうち少なくとも1つがパルス化されてもよい。 The second RF generating section 31b is coupled to at least one lower electrode via at least one impedance matching circuit, and is configured to generate a bias RF signal (bias RF power). The frequency of the bias RF signal may be the same or different than the frequency of the source RF signal. In one embodiment, the bias RF signal has a lower frequency than the frequency of the source RF signal. In one embodiment, the bias RF signal has a frequency within the range of 100kHz to 60MHz. In one embodiment, the second RF generator 31b may be configured to generate multiple bias RF signals having different frequencies. The generated one or more bias RF signals are provided to at least one bottom electrode. Also, in various embodiments, at least one of the source RF signal and the bias RF signal may be pulsed.
 また、電源30は、プラズマ処理装置1に結合されるDC電源32を含んでもよい。DC電源32は、第1のDC生成部32a及び第2のDC生成部32bを含む。一実施形態において、第1のDC生成部32aは、少なくとも1つの下部電極に接続され、第1のDC信号を生成するように構成される。生成された第1のバイアスDC信号は、少なくとも1つの下部電極に印加される。一実施形態において、第2のDC生成部32bは、少なくとも1つの上部電極に接続され、第2のDC信号を生成するように構成される。生成された第2のDC信号は、少なくとも1つの上部電極に印加される。 Additionally, the power source 30 may include a DC power source 32 coupled to the plasma processing apparatus 1. The DC power supply 32 includes a first DC generation section 32a and a second DC generation section 32b. In one embodiment, the first DC generator 32a is connected to at least one lower electrode and configured to generate a first DC signal. The generated first bias DC signal is applied to the at least one bottom electrode. In one embodiment, the second DC generator 32b is connected to the at least one upper electrode and configured to generate a second DC signal. The generated second DC signal is applied to the at least one top electrode.
 種々の実施形態において、第1及び第2のDC信号のうち少なくとも1つがパルス化されてもよい。この場合、電圧パルスのシーケンスが少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に印加される。電圧パルスは、矩形、台形、三角形又はこれらの組み合わせのパルス波形を有してもよい。一実施形態において、DC信号から電圧パルスのシーケンスを生成するための波形生成部が第1のDC生成部32aと少なくとも1つの下部電極との間に接続される。従って、第1のDC生成部32a及び波形生成部は、電圧パルス生成部を構成する。第2のDC生成部32b及び波形生成部が電圧パルス生成部を構成する場合、電圧パルス生成部は、少なくとも1つの上部電極に接続される。電圧パルスは、正の極性を有してもよく、負の極性を有してもよい。また、電圧パルスのシーケンスは、1周期内に1又は複数の正極性電圧パルスと1又は複数の負極性電圧パルスとを含んでもよい。なお、第1及び第2のDC生成部32a,32bは、RF電源31に加えて設けられてもよく、第1のDC生成部32aが第2のRF生成部31bに代えて設けられてもよい。 In various embodiments, at least one of the first and second DC signals may be pulsed. In this case, a sequence of voltage pulses is applied to at least one lower electrode and/or at least one upper electrode. The voltage pulse may have a pulse waveform that is rectangular, trapezoidal, triangular, or a combination thereof. In one embodiment, a waveform generator for generating a sequence of voltage pulses from a DC signal is connected between the first DC generator 32a and the at least one bottom electrode. Therefore, the first DC generation section 32a and the waveform generation section constitute a voltage pulse generation section. When the second DC generation section 32b and the waveform generation section constitute a voltage pulse generation section, the voltage pulse generation section is connected to at least one upper electrode. The voltage pulse may have positive polarity or negative polarity. Furthermore, the sequence of voltage pulses may include one or more positive voltage pulses and one or more negative voltage pulses within one cycle. Note that the first and second DC generation units 32a and 32b may be provided in addition to the RF power source 31, or the first DC generation unit 32a may be provided in place of the second RF generation unit 31b. good.
 排気システム40は、例えばプラズマ処理装置1の底部に設けられたガス排出口10eに接続され得る。排気システム40は、圧力調整弁及び真空ポンプを含んでもよい。圧力調整弁によって、プラズマ処理空間10s内の圧力が調整される。真空ポンプは、ターボ分子ポンプ、ドライポンプ又はこれらの組み合わせを含んでもよい。 The exhaust system 40 may be connected to a gas exhaust port 10e provided at the bottom of the plasma processing apparatus 1, for example. Evacuation system 40 may include a pressure regulating valve and a vacuum pump. The pressure within the plasma processing space 10s is adjusted by the pressure regulating valve. The vacuum pump may include a turbomolecular pump, a dry pump, or a combination thereof.
 制御装置2は、本開示において述べられる種々の工程をプラズマ処理装置1に実行させるコンピュータ実行可能な命令を処理する。制御装置2は、ここで述べられる種々の工程を実行するようにプラズマ処理装置1の各要素を制御するように構成され得る。一実施形態において、制御装置2の一部又は全てがプラズマ処理装置1に含まれてもよい。制御装置2は、処理部2a1、記憶部2a2及び通信インターフェース2a3を含んでもよい。制御装置2は、例えばコンピュータ2aにより実現される。処理部2a1は、記憶部2a2からプログラムを読み出し、読み出されたプログラムを実行することにより種々の制御動作を行うように構成され得る。このプログラムは、予め記憶部2a2に格納されていてもよく、必要なときに、媒体を介して取得されてもよい。取得されたプログラムは、記憶部2a2に格納され、処理部2a1によって記憶部2a2から読み出されて実行される。媒体は、コンピュータ2aに読み取り可能な種々の記憶媒体であってもよく、通信インターフェース2a3に接続されている通信回線であってもよい。処理部2a1は、CPU(Central Processing Unit)であってもよい。記憶部2a2は、RAM(Random Access Memory)、ROM(Read Only Memory)、HDD(Hard Disk Drive)、SSD(Solid State Drive)、又はこれらの組み合わせを含んでもよい。通信インターフェース2a3は、LAN(Local Area Network)等の通信回線を介してプラズマ処理装置1との間で通信してもよい。 The controller 2 processes computer-executable instructions that cause the plasma processing apparatus 1 to perform various steps described in this disclosure. Controller 2 may be configured to control each element of plasma processing apparatus 1 to perform the various steps described herein. In one embodiment, part or all of the control device 2 may be included in the plasma processing apparatus 1. The control device 2 may include a processing section 2a1, a storage section 2a2, and a communication interface 2a3. The control device 2 is realized by, for example, a computer 2a. The processing unit two a1 may be configured to read a program from the storage unit two a2 and perform various control operations by executing the read program. This program may be stored in the storage unit 2a2 in advance, or may be acquired via a medium when necessary. The acquired program is stored in the storage unit 2a2, and is read out from the storage unit 2a2 and executed by the processing unit 2a1. The medium may be various storage media readable by the computer 2a, or may be a communication line connected to the communication interface 2a3. The processing unit 2a1 may be a CPU (Central Processing Unit). The storage unit 2a2 may include a RAM (Random Access Memory), a ROM (Read Only Memory), an HDD (Hard Disk Drive), an SSD (Solid State Drive), or a combination thereof. Good. The communication interface 2a3 may communicate with the plasma processing apparatus 1 via a communication line such as a LAN (Local Area Network).
 従来、基板Wの洗浄などの後処理は基板処理の後または、基板処理の最終段階でOやNのプラズマによって行うことが多い。この手法はプラズマを使用するため、処理後の基板Wの表面にダメージを与えてしまう場合がある。一方、ダメージを減らすために弱いプラズマを使用すると基板Wに付着した付着物の除去も不十分となる場合がある。 Conventionally, post-processing such as cleaning of the substrate W is often performed using O 2 or N 2 plasma after substrate processing or at the final stage of substrate processing. Since this method uses plasma, the surface of the substrate W after processing may be damaged. On the other hand, if weak plasma is used to reduce damage, the removal of deposits attached to the substrate W may not be sufficient.
 その他、専用の後処理チャンバを利用して処理後の基板Wの表面の付着物を除去する方法もある。この手法はフットプリントやコストの増加につながる場合がある。これに対して、本開示の基板処理システムによれば、波長200nm以下の波長のUV光(VUV光)を基板Wの表面に照射することで反応副生成物や付着ガス、異物を分解し、除去することができる。UV光の照射は基板Wが搬送される経路であれば、処理装置100と真空搬送装置200の間にて行うことに限定はしないが、処理装置100と真空搬送装置200の間で行うと、真空搬送装置200の内部や周囲の汚染も抑制することができるため好ましい。これにより、基板処理システム内の汚染を効果的に抑制することができる。 In addition, there is also a method of removing deposits on the surface of the substrate W after processing using a dedicated post-processing chamber. This approach may lead to increased footprint and cost. In contrast, according to the substrate processing system of the present disclosure, by irradiating the surface of the substrate W with UV light (VUV light) with a wavelength of 200 nm or less, reaction byproducts, attached gas, and foreign matter are decomposed, Can be removed. UV light irradiation is not limited to being carried out between the processing apparatus 100 and the vacuum transfer apparatus 200 as long as the substrate W is transferred, but if it is carried out between the processing apparatus 100 and the vacuum transfer apparatus 200, This is preferable because contamination inside and around the vacuum transfer device 200 can also be suppressed. Thereby, contamination within the substrate processing system can be effectively suppressed.
 つまり、本開示の方法によれば、基板Wの表面及び裏面、真空搬送装置200の搬送アームやピック、処理装置100の内壁、真空搬送装置200及び照射部Rの内壁、さらには処理装置100から拡散してくるガスに対しても同様のクリーニング効果が得られる。UV光によるクリーニングの範囲は限られるため、付着物の発生原因の近傍にUV光源300を設置するか、UV光源300近傍に付着物が付着したものを近づけるといった方法でクリーニング効果を高めるとよい。また、UV光をミラーやレンズを用いてクリーニング場所を変更したり広げたりすることにより、クリーニング対象パーツへのクリーニング効果を高めることができる。 That is, according to the method of the present disclosure, from the front and back surfaces of the substrate W, the transfer arm and pick of the vacuum transfer device 200, the inner wall of the processing device 100, the inner wall of the vacuum transfer device 200 and the irradiation section R, and even the processing device 100. A similar cleaning effect can be obtained for the diffused gas. Since the range of cleaning with UV light is limited, the cleaning effect may be enhanced by installing the UV light source 300 near the source of the deposits, or by bringing objects with deposits close to the UV light source 300. Further, by changing or spreading the UV light to a cleaning location using a mirror or lens, the cleaning effect on the parts to be cleaned can be enhanced.
 処理前の基板Wの表面のクリーニングにも使用することができる。処理前の基板Wの表面には大気環境下で付着した水分や前プロセスや環境中で付着した有機汚染などが存在することがある。これらの付着物はその後の基板処理に影響を与えることがある。よって、本開示の方法により基板Wに処理前にUV光を照射し、水分等を脱離させることで、エッチングの特性を改善させたり、エッチング処理のばらつきをなくしたりすることが可能となる。 It can also be used to clean the surface of the substrate W before processing. On the surface of the substrate W before processing, moisture adhering in the atmospheric environment, organic contamination adhering in a previous process or in the environment, etc. may be present. These deposits may affect subsequent substrate processing. Therefore, by irradiating the substrate W with UV light before processing and removing moisture etc. using the method of the present disclosure, it is possible to improve the etching characteristics and eliminate variations in the etching process.
 このようにUV光を利用することで下地へのダメージを最小限に抑えながら基板Wの表面等への付着物の除去を行うことが可能となり、処理後の基板Wの変質を防止し、処理装置100や真空搬送装置200等の内部への異物の蓄積を抑制することが可能となる。 By using UV light in this way, it is possible to remove deposits from the surface of the substrate W while minimizing damage to the underlying substrate, preventing deterioration of the substrate W after processing, and It becomes possible to suppress accumulation of foreign matter inside the device 100, the vacuum transfer device 200, etc.
 今回開示された実施形態に係る基板処理システムは、すべての点において例示であって制限的なものではないと考えられるべきである。実施形態は、添付の請求の範囲及びその主旨を逸脱することなく、様々な形態で変形及び改良が可能である。上記複数の実施形態に記載された事項は、矛盾しない範囲で他の構成も取り得ることができ、また、矛盾しない範囲で組み合わせることができる。 The substrate processing system according to the embodiment disclosed herein is to be considered as an example in all respects and not as a limitation. The embodiments can be modified and improved in various ways without departing from the scope and spirit of the appended claims. The matters described in the plurality of embodiments described above may be configured in other ways without being inconsistent, and may be combined without being inconsistent.
 本開示の基板処理装置は、図7に示す装置に限らず、Atomic Layer Deposition(ALD)装置、Capacitively Coupled Plasma(CCP)装置、Inductively Coupled Plasma(ICP)装置、Radial Line Slot Antenna(RLSA)装置、Electron Cyclotron Resonance Plasma(ECR)装置、Helicon Wave Plasma(HWP)装置のいずれのタイプの装置でも適用可能である。 The substrate processing apparatus of the present disclosure is not limited to the apparatus shown in FIG. 7, but includes an Atomic Layer Deposition (ALD) apparatus, a Capacitively Coupled Plasma (CCP) apparatus, an Inductively Coupled Plasma (ICP) apparatus, a Radial Line Slot Antenna (RLSA) apparatus, It can be applied to any type of device: Electron Cyclotron Resonance Plasma (ECR) device or Helicon Wave Plasma (HWP) device.
 また、本明細書に開示の基板処理装置は、プラズマを用いて基板を処理する装置に限らず、プラズマを用いずに基板を処理する装置であってもよい。 Further, the substrate processing apparatus disclosed in this specification is not limited to an apparatus that processes a substrate using plasma, but may be an apparatus that processes a substrate without using plasma.
 以上に開示された実施形態は、例えば、以下の態様を含む。 The embodiments disclosed above include, for example, the following aspects.
 (付記1)
 基板に処理を施す処理装置と、
 前記処理装置に照射部を介して接続され、前記処理装置との間で基板を搬送する搬送機構を有する真空搬送装置と、
 前記処理装置と前記真空搬送装置との間に設けられ、200nm以下の波長の紫外光を照射するUV光源を有する前記照射部と、
 を備え、
 前記UV光源は、前記処理装置で処理された基板を、前記搬送機構により前記照射部を介して前記真空搬送装置に搬送するとき、前記基板に紫外光を照射し前記基板をクリーニングするように構成される、基板処理システム。
(Additional note 1)
a processing device that processes the substrate;
a vacuum transfer device that is connected to the processing device via an irradiation unit and has a transfer mechanism that transfers the substrate to and from the processing device;
The irradiation unit is provided between the processing device and the vacuum transfer device and includes a UV light source that irradiates ultraviolet light with a wavelength of 200 nm or less;
Equipped with
The UV light source is configured to irradiate the substrate with ultraviolet light to clean the substrate when the substrate processed by the processing device is transferred by the transfer mechanism to the vacuum transfer device via the irradiation unit. substrate processing system.
 (付記2)
 前記UV光源は、前記処理装置と前記真空搬送装置との間の前記照射部の通路を形成する1以上の壁に配置される、
 付記1に記載の基板処理システム。
(Additional note 2)
The UV light source is arranged on one or more walls forming a passage of the irradiation section between the processing device and the vacuum transfer device.
The substrate processing system according to Supplementary Note 1.
 (付記3)
 複数の前記UV光源は、前記基板が前記通路を通る方向に対して略垂直方向に配置され、前記通路を通る前記基板に向けて照射する、
 付記2に記載の基板処理システム。
(Additional note 3)
The plurality of UV light sources are arranged in a direction substantially perpendicular to the direction in which the substrate passes through the passage, and emit light toward the substrate passing through the passage.
The substrate processing system according to appendix 2.
 (付記4)
 前記搬送機構は、搬送アームを有し、前記搬送アームは、基板を保持するように構成されたピックを有し、
 前記UV光源は、前記紫外光により前記基板及び前記ピックを含む前記搬送アームをクリーニングするように構成される、
 付記1~3のいずれか一項に記載の基板処理システム。
(Additional note 4)
the transport mechanism has a transport arm, the transport arm has a pick configured to hold a substrate;
the UV light source is configured to clean the transfer arm including the substrate and the pick with the ultraviolet light;
The substrate processing system according to any one of Supplementary Notes 1 to 3.
 (付記5)
 前記UV光源は、前記紫外光により前記照射部の内部のガス及び/又は前記通路を通る前記基板に付着したガスを分解する、
 付記2又は3に記載の基板処理システム。
(Appendix 5)
The UV light source uses the ultraviolet light to decompose gas inside the irradiation unit and/or gas attached to the substrate passing through the passage.
Substrate processing system according to appendix 2 or 3.
 (付記6)
 前記処理装置及び/又は前記真空搬送装置は、ガス供給部を有し、
 前記ガス供給部は、前記照射部に酸素含有ガス又は窒素含有ガスを含むガスを供給し、
 前記UV光源は、前記紫外光により前記酸素ガスを分解して、前記照射部内にてオゾン分子及び/又は一重項酸素を生成し、
 前記オゾン分子及び/又は一重項酸素により前記通路を通る前記基板及び前記照射部をクリーニングする、
 付記5に記載の基板処理システム。
(Appendix 6)
The processing device and/or the vacuum transfer device has a gas supply section,
The gas supply unit supplies a gas containing an oxygen-containing gas or a nitrogen-containing gas to the irradiation unit,
The UV light source decomposes the oxygen gas with the ultraviolet light to generate ozone molecules and/or singlet oxygen in the irradiation part,
cleaning the substrate and the irradiation part passing through the passage with the ozone molecules and/or singlet oxygen;
The substrate processing system according to appendix 5.
 (付記7)
 前記壁は、SiOで形成され、200nm以下の波長の前記紫外光を透過し、
 前記UV光源は、前記壁に取り付けられ、前記壁を透過した紫外光を前記基板に照射する、
 付記2又は3に記載の基板処理システム。
(Appendix 7)
The wall is formed of SiO 2 and transmits the ultraviolet light having a wavelength of 200 nm or less,
The UV light source is attached to the wall and irradiates the substrate with ultraviolet light that has passed through the wall.
Substrate processing system according to appendix 2 or 3.
 (付記8)
 前記UV光源は、UVランプ、UV-LED光源又はUV-レーザである、
 付記1~3のいずれか一項に記載の基板処理システム。
(Appendix 8)
the UV light source is a UV lamp, a UV-LED light source or a UV-laser;
The substrate processing system according to any one of Supplementary Notes 1 to 3.
 (付記9)
 付記1~3のいずれか一項に記載の基板処理システムであって、
 前記基板処理システムは制御装置を有し、
 前記制御装置は、
 前記処理装置内で基板を処理することと、
 前記前記処理装置と前記真空搬送装置との間に設けられた照射部に、前記処理装置で処理された基板を搬送することと、
 前記照射部が有する前記UV光源から前記基板に向けて200nm以下の波長の紫外光を照射することと、
 を含む工程を制御する基板処理システム。
(Appendix 9)
The substrate processing system according to any one of Supplementary Notes 1 to 3,
The substrate processing system has a control device,
The control device includes:
processing a substrate in the processing apparatus;
transporting the substrate processed by the processing device to an irradiation unit provided between the processing device and the vacuum transfer device;
irradiating ultraviolet light with a wavelength of 200 nm or less toward the substrate from the UV light source included in the irradiation unit;
A substrate processing system that controls processes including
 本願は、日本特許庁に2022年9月5日に出願された基礎出願2022-141001号の優先権を主張するものであり、その全内容を参照によりここに援用する。 This application claims priority to Basic Application No. 2022-141001 filed with the Japan Patent Office on September 5, 2022, and the entire contents thereof are incorporated herein by reference.
1     プラズマ処理装置
2     制御装置
11    基板支持部
13    シャワーヘッド
21    ガスソース
20    ガス供給部
30    電源
31    RF電源
100   処理装置
111   本体部
112   リングアセンブリ
200   真空搬送装置
300   UV光源
301、302、303 ロードロック装置
600   制御装置
R1~R6 照射部
1 Plasma processing device 2 Control device 11 Substrate support section 13 Shower head 21 Gas source 20 Gas supply section 30 Power source 31 RF power source 100 Processing device 111 Main body 112 Ring assembly 200 Vacuum transfer device 300 UV light source 301, 302, 303 Load lock device 600 Control device R1 to R6 Irradiation section

Claims (9)

  1.  基板に処理を施す処理装置と、
     前記処理装置に照射部を介して接続され、前記処理装置との間で基板を搬送する搬送機構を有する真空搬送装置と、
     前記処理装置と前記真空搬送装置との間に設けられ、200nm以下の波長の紫外光を照射するUV光源を有する前記照射部と、
     を備え、
     前記UV光源は、前記処理装置で処理された基板を、前記搬送機構により前記照射部を介して前記真空搬送装置に搬送するとき、前記基板に紫外光を照射し前記基板をクリーニングするように構成される、基板処理システム。
    a processing device that processes the substrate;
    a vacuum transfer device that is connected to the processing device via an irradiation unit and has a transfer mechanism that transfers the substrate to and from the processing device;
    The irradiation unit is provided between the processing device and the vacuum transfer device and includes a UV light source that irradiates ultraviolet light with a wavelength of 200 nm or less;
    Equipped with
    The UV light source is configured to irradiate the substrate with ultraviolet light to clean the substrate when the substrate processed by the processing device is transferred by the transfer mechanism to the vacuum transfer device via the irradiation unit. substrate processing system.
  2.  前記UV光源は、前記処理装置と前記真空搬送装置との間の前記照射部の通路を形成する1以上の壁に配置される、
     請求項1に記載の基板処理システム。
    The UV light source is arranged on one or more walls forming a passage of the irradiation section between the processing device and the vacuum transfer device.
    The substrate processing system according to claim 1.
  3.  複数の前記UV光源は、前記基板が前記通路を通る方向に対して略垂直方向に配置され、前記通路を通る前記基板に向けて照射する、
     請求項2に記載の基板処理システム。
    The plurality of UV light sources are arranged in a direction substantially perpendicular to the direction in which the substrate passes through the passage, and emit light toward the substrate passing through the passage.
    The substrate processing system according to claim 2.
  4.  前記搬送機構は、搬送アームを有し、前記搬送アームは、基板を保持するように構成されたピックを有し、
     前記UV光源は、前記紫外光により前記基板及び前記ピックを含む前記搬送アームをクリーニングするように構成される、
     請求項1に記載の基板処理システム。
    the transport mechanism has a transport arm, the transport arm has a pick configured to hold a substrate;
    the UV light source is configured to clean the transfer arm including the substrate and the pick with the ultraviolet light;
    The substrate processing system according to claim 1.
  5.  前記UV光源は、前記紫外光により前記照射部の内部のガス及び/又は前記通路を通る前記基板に付着したガスを分解する、
     請求項2に記載の基板処理システム。
    The UV light source uses the ultraviolet light to decompose gas inside the irradiation unit and/or gas attached to the substrate passing through the passage.
    The substrate processing system according to claim 2.
  6.  前記処理装置及び/又は前記真空搬送装置は、ガス供給部を有し、
     前記ガス供給部は、前記照射部に酸素含有ガス又は窒素含有ガスを含むガスを供給し、
     前記UV光源は、前記紫外光により前記酸素含有ガスを分解して、前記照射部内にてオゾン分子及び/又は一重項酸素を生成し、
     前記オゾン分子及び/又は一重項酸素により前記通路を通る前記基板及び前記照射部をクリーニングする、
     請求項5に記載の基板処理システム。
    The processing device and/or the vacuum transfer device has a gas supply section,
    The gas supply unit supplies a gas containing an oxygen-containing gas or a nitrogen-containing gas to the irradiation unit,
    The UV light source decomposes the oxygen-containing gas with the ultraviolet light to generate ozone molecules and/or singlet oxygen in the irradiation part,
    cleaning the substrate and the irradiation part passing through the passage with the ozone molecules and/or singlet oxygen;
    The substrate processing system according to claim 5.
  7.  前記壁は、SiOで形成され、200nm以下の波長の前記紫外光を透過し、
     前記UV光源は、前記壁に取り付けられ、前記壁を透過した紫外光を前記基板に照射する、
     請求項2に記載の基板処理システム。
    The wall is formed of SiO 2 and transmits the ultraviolet light having a wavelength of 200 nm or less,
    The UV light source is attached to the wall and irradiates the substrate with ultraviolet light that has passed through the wall.
    The substrate processing system according to claim 2.
  8.  前記UV光源は、UVランプ、UV-LED光源又はUV-レーザである、
     請求項1に記載の基板処理システム。
    the UV light source is a UV lamp, a UV-LED light source or a UV-laser;
    The substrate processing system according to claim 1.
  9.  請求項1に記載の基板処理システムであって、
     前記基板処理システムは制御装置を有し、
     前記制御装置は、
     前記処理装置内で基板を処理することと、
     前記前記処理装置と前記真空搬送装置との間に設けられた照射部に、前記処理装置で処理された基板を搬送することと、
     前記照射部が有する前記UV光源から前記基板に向けて200nm以下の波長の紫外光を照射することと、
     を含む工程を制御する基板処理システム。
    The substrate processing system according to claim 1,
    The substrate processing system has a control device,
    The control device includes:
    processing a substrate in the processing apparatus;
    transporting the substrate processed by the processing device to an irradiation unit provided between the processing device and the vacuum transfer device;
    irradiating ultraviolet light with a wavelength of 200 nm or less toward the substrate from the UV light source included in the irradiation unit;
    A substrate processing system that controls processes including
PCT/JP2023/030095 2022-09-05 2023-08-22 Substrate treatment system WO2024053386A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2022141001 2022-09-05
JP2022-141001 2022-09-05

Publications (1)

Publication Number Publication Date
WO2024053386A1 true WO2024053386A1 (en) 2024-03-14

Family

ID=90191068

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2023/030095 WO2024053386A1 (en) 2022-09-05 2023-08-22 Substrate treatment system

Country Status (1)

Country Link
WO (1) WO2024053386A1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1140642A (en) * 1997-07-22 1999-02-12 Dainippon Screen Mfg Co Ltd Substrate processor and method therefor
JP2001104776A (en) * 1999-10-06 2001-04-17 Tokyo Electron Ltd Treatment apparatus and method
JP2015032757A (en) * 2013-08-05 2015-02-16 東京エレクトロン株式会社 Ultraviolet irradiation device, and substrate processing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1140642A (en) * 1997-07-22 1999-02-12 Dainippon Screen Mfg Co Ltd Substrate processor and method therefor
JP2001104776A (en) * 1999-10-06 2001-04-17 Tokyo Electron Ltd Treatment apparatus and method
JP2015032757A (en) * 2013-08-05 2015-02-16 東京エレクトロン株式会社 Ultraviolet irradiation device, and substrate processing method

Similar Documents

Publication Publication Date Title
TWI809154B (en) Film forming apparatus and film forming method
TWI736946B (en) Processing systems and methods for halide scavenging
KR101596093B1 (en) Driving method of film forming apparatus and film forming apparatus
TWI540658B (en) Cleaning methods, handling devices and memory media
US20070062646A1 (en) Method and apparatus for processing substrates
TWI518217B (en) Etching method and etching device
KR102454525B1 (en) Reduction of surface and embedded substrate charge by controlled exposure to vacuum ultraviolet (vuv) light in low-oxygen environment
US20160340779A1 (en) Radical Reactor With Inverted Orientation
WO2005059976A1 (en) Substrate processing method, substrate processing apparatus and computer-readable recording medium
KR102104867B1 (en) Plasma processing method and plasma processing device
WO2021262371A9 (en) Surface modification for metal-containing photoresist deposition
WO2024053386A1 (en) Substrate treatment system
KR100743275B1 (en) Plasma processing method and post-processing method
KR20210015710A (en) Substrate processing method, substrate processing apparatus and cleaning apparatus
JPH09306892A (en) Cleaning method and semiconductor manufacturing apparatus
US20090266711A1 (en) Substrate processing apparatus
CN112313777A (en) Ozone for selective hydrophilic surface treatment
JPH10284291A (en) Plasma processing device and method
KR101994918B1 (en) Substrate processing apparatus and substrate processing method
JP2009117597A (en) Substrate processing device and substrate processing method
KR20210000356A (en) Apparatus and Method for treating substrate
JPS63266835A (en) Vapor-phase reactor
US20230130652A1 (en) Substrate treating method and chamber cleaning method
WO2024024919A1 (en) Substrate processing method and substrate processing system
KR101873804B1 (en) Apparatus and method for treating substrate

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23862925

Country of ref document: EP

Kind code of ref document: A1