WO2022137372A1 - Press working device - Google Patents

Press working device Download PDF

Info

Publication number
WO2022137372A1
WO2022137372A1 PCT/JP2020/048096 JP2020048096W WO2022137372A1 WO 2022137372 A1 WO2022137372 A1 WO 2022137372A1 JP 2020048096 W JP2020048096 W JP 2020048096W WO 2022137372 A1 WO2022137372 A1 WO 2022137372A1
Authority
WO
WIPO (PCT)
Prior art keywords
suction
work
punch
press working
base material
Prior art date
Application number
PCT/JP2020/048096
Other languages
French (fr)
Japanese (ja)
Inventor
宏 小林
Original Assignee
東芝三菱電機産業システム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東芝三菱電機産業システム株式会社 filed Critical 東芝三菱電機産業システム株式会社
Priority to CN202080092678.0A priority Critical patent/CN114981024A/en
Priority to JP2021532094A priority patent/JP7046471B1/en
Priority to PCT/JP2020/048096 priority patent/WO2022137372A1/en
Publication of WO2022137372A1 publication Critical patent/WO2022137372A1/en

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B21MECHANICAL METAL-WORKING WITHOUT ESSENTIALLY REMOVING MATERIAL; PUNCHING METAL
    • B21DWORKING OR PROCESSING OF SHEET METAL OR METAL TUBES, RODS OR PROFILES WITHOUT ESSENTIALLY REMOVING MATERIAL; PUNCHING METAL
    • B21D28/00Shaping by press-cutting; Perforating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B21MECHANICAL METAL-WORKING WITHOUT ESSENTIALLY REMOVING MATERIAL; PUNCHING METAL
    • B21DWORKING OR PROCESSING OF SHEET METAL OR METAL TUBES, RODS OR PROFILES WITHOUT ESSENTIALLY REMOVING MATERIAL; PUNCHING METAL
    • B21D28/00Shaping by press-cutting; Perforating
    • B21D28/02Punching blanks or articles with or without obtaining scrap; Notching
    • B21D28/14Dies
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B21MECHANICAL METAL-WORKING WITHOUT ESSENTIALLY REMOVING MATERIAL; PUNCHING METAL
    • B21DWORKING OR PROCESSING OF SHEET METAL OR METAL TUBES, RODS OR PROFILES WITHOUT ESSENTIALLY REMOVING MATERIAL; PUNCHING METAL
    • B21D45/00Ejecting or stripping-off devices arranged in machines or tools dealt with in this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B21MECHANICAL METAL-WORKING WITHOUT ESSENTIALLY REMOVING MATERIAL; PUNCHING METAL
    • B21DWORKING OR PROCESSING OF SHEET METAL OR METAL TUBES, RODS OR PROFILES WITHOUT ESSENTIALLY REMOVING MATERIAL; PUNCHING METAL
    • B21D45/00Ejecting or stripping-off devices arranged in machines or tools dealt with in this subclass
    • B21D45/02Ejecting devices
    • B21D45/04Ejecting devices interrelated with motion of tool

Definitions

  • the present disclosure relates to a press working device for punching a base material by punching to manufacture a work, and particularly to a press working device for automating from the manufacturing of the work to the transfer of the work.
  • Patent Document 1 As a conventional press processing device for punching a base material and manufacturing a work, for example, there are a press device disclosed in Patent Document 1 and a punching press die disclosed in Patent Document 2.
  • Patent Document 1 prevents the work from being damaged or deformed by dropping or accumulating the work after punching, and supplies the work to the next process. As a result, the press equipment enables automation and labor saving.
  • Patent Document 2 prevents "scraping" that adheres to the lower end of the punch and rises on the die when the flat plate is punched by punching.
  • FIGS. 19 and 20 are explanatory views showing a cross-sectional structure of a conventional press working apparatus 9.
  • FIG. 19 shows an initial state immediately before the press working operation is executed by the press working apparatus 9, and
  • FIG. 20 shows a state at the time of executing the press working operation.
  • the XYZ Cartesian coordinate system is shown in FIGS. 19 and 20, respectively.
  • the press working apparatus 9 includes an upper die 110, a stripper plate 117, a lower die 120, and a work integration device 150 as main components.
  • the lower mold 120 has a die plate 121 and a die liner 122, and the die plate 121 is provided on the die liner 122.
  • the die plate 121 has a base material mounting area R121 on which the base material 130 to be processed can be placed. In the initial state, the base material 130 is placed on the base material placing region R121.
  • the base material placing region R121 has a die plate space 121a penetrating the die plate 121 in the center.
  • the upper mold 110 includes a pair of elevating guides 111, a pair of spring members 113, and a punch 140 as main components.
  • a stripper plate 117 is provided below the upper mold 110 via a pair of spring members 113.
  • the stripper plate 117 has a punch passage region R117 in the central portion.
  • the pair of elevating guides 111 are provided from the stripper plate 117 to the upper surface of the upper die 110 so as to move along the vertical direction (Z direction) when the upper die 110 rises and falls.
  • the punch 140 is fixed to the central portion of the upper die 110 by the punch holding plate 114 provided on the upper surface of the upper die 110. Further, the tip portion of the punch 140 is provided so as to be able to pass through the punch passage region R117 of the stripper plate 117. The tip portion of the punch 140 faces the die plate space 121a of the die plate 121.
  • the work integration device 150 is provided below the lower mold 120, and when the work 131 manufactured during the press working operation falls from the die plate space 121a, the work 131 that has fallen is accommodated.
  • the conventional press working apparatus 9 lowers the punch 140 at the same time by lowering the upper die 110 from the initial state shown in FIG. 19, and executes the press working operation as shown in FIG. 20.
  • the stripper plate 117 is fixedly arranged with the lower surface of the stripper plate 117 in contact with the upper surface of the die plate 121.
  • the tip portion of the punch 140 passes through the punch passage region R117 and then enters the die plate space 121a.
  • the work 131 is manufactured by punching the base material 130 by the tip portion of the punch 140, and the work 131 falls below the die plate space 121a and the hollow region below the die plate space 121a and is arranged below the lower mold 120. It is housed in the work stacking device 150.
  • the punched work 131 is dropped downward. That is, during the press working operation, there is always a hollow drop state in which the work 131 falls in the die plate space 121a of the die plate 121 and the hollow region below the die plate space 121a.
  • the press processing apparatus is a press processing apparatus for producing a work by punching out a base material to be processed, and penetrates the inside of a die plate having a base material mounting area on which the base material can be placed.
  • the first air hole is provided with a punch for punching the base material to obtain the work, and a work holding member having a second air hole penetrating the inside.
  • the second air hole reaches the suction upper surface existing above the work holding member, and the press processing device lowers at the suction lower surface of the punch.
  • a first pneumatic circuit that executes a first suction operation that puts the first air hole in a vacuum state so as to suck an object to be sucked, and an upper surface of the work holding member.
  • a second pneumatic circuit that executes a second suction operation that makes the second air hole into a vacuum state so as to suck the suction target existing in the above, and a first elevating drive mechanism for the punch.
  • the second elevating drive mechanism for the work holding member, the first and second pneumatic circuits are controlled, and the base material is placed on the base material mounting region from the initial state.
  • the base material mounting region has a penetrating die plate space at a position facing the suction lower surface of the punch, and in the initial state.
  • the punch is arranged above the die plate, the work holding member is arranged below the die plate, the first and second suction operations are not executed, and the press processing operation is performed.
  • step (A) The step of raising the work holding member so that the suction upper surface is located in the die plate space, and (b) the execution of the first suction operation executed after the step (a).
  • the work is provided with a step of lowering the punch so that the suction lower surface is located in the die plate space, and the substrate is punched by the punch during the execution of the step (b). Is obtained, and after the execution of the step (b), the work is sandwiched between the suction lower surface of the punch and the suction upper surface of the work holding member in the die plate space, and (c) the step.
  • the press working operation including the above-mentioned steps (a) to (d) is automatically executed under the control of the control unit.
  • step (b) the work is sandwiched between the suction lower surface and the suction upper surface of the work holding member in a state of being sucked by the suction lower surface of the punch.
  • step (c) the work is sandwiched between the suction lower surface and the suction upper surface in a state of being sucked by the suction lower surface of the punch and the suction upper surface of the work holding member. Further, during the execution period of step (d), the work is sucked on the suction upper surface of the work holding member.
  • the press working apparatus of the present disclosure can keep the posture of the work constant in a normal state during the execution of the press working operation, so that defects such as deformation caused by the disorder of the work posture are surely made. It can be avoided.
  • FIGS. 1 to 5 are explanatory views showing the structure of the press working apparatus 1 of the present embodiment.
  • 1 and 3 are cross-sectional views showing the cross-sectional structure of the press working apparatus 1
  • FIG. 2 is a plan view showing the upper surface structure of the press working apparatus 1
  • FIG. 4 is a perspective view showing the overall structure of the press working apparatus 1.
  • FIG. 5 is a side view showing a side structure of the press working apparatus 1. Note that FIG. 1 shows the structure of the BB cross section of FIG. 2, and FIG. 3 shows the structure of the AA cross section of FIG. Further, the XYZ Cartesian coordinate system is shown in each of FIGS. 1 to 5.
  • the press working apparatus 1 of the present embodiment is the upper die 10.
  • the lower mold 20, the stripper plate 17, and the cradle 50 are included as main components.
  • the lower mold 20 has a die plate 21 and a die liner 22, and the die plate 21 is provided on the die liner 22.
  • the die plate 21 has a base material mounting area R21 on which the base material 30 to be processed can be placed. In the initial state immediately before the execution of the press working operation, the base material 30 is placed on the base material placing area R21.
  • the base material placing region R21 has a die plate space 21a penetrating the die plate 21 in the center.
  • the dyliner 22 has a dyliner space 22a penetrating the dyliner 22 in the center.
  • the dyliner space 22a is provided at a position connected to the dyliner space 22a.
  • the portion of the lower mold 20 excluding the die plate 21 and the die liner 22 may be referred to as the "main body portion of the lower mold 20".
  • the main body of the lower mold 20 has an opening region 20a which is a hollow region connected to the die plate space 21a.
  • the upper mold 10 includes a pair of elevating guides 11, a pair of spring members 13, and a punch 40 as main components.
  • a stripper plate 17 is provided below the upper die 10 via a pair of spring members 13. Further, the upper mold 10 is provided with a pair of guide passing regions R10, and the pair of guide passing regions R10 are provided so as to penetrate the upper mold 10.
  • the stripper plate 17 has a punch passage region R17 in the central portion, and is fixed to the lower portion of the pair of elevating guides 11.
  • the pair of elevating guides 11 are provided along the vertical direction (Z direction).
  • the central region of the pair of elevating guides 11 exists in the pair of guide passage regions R10, and the lower region is fixed to the stripper plate 17.
  • the pair of guide passing regions R10 accommodates the central portion of the pair of elevating guides 11 so that the stripper plate 17 can be raised and lowered along the vertical direction of each of the pair of elevating guides 11. There is.
  • the pair of elevating guides 11 are provided from the stripper plate 17 to the upper surface of the upper die 10 so as to move along the vertical direction (Z direction) when the stripper plate 17 rises and falls.
  • a pair of support plates 15 are provided on the upper surface of the upper mold 10 corresponding to the pair of elevating guides 11.
  • the pair of support plates 15 are provided with the elevating guide 11 so as to be movable along the vertical direction.
  • the pair of support plates 15 has a stopper function that limits the descending length of the pair of elevating guides 11. Therefore, the pair of support plates 15 limits the maximum distance between the lower surface of the upper die 10 and the upper surface of the stripper plate 17.
  • the punch 40 is fixed to the central portion of the upper mold 10 by the punch holding plate 14 provided on the upper surface of the upper mold 10. Therefore, the punch 40 moves in conjunction with the movement of the upper die 10.
  • the upper region of the punch 40 is fixed in the upper mold 10, and the tip portion of the punch 40 exposed from the lower surface of the upper mold 10 is provided so as to be able to pass through the punch passage region R17 of the stripper plate 17.
  • the punch 40 has an air hole 45 penetrating the inside along the vertical direction (Z direction), and the air hole 45 reaches the suction lower surface 48 which is the lower surface of the tip portion of the punch 40.
  • the air hole 45 When the air hole 45 is set to the vacuum state by the first suction operation by the pneumatic circuit 4 described later, the upper surface of the base material 30 or the upper surface of the work 31 which is the lower object existing below the suction lower surface 48 is pressed. Can be adsorbed.
  • the air hole 45 of the punch 40 is the first air hole whose pneumatic pressure is controlled by the pneumatic circuit 4.
  • the die plate space 21a of the die plate 21 described above is provided at a position facing the suction lower surface 48 of the punch 40. That is, when viewed in a plan view on the XY plane, the die plate space 21a matches the suction lower surface 48 and has a shape slightly larger than the suction lower surface 48.
  • a cradle 50 which is a work holding member is provided below the base material mounting area R21 of the lower mold 20.
  • the cradle 50 includes a head portion 51 and a shaft portion 52 as main components, and the head portion 51 has a tip portion 51a protruding upward.
  • the cradle 50 has an air hole 55 penetrating the inside along the vertical direction.
  • the air hole 55 is provided from the shaft portion 52 to the tip portion 51a of the head portion 51 so as to reach the suction upper surface 58 which is the upper surface of the tip portion 51a.
  • the air hole 55 of the cradle 50 which is a work holding member, is a second air hole whose pneumatic pressure is controlled by the pneumatic circuit 5.
  • the pair of guide mechanisms 18 are provided from above the upper mold 10 to the lower mold 20 so that the upper mold 10 can move along the vertical direction.
  • the pair of cylinders 60 includes a main body 60 m and a rod 65 as main components.
  • the main body 60m is arranged below the lower die 20, and the rod 65 penetrates the lower die 20 and the upper die 10 from the upper part of the main body 60m and is fixed by a washer 16 on the upper surface of the upper die 10. Therefore, it is fixed to the upper mold 10 at the tip portion of the rod 65.
  • Each of the pair of cylinders 60 can move up and down (up and down) with respect to the upper die 10 as the rod 65 expands and contracts.
  • the punch 40 fixed to the upper die 10 can be moved up and down.
  • the pair of cylinders 60 functions as a first elevating mechanism for the punch 40.
  • a pair of horizontal guides 12 are provided on the upper surface of the lower mold 20. By extending the pair of horizontal guides 12 along the X direction, the base material 30 arranged between the pair of horizontal guides 12 can be positioned so as not to meander.
  • FIGS. 6 to 8 are explanatory views showing the detailed structures of the die plate 21 and the die liner 22.
  • FIG. 6 is a perspective view showing a state in which the die plate 21 and the die liner 22 are attached to the lower mold 20
  • FIG. 7 is an explanatory view showing a state in which the die plate 21 and the die liner 22 are removed from the lower mold 20.
  • FIG. 8 is an explanatory diagram showing an overall structure in which the region corresponding to 1/4 of the upper mold 10 and the lower mold 20 is removed.
  • the XYZ Cartesian coordinate system is shown in each of FIGS. 6 to 8.
  • the die plate 21 and the die liner 22 have a rectangular shape in a plan view with the Y direction as the long side and the X direction as the short side.
  • the die plate 21 and the die liner 22 are detachably provided from the main body of the lower mold 20.
  • the die plate 21 has a die plate space 21a penetrating from the upper surface to the lower surface in the central portion.
  • the planar shape of the die plate space 21a in the XY plane matches the suction lower surface 48 of the punch 40, and has a slightly wider shape.
  • the dyliner 22 has a dyliner space 22a penetrating from the upper surface to the lower surface in the central portion.
  • the die liner 22 and the die plate 21 are stacked and provided in the recess provided in the main body of the lower mold 20 in this order. Therefore, by appropriately selecting one of the plurality of types of die liners 22 having different thicknesses, the thickness of the combined structure of the die plate 21 and the die liner 22 can be adjusted even if the thickness of the die plate 21 is constant. can.
  • the die liner space 22a of the die liner 22 includes the die plate space 21a when viewed in a plan view on an XY plane, and exhibits a shape sufficiently wider than the die plate space 21a.
  • the main body portion of the lower mold 20 has an opening region 20a having a shape substantially matching the dialina space 22a in a plan view below the dialina 22.
  • FIGS. 9 to 12 are explanatory views showing the detailed structure of the cradle which is a work holding member.
  • FIG. 9 is a perspective view showing the overall configuration of the cradle 50
  • FIG. 10 is a plan view showing the upper surface structure of the head 51.
  • 11 and 12 are cross-sectional views showing the cross-sectional structure of the cradle 50, respectively.
  • 11 shows the CC cross-sectional structure of FIG. 10
  • FIG. 12 shows the DD cross-sectional structure of FIG.
  • the XYZ Cartesian coordinate system is shown in each of FIGS. 9 to 12.
  • the cradle 50 includes a head 51 and a shaft 52 as main components.
  • the shaft portion 52 has a cylindrical shape with the vertical direction (Z direction) as the height direction
  • the head portion 51 has a substantially cylindrical shape with the vertical direction as the height direction.
  • the cross-sectional area of the head portion 51 is larger than the cross-sectional area of the shaft portion 52, and the head portion 51 is provided on the upper surface of the shaft portion 52.
  • the head portion 51 is provided on the shaft portion 52 so that the center of the head portion 51 and the center of the shaft portion 52 coincide with each other in a plan view on an XY plane.
  • the head portion 51 includes a main body portion 51 m, a fixing member 53, a pair of bolts 56, and a tip portion 51a as main components.
  • a fixing member 53 is attached to the main body 51 m of the head 51 by a pair of bolts 56.
  • the fixing member 53 is made of plastic in consideration of the case where it comes into contact with the die plate 21, and has a groove portion 51b extending in the X direction in the center.
  • the central region of the groove portion 51b has a wide structure so as to surround the tip portion 51a.
  • a cylindrical tip portion 51a is provided extending from the bottom surface of the groove portion 51b in the + Z direction.
  • the upper surface of the tip portion 51a becomes the suction upper surface 58, and an air hole 55 is provided so as to reach the suction upper surface 58.
  • the air hole 55 of the tip portion 51a is provided so as to be the center of the head portion 51 when viewed in a plan view on an XY plane.
  • the air hole 55 which is the second air hole, is formed from the bottom surface of the shaft portion 52 to the suction upper surface 58 of the tip portion 51a via the main body portion 51 m of the head portion 51. Therefore, the air hole 55 reaches the suction upper surface 58, which is the upper surface of the tip portion 51a.
  • the air hole 55 has a diameter shortening structure in which the diameter (diameter) is gradually shortened in three stages from the bottom surface of the shaft portion 52 to the suction upper surface 58 of the tip portion 51a.
  • the air hole 55 in the cradle 50 of the present embodiment has the above-mentioned diameter shortening structure, so that the diameter of the air hole 55 on the suction upper surface 58 is minimized, and the diameter is relatively large up to the immediate vicinity of the suction upper surface 58. By ensuring the diameter, it is possible to increase the suction force on the suction top surface 58 when the air holes 55 are in a vacuum state.
  • the tip portion 51a When the cradle 50 is raised along the vertical direction, the tip portion 51a has a shape that can be inserted into the die plate space 21a of the die plate 21, and the main body portion 51m of the head portion 51 is the lower mold 20. It has a shape that allows it to pass through the opening region 20a of the main body and the dialina space 22a of the dialiner 22.
  • FIG. 13 is a block diagram showing a control system of the press working apparatus 1 of the present embodiment.
  • the control unit 3 outputs the adsorption control signals SC4 and SC5, the cylinder control signal SC60, the drive mechanism control signal SC7, and the transfer mechanism control signal SC8, whereby the press working operation and the transfer operation described in detail later are performed. Is executed and controlled.
  • the control unit 3 controls the first suction operation by the pneumatic circuit 4 by outputting the suction control signal SC4 to the pneumatic circuit 4.
  • a pneumatic circuit 4 which is a first pneumatic circuit is provided corresponding to the punch 40.
  • the pneumatic circuit 4 executes the first suction operation based on the suction control signal SC4.
  • the pneumatic circuit 4 puts the pressure in the air hole 45 of the punch 40 into a vacuum state and makes the substrate on the suction lower surface 48.
  • the first suction operation of sucking the upper surface of the 30 or the upper surface of the work 31 is performed.
  • the pneumatic circuit 4 changes the inside of the air hole 45 from the vacuum state to the non-vacuum state by sending a small amount of air to the air hole 45.
  • the first suction operation is terminated, and the base material 30 or the work 31 is released from the suction state.
  • the pneumatic circuit 4 so as to adsorb the object to be adsorbed (base material 30 or work 31) present below on the suction lower surface 48 of the punch 40 reached by the air hole 45 based on the suction control signal SC4.
  • the first suction operation of making the air hole 45 into a vacuum state is executed.
  • the pneumatic circuit 4 executes the first suction operation
  • the pneumatic circuit 4 continuously executes the first suction operation until it receives the suction control signal SC4 instructing the end of the first suction operation.
  • control unit 3 controls the second suction operation by the pneumatic circuit 5 by outputting the suction control signal SC5 to the pneumatic circuit 5.
  • a pneumatic circuit 5 which is a second pneumatic circuit is provided corresponding to the cradle 50.
  • the pneumatic circuit 5 sets the pressure of the air hole 55 of the cradle 50 to a vacuum state based on the suction control signal SC5, and executes a second suction operation of sucking the work 31 on the suction upper surface 58.
  • the pneumatic circuit 5 puts the pressure in the air hole 55 of the cradle 50 into a vacuum state, and the work 31 is placed on the suction top surface 58. A second suction operation for sucking the lower surface of the surface is performed.
  • the pneumatic circuit 5 changes the inside of the air hole 55 from the vacuum state to the non-vacuum state by sending a small amount of air to the air hole 55.
  • the second suction operation is terminated, and the work 31 is released from the suction state.
  • the pneumatic circuit 5 sucks the lower surface of the suction target (work 31) above the suction upper surface 58 of the cradle 50 reached by the air hole 55 based on the suction control signal SC5.
  • the second suction operation that puts the air hole 55 in a vacuum state is executed.
  • the pneumatic circuit 5 executes the second suction operation
  • the pneumatic circuit 5 continuously executes the second suction operation until it receives the suction control signal SC5 instructing the end of the second suction operation.
  • control unit 3 outputs the cylinder control signal SC60 to the pair of cylinders 60 to execute and control the elevating operation by the pair of cylinders 60.
  • the pair of cylinders 60 extends the rod 65 in the + Z direction by a predetermined length to pull the punch 40 fixed to the upper die 10 and the upper die 10. Perform an ascending motion to ascend.
  • the pair of cylinders 60 lowers the punch 40 fixed to the upper die 10 and the upper die 10 by contracting the rod 65 in the ⁇ Z direction by a predetermined length. Perform a descending motion.
  • the pair of cylinders 60 execute an ascending / descending operation (ascending operation + descending operation) with respect to the punch 40 based on the cylinder control signal SC60. That is, the pair of cylinders 60 functions as a first elevating mechanism for the punch 40.
  • control unit 3 outputs the drive mechanism control signal SC7 to the elevating drive mechanism 7 to execute and control the elevating operation by the elevating drive mechanism 7.
  • the elevating drive mechanism 7 executes an ascending operation for raising the cradle 50 to a predetermined ascending position.
  • the elevating drive mechanism 7 executes a descending operation of lowering the cradle 50 to a predetermined descending position.
  • the elevating drive mechanism 7 executes an elevating operation (ascending operation + descending operation) with respect to the cradle 50 based on the drive mechanism control signal SC7. That is, the elevating drive mechanism 7 functions as a second elevating mechanism for the cradle 50.
  • control unit 3 outputs the transfer mechanism control signal SC8 to the horizontal transfer mechanism 8 to execute and control the transfer operation by the horizontal transfer mechanism 8.
  • the horizontal transfer mechanism 8 executes the transfer operation of moving the cradle 50 along the horizontal direction to a preset transfer position.
  • the horizontal transport mechanism 8 executes the transport operation along the horizontal direction with respect to the cradle 50 based on the transport mechanism control signal SC8. That is, the horizontal transport mechanism 8 functions as a horizontal transport mechanism for the cradle 50.
  • the elevating drive mechanism 7 and the horizontal transport mechanism 8 can be realized by existing techniques.
  • a power source for the elevating drive mechanism 7 and the horizontal transport mechanism 8 for example, an expansion / contraction operation of an air cylinder can be considered.
  • control unit 3 includes a pair of cylinders 60 (first elevating drive mechanism for punch 40), elevating drive mechanism 7 (second elevating drive mechanism for cradle 50), pneumatic circuit 4, and pneumatic pressure.
  • the circuit 5 is controlled to control the execution of the press working operation for obtaining the work 31 from the base material 30 in the initial state placed on the base material mounting region R21.
  • control unit 3 controls the elevating drive mechanism 7 and the horizontal transport mechanism 8 (convey mechanism for the cradle 50) to transport the cradle 50 sucking the work 31 on the suction upper surface 58 to the transport position. Execution control of work transfer operation.
  • FIG. 14 is a flowchart showing a processing procedure of the press working operation and the work transfer operation of the press working apparatus 1 performed under the control of the control unit 3.
  • 15 to 18 are cross-sectional views showing an operating state of the press working apparatus 1 during the press working operation.
  • the XYZ Cartesian coordinate system is shown in each of FIGS. 15 to 18.
  • the base material 30 is set to the initial state in which the base material 30 is placed on the base material mounting area R21 of the die plate 21.
  • the punch 40 is arranged above the die plate 21 at a position where the die plate 21 does not come into contact with the base material mounting region R21.
  • the cradle 50 is arranged below the die plate 21 at a position where it does not come into contact with (overlap) the base material mounting region R21. Further, in the initial state, neither the first suction operation by the pneumatic circuit 4 nor the second suction operation by the pneumatic circuit 5 is executed.
  • the press working operation includes the steps S1 to S6 shown in FIG.
  • step S1 the control unit 3 raises the cradle 50 by outputting the drive mechanism control signal SC7 instructing the ascending operation to the elevating drive mechanism 7.
  • the cradle 50 rises to a height at which the suction upper surface 58 of the tip portion 51a is located in the die plate space 21a (see FIG. 15) of the die plate 21.
  • the main body 51m of the head 51 is located in the dialina space 22a and the opening region 20a (see FIG. 15).
  • step S2 the control unit 3 outputs the suction control signal SC4 instructing the start of execution of the first suction operation to the pneumatic circuit 4, thereby starting the suction operation of the punch 40 by the pneumatic circuit 4. Let me.
  • the air hole 45 is set to a vacuum state by pneumatic control by the pneumatic circuit 4 so that the upper surface of the base material 30 to be the lower object can be adsorbed by the suction lower surface 48 of the punch 40.
  • step S3 the control unit 3 outputs the cylinder control signal SC60 instructing the lowering to the cylinder 60, thereby contracting the rod 65 of the cylinder 60 in the ⁇ Z direction and lowering the punch 40 together with the upper die 10.
  • the stripper plate 17 comes into contact with the upper surface of the die plate 21. Since the upper mold 10 is lowered even after that, the stripper plate 17 stably fixes the base material 30 on the base material mounting region R21 by the elastic force of the pair of spring members 13.
  • the suction lower surface 48 of the punch 40 invades into the die plate space 21a, and the punch 40 is lowered to a position close to the suction upper surface 58 of the cradle 50.
  • the step S3 when the step S3 is executed, the work 31 is obtained by punching the work 31 by the tip portion of the punch 40. At this time, since the punch 40 is fixed by the punch holding plate 14, the punch 40 does not rise upward.
  • step S3 After the execution of step S3, the work 31 is sandwiched between the suction lower surface 48 of the punch 40 and the suction upper surface 58 of the cradle 50 in the die plate space 21a.
  • the residual base material 33 of the base material 30 after the work 31 is punched remains on the base material placing area R21.
  • the residual base material 33 is fixed without being scattered by the stripper plate 17 to which the elastic force of the pair of spring members 13 is applied.
  • step S3 the first suction operation by the pneumatic circuit 4 is continuously executed. Therefore, when the upper surface of the base material 30 and the suction lower surface 48 of the punch 40 come into contact with each other during the execution of step S3, the upper surface of the base material 30 is sucked by the suction lower surface 48, and even after the work 31 is obtained, the work 31 The upper surface is continuously adsorbed by the adsorption lower surface 48. Therefore, the posture (upper and lower relationship) of the work 31 does not change from the posture of the base material 30 in the initial state and maintains the normal state.
  • step S4 the suction control signal SC5 instructing the start of execution of the second suction operation is output to the pneumatic circuit 5, so that the suction operation of the cradle 50 is started by the pneumatic circuit 5.
  • the air hole 55 can be in a vacuum state by pneumatic control by the pneumatic circuit 5, and the lower surface of the work 31 to be the upper object can be sucked by the suction upper surface 58 of the cradle 50.
  • step S4 With respect to the work 31 sandwiched between the suction lower surface 48 and the suction upper surface 58, the upper surface of the work 31 is sucked by the suction lower surface 48, and the lower surface of the work 31 is the suction upper surface. It is adsorbed at 58.
  • step S4 the posture of the work 31 is maintained in a normal state without change.
  • step S5 the control unit 3 ends the suction operation of the punch 40 by outputting the suction control signal SC4 instructing the end of execution of the first suction operation to the pneumatic circuit 4.
  • the air hole 45 is changed from the vacuum state to the non-vacuum state, and the upper surface of the work 31 is released from the suction lower surface 48 of the punch 40. ..
  • step S5 As a result, after the execution of step S5, with respect to the work 31 sandwiched between the suction lower surface 48 and the suction upper surface 58, the lower surface of the work 31 is sucked by the suction upper surface 58.
  • step S5 the posture of the work 31 does not change and the normal state is maintained.
  • step S6 the control unit 3 raises the punch 40 together with the upper die 10 by outputting the cylinder control signal SC60 indicating the rise to the pair of cylinders 60. That is, the punch 40 returns to the initial state.
  • step S6 since the second suction operation is continued even after the execution of step S6, the lower surface of the work 31 is sucked by the suction upper surface 58. Therefore, even during the execution period of step S6, the posture of the work 31 is maintained in a normal state without change.
  • the press working operation including steps S1 to S6 is automatically executed under the control of the control unit 3.
  • step S3 the work 31 is sandwiched between the suction lower surface 48 and the suction upper surface 58 of the cradle 50 in a state of being sucked by the suction lower surface 48 of the punch 40.
  • step S4 the work 31 is sandwiched between the suction lower surface and the suction upper surface in a state of being sucked by the suction lower surface 48 of the punch 40 and the suction upper surface 58 of the cradle 50.
  • the work 31 is adsorbed on the adsorption upper surface 58 of the cradle 50.
  • steps S3 to S6 which are all steps after the work 31 is obtained by the press working operation, the first suction operation and the cradle performed on the suction lower surface 48 of the punch 40 with respect to the work 31. At least one of the second suction operations performed on the suction top surface 58 of 50 is always executed.
  • the press working apparatus 1 of the present embodiment has an effect that the posture of the work 31 can be kept constant in a normal state during the execution of the press working operation. Therefore, there is no problem such as deformation caused by the posture of the work 31 being disturbed by the press working operation.
  • the work transfer operation including the following steps S7 and S8 is executed.
  • step S7 the control unit 3 lowers the cradle 50 by outputting the drive mechanism control signal SC7 instructing the lowering to the elevating drive mechanism 7, and returns the cradle 50 to the initial state shown in FIG. At this time, the second adsorption operation by the cradle 50 is continued.
  • step S8 the control unit 3 horizontally conveys the cradle 50 to the transfer position by outputting the transfer mechanism control signal SC8 instructing the transfer to the designated transfer position to the horizontal transfer mechanism 8. Move to. At this time, the second adsorption operation by the cradle 50 is continued.
  • the next process is performed on the work 31 transported to the transport position.
  • a next step for example, an ultrasonic bonding process performed on the upper surface or the lower surface of the work 31 can be considered.
  • the work transfer operation including the above-mentioned steps S7 and S8 is automatically executed under the control of the control unit 3.
  • the lower surface of the work 31 is always adsorbed by the suction upper surface 58 of the cradle 50.
  • the press working apparatus 1 of the present embodiment can always keep the posture of the work 31 constant during the execution of the work transfer operation, the work 31 can be transported to the transfer position in the normal posture. can.
  • the press working apparatus of the present embodiment can always transport the work 31 to the transport position in the correct vertical relationship, so that even if the materials of the upper surface and the lower surface of the work 31 are different, the work 31 can be transported at the transport position.
  • the processing on the upper surface or the lower surface of the work can be performed normally without any error.

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Punching Or Piercing (AREA)
  • Press Drives And Press Lines (AREA)

Abstract

The purpose of the present disclosure is to provide a press working device that maintains the orientation of a workpiece in a normal state during a press working operation. A press working device according to the present disclosure comprises a punch (40) and a cradle (50). The punch (40) has an air hole (45) that passes through the interior thereof. A first suction operation for suctioning a base material (30) or a workpiece (31) with a suction lower surface (48) can be performed by creating a vacuum in the air hole (45). The cradle (50) has an air hole (55) that passes through the interior thereof. A second suction operation for suctioning the workpiece (31) with a suction upper surface (58) can be performed by creating a vacuum in the air hole (55). At least one of the first and second suction operations is performed during a press working operation in which the base material (30) is punched with the punch (40) to obtain the workpiece (31).

Description

プレス加工装置Press processing equipment
 本開示は、パンチによって基材を打ち抜きワークを製作するプレス加工装置に関し、特にワークの製作からワークの搬送までの自動化を図ったプレス加工装置に関する。 The present disclosure relates to a press working device for punching a base material by punching to manufacture a work, and particularly to a press working device for automating from the manufacturing of the work to the transfer of the work.
 基材を打ち抜き、ワークを製作する従来のプレス加工装置として例えば特許文献1に開示されたプレス装置や特許文献2で開示された打ち抜きプレス金型がある。 As a conventional press processing device for punching a base material and manufacturing a work, for example, there are a press device disclosed in Patent Document 1 and a punching press die disclosed in Patent Document 2.
 特許文献1に開示されたプレス装置は、打抜き後のワークの落下や蓄積によって、ワークが傷付き、変形することを防止し、次工程へ供給している。その結果、プレス装置は自動化及び省力化を可能にしている。 The press device disclosed in Patent Document 1 prevents the work from being damaged or deformed by dropping or accumulating the work after punching, and supplies the work to the next process. As a result, the press equipment enables automation and labor saving.
 特許文献2に開示された打ち抜きプレス金型は、平板をパンチにより打ち抜き加工する際、パンチの下端部に付着してダイの上に上がる「かす上がり」等を防止している。 The punching press die disclosed in Patent Document 2 prevents "scraping" that adheres to the lower end of the punch and rises on the die when the flat plate is punched by punching.
 図19及び図20は従来のプレス加工装置9の断面構造を示す説明図である。図19はプレス加工装置9によってプレス加工動作を実行する直前の初期状態を示し、図20はプレス加工動作の実行時の状態を示している。図19及び図20それぞれにXYZ直交座標系を記している。 19 and 20 are explanatory views showing a cross-sectional structure of a conventional press working apparatus 9. FIG. 19 shows an initial state immediately before the press working operation is executed by the press working apparatus 9, and FIG. 20 shows a state at the time of executing the press working operation. The XYZ Cartesian coordinate system is shown in FIGS. 19 and 20, respectively.
 図19に示すように、プレス加工装置9は上型110、ストリッパプレート117、下型120及びワーク集積装置150を主要構成要素として含んでいる。下型120はダイプレート121及びダイライナ122を有しており、ダイライナ122上にダイプレート121が設けられる。 As shown in FIG. 19, the press working apparatus 9 includes an upper die 110, a stripper plate 117, a lower die 120, and a work integration device 150 as main components. The lower mold 120 has a die plate 121 and a die liner 122, and the die plate 121 is provided on the die liner 122.
 ダイプレート121は加工対象の基材130を載置可能な基材載置領域R121を有している。初期状態では基材載置領域R121上に基材130が載置されている。基材載置領域R121は中央にダイプレート121を貫通したダイプレート空間121aを有している。 The die plate 121 has a base material mounting area R121 on which the base material 130 to be processed can be placed. In the initial state, the base material 130 is placed on the base material placing region R121. The base material placing region R121 has a die plate space 121a penetrating the die plate 121 in the center.
 上型110は、一対の昇降ガイド111、一対のバネ部材113及びパンチ140を主要構成要素として含んでいる。 The upper mold 110 includes a pair of elevating guides 111, a pair of spring members 113, and a punch 140 as main components.
 上型110の下方に一対のバネ部材113を介してストリッパプレート117が設けられる。ストリッパプレート117は中央部にパンチ通過領域R117を有している。 A stripper plate 117 is provided below the upper mold 110 via a pair of spring members 113. The stripper plate 117 has a punch passage region R117 in the central portion.
 一対の昇降ガイド111は、上型110が上昇及び下降する際、垂直方向(Z方向)に沿って移動するようにストリッパプレート117から上型110の上面にかけて設けられる。 The pair of elevating guides 111 are provided from the stripper plate 117 to the upper surface of the upper die 110 so as to move along the vertical direction (Z direction) when the upper die 110 rises and falls.
 パンチ140は上型110の上面に設けられるパンチ押えプレート114によって、上型110の中央部に固定される。また、パンチ140の先端部分は、ストリッパプレート117のパンチ通過領域R117を通過可能に設けられる。パンチ140の先端部分はダイプレート121のダイプレート空間121aと対向している。 The punch 140 is fixed to the central portion of the upper die 110 by the punch holding plate 114 provided on the upper surface of the upper die 110. Further, the tip portion of the punch 140 is provided so as to be able to pass through the punch passage region R117 of the stripper plate 117. The tip portion of the punch 140 faces the die plate space 121a of the die plate 121.
 ワーク集積装置150は、下型120の下方に設けられ、プレス加工動作時に製作されたワーク131がダイプレート空間121aから落下する際、落下したワーク131を収容する。 The work integration device 150 is provided below the lower mold 120, and when the work 131 manufactured during the press working operation falls from the die plate space 121a, the work 131 that has fallen is accommodated.
 従来のプレス加工装置9は、図19で示す初期状態から、上型110を下降させることにより、同時にパンチ140を下降させて、図20に示すように、プレス加工動作を実行する。 The conventional press working apparatus 9 lowers the punch 140 at the same time by lowering the upper die 110 from the initial state shown in FIG. 19, and executes the press working operation as shown in FIG. 20.
 プレス加工動作時において、ダイプレート121の上面にストリッパプレート117の下面が接触した状態でストリッパプレート117が固定配置される。その後、パンチ140の先端部分がパンチ通過領域R117を通過した後、ダイプレート空間121aに侵入する。この際、パンチ140の先端部分によって基材130が打ち抜かれることにより、ワーク131が製作され、ワーク131はダイプレート空間121a及びその下方の中空領域を落下して、下型120の下方に配置されたワーク集積装置150に収容される。 During the press working operation, the stripper plate 117 is fixedly arranged with the lower surface of the stripper plate 117 in contact with the upper surface of the die plate 121. After that, the tip portion of the punch 140 passes through the punch passage region R117 and then enters the die plate space 121a. At this time, the work 131 is manufactured by punching the base material 130 by the tip portion of the punch 140, and the work 131 falls below the die plate space 121a and the hollow region below the die plate space 121a and is arranged below the lower mold 120. It is housed in the work stacking device 150.
特開平5-318003号公報Japanese Unexamined Patent Publication No. 5-318003 特許第5046048号公報Japanese Patent No. 5046048
 上述したように、従来のプレス加工装置9は、パンチ140によって基材130を打ち抜いてワーク131を製作する際、打ち抜いたワーク131を下方に落下させている。すなわち、プレス加工動作時に、ダイプレート121のダイプレート空間121a及びその下方の中空領域をワーク131が落下する中空落下状態が必ず存在する。 As described above, in the conventional press working apparatus 9, when the base material 130 is punched out by the punch 140 to manufacture the work 131, the punched work 131 is dropped downward. That is, during the press working operation, there is always a hollow drop state in which the work 131 falls in the die plate space 121a of the die plate 121 and the hollow region below the die plate space 121a.
 ワーク131は中空落下状態時に姿勢が乱れる可能性が高い。なぜなら、ワーク131が中空領域で傾き、回転するため、常に同じ姿勢(同じ面)となるようにワーク131を管理することが極めて困難であるからである。 There is a high possibility that the posture of the work 131 will be disturbed when it is dropped into the air. This is because the work 131 tilts and rotates in the hollow region, so it is extremely difficult to manage the work 131 so that it always has the same posture (same surface).
 したがって、図20に示すように、中空領域の下方にワーク集積部となるワーク集積装置150が配置されている場合、ワーク131の姿勢が乱れることにより、ワーク集積装置150にてワーク131が変形したり、ワーク131に傷が付いたりする問題点があった。 Therefore, as shown in FIG. 20, when the work stacking device 150 serving as the work stacking portion is arranged below the hollow region, the work 131 is deformed by the work stacking device 150 due to the disorder of the posture of the work 131. There was a problem that the work 131 was scratched.
 また、ワーク131(基材130)の上面と下面で材質が異なっており、かつ、ワーク131に対する次工程において、ワーク131の上面または下面に対する処理が行われる場合を考える。この場合、ワーク131の上下関係が本来の状態と異なると、次工程が正しく実行されなくなるという問題点があった。 Further, consider a case where the material is different between the upper surface and the lower surface of the work 131 (base material 130), and the upper surface or the lower surface of the work 131 is processed in the next process for the work 131. In this case, if the vertical relationship of the work 131 is different from the original state, there is a problem that the next process cannot be executed correctly.
 本開示では、上記のような問題点を解決し、プレス加工動作時においてワークの姿勢を正常な状態で維持するプレス加工装置を提供することを目的とする。 It is an object of the present disclosure to solve the above-mentioned problems and to provide a press working apparatus that maintains a work posture in a normal state during a press working operation.
 本開示にかかるプレス加工装置は、加工対象の基材を打ち抜いてワークを製作するプレス加工装置であって、前記基材を載置可能な基材載置領域を有するダイプレートと、内部を貫通する第1の空気孔を有し、前記基材を打ち抜いて前記ワークを得るためのパンチと、内部を貫通する第2の空気孔を有するワーク保持部材とを備え、前記第1の空気孔は前記パンチの下方に存在する吸着下面に到達し、前記第2の空気孔は前記ワーク保持部材の上方に存在する吸着上面に到達し、前記プレス加工装置は、前記パンチの前記吸着下面にて下方に存在する吸着対象物を吸着するように、前記第1の空気孔を真空状態にする第1の吸着動作を実行する第1の空圧回路と、前記ワーク保持部材の前記吸着上面にて上方に存在する吸着対象物を吸着するように、前記第2の空気孔を真空状態にする第2の吸着動作を実行する第2の空圧回路と、前記パンチ用の第1の昇降駆動機構、前記ワーク保持部材用の第2の昇降駆動機構、前記第1及び第2の空圧回路を制御して、前記基材載置領域上に前記基材が載置されている初期状態から、前記ワークを得るプレス加工動作を実行制御する制御部とをさらに備え、前記基材載置領域は、前記パンチの前記吸着下面に対向する位置に、貫通したダイプレート空間を有し、前記初期状態において、前記パンチは前記ダイプレートの上方に配置され、前記ワーク保持部材は前記ダイプレートの下方に配置されており、前記第1及び第2の吸着動作は実行されておらず、前記プレス加工動作は、(a) 前記ダイプレート空間内に前記吸着上面が位置するように前記ワーク保持部材を上昇させるステップと、(b) 前記ステップ(a)の後に実行され、前記第1の吸着動作の実行を開始させた後、前記ダイプレート空間内に前記吸着下面が位置するように前記パンチを下降させるステップとを備え、前記ステップ(b)の実行時に前記基材が前記パンチによって打ち抜かれることにより前記ワークが得られ、前記ステップ(b)の実行後、前記ワークは、前記ダイプレート空間内において前記パンチの前記吸着下面と前記ワーク保持部材の前記吸着上面との間に挟まれ、(c) 前記ステップ(b)の後に実行され、前記第2の吸着動作の実行を開始させるステップと、(d) 前記ステップ(c)の後に実行され、前記第1の吸着動作の実行を停止させた後、前記パンチを上昇させるステップとをさらに備える。 The press processing apparatus according to the present disclosure is a press processing apparatus for producing a work by punching out a base material to be processed, and penetrates the inside of a die plate having a base material mounting area on which the base material can be placed. The first air hole is provided with a punch for punching the base material to obtain the work, and a work holding member having a second air hole penetrating the inside. The second air hole reaches the suction upper surface existing above the work holding member, and the press processing device lowers at the suction lower surface of the punch. A first pneumatic circuit that executes a first suction operation that puts the first air hole in a vacuum state so as to suck an object to be sucked, and an upper surface of the work holding member. A second pneumatic circuit that executes a second suction operation that makes the second air hole into a vacuum state so as to suck the suction target existing in the above, and a first elevating drive mechanism for the punch. The second elevating drive mechanism for the work holding member, the first and second pneumatic circuits are controlled, and the base material is placed on the base material mounting region from the initial state. Further provided with a control unit for executing and controlling a press working operation for obtaining a work, the base material mounting region has a penetrating die plate space at a position facing the suction lower surface of the punch, and in the initial state. The punch is arranged above the die plate, the work holding member is arranged below the die plate, the first and second suction operations are not executed, and the press processing operation is performed. , (A) The step of raising the work holding member so that the suction upper surface is located in the die plate space, and (b) the execution of the first suction operation executed after the step (a). After starting, the work is provided with a step of lowering the punch so that the suction lower surface is located in the die plate space, and the substrate is punched by the punch during the execution of the step (b). Is obtained, and after the execution of the step (b), the work is sandwiched between the suction lower surface of the punch and the suction upper surface of the work holding member in the die plate space, and (c) the step. The step executed after (b) to start the execution of the second adsorption operation, and (d) the step executed after the step (c) to stop the execution of the first adsorption operation, and then the above. Steps to raise the punch And further prepare.
 本開示のプレス加工装置において、制御部の制御下で上述したステップ(a)~ステップ(d)を含むプレス加工動作が自動的に実行される。 In the press working apparatus of the present disclosure, the press working operation including the above-mentioned steps (a) to (d) is automatically executed under the control of the control unit.
 ステップ(b)の実行期間において、ワークは、パンチの吸着下面に吸着された状態で、吸着下面とワーク保持部材の吸着上面との間に挟まれる。ステップ(c)の実行期間において、ワークは、パンチの吸着下面及びワーク保持部材の吸着上面に吸着された状態で、吸着下面と吸着上面との間に挟まれる。さらに、ステップ(d)の実行期間において、ワークはワーク保持部材の吸着上面にて吸着される。 During the execution period of step (b), the work is sandwiched between the suction lower surface and the suction upper surface of the work holding member in a state of being sucked by the suction lower surface of the punch. During the execution period of step (c), the work is sandwiched between the suction lower surface and the suction upper surface in a state of being sucked by the suction lower surface of the punch and the suction upper surface of the work holding member. Further, during the execution period of step (d), the work is sucked on the suction upper surface of the work holding member.
 したがって、プレス加工動作のステップ(b)~(d)の実行中において、ワークに対し第1及び第2の吸着動作のうち少なくとも一方が必ず実行されている。 Therefore, during the execution of steps (b) to (d) of the press working operation, at least one of the first and second suction operations is always executed for the work.
 その結果、本開示のプレス加工装置は、プレス加工動作の実行中においてワークの姿勢を正常な状態で一定に保つことができるため、ワークの姿勢が乱れることに起因する変形等の不具合を確実に回避することができる。 As a result, the press working apparatus of the present disclosure can keep the posture of the work constant in a normal state during the execution of the press working operation, so that defects such as deformation caused by the disorder of the work posture are surely made. It can be avoided.
 本開示の目的、特徴、局面、および利点は、以下の詳細な説明と添付図面とによって、より明白となる。 The purposes, features, aspects, and advantages of this disclosure will be made clearer by the following detailed description and accompanying drawings.
本実施の形態のプレス加工装置の構造を示す説明図(その1)である。It is explanatory drawing (the 1) which shows the structure of the press working apparatus of this embodiment. 本実施の形態のプレス加工装置の構造を示す説明図(その2)である。It is explanatory drawing (the 2) which shows the structure of the press working apparatus of this embodiment. 本実施の形態のプレス加工装置の構造を示す説明図(その3)である。It is explanatory drawing (the 3) which shows the structure of the press working apparatus of this embodiment. 本実施の形態のプレス加工装置の構造を示す説明図(その4)である。It is explanatory drawing (the 4) which shows the structure of the press working apparatus of this embodiment. 本実施の形態のプレス加工装置の構造を示す説明図(その5)である。It is explanatory drawing (the 5) which shows the structure of the press working apparatus of this embodiment. 図1で示したダイプレート及びダイライナの詳細構造を示す説明図(その1)である。It is explanatory drawing (the 1) which shows the detailed structure of the die plate and the die liner shown in FIG. ダイプレート及びダイライナの詳細構造を示す説明図(その2)である。It is explanatory drawing (the 2) which shows the detailed structure of a die plate and a die liner. ダイプレート及びダイライナの詳細構造を示す説明図(その3)である。It is explanatory drawing (the 3) which shows the detailed structure of a die plate and a die liner. 図1で示したクレイドルの詳細構造を示す説明図(その1)である。It is explanatory drawing (the 1) which shows the detailed structure of the cradle shown in FIG. クレイドルの詳細構造を示す説明図(その2)である。It is explanatory drawing (2) which shows the detailed structure of a cradle. クレイドルの詳細構造を示す説明図(その3)である。It is explanatory drawing (the 3) which shows the detailed structure of a cradle. クレイドルの詳細構造を示す説明図(その4)である。It is explanatory drawing (the 4) which shows the detailed structure of a cradle. 本実施の形態のプレス加工装置の制御系統を示すブロック図である。It is a block diagram which shows the control system of the press working apparatus of this embodiment. 本実施の形態のプレス加工装置のプレス加工動作及びワーク搬送動作の処理手順を示すフローチャートである。It is a flowchart which shows the processing procedure of the press working operation and work transfer operation of the press working apparatus of this embodiment. プレス加工動作時におけるプレス加工装置の動作状態を示す断面図(その1)である。It is sectional drawing (the 1) which shows the operation state of the press working apparatus at the time of a press working operation. プレス加工動作時におけるプレス加工装置の動作状態を示す断面図(その2)である。It is sectional drawing (the 2) which shows the operating state of the press working apparatus at the time of a press working operation. プレス加工動作時におけるプレス加工装置の動作状態を示す断面図(その3)である。It is sectional drawing (the 3) which shows the operation state of the press working apparatus at the time of a press working operation. プレス加工動作時におけるプレス加工装置の動作状態を示す断面図(その4)である。It is sectional drawing (the 4) which shows the operating state of the press working apparatus at the time of a press working operation. 従来のプレス加工装置の断面構造を示す説明図(その1)である。It is explanatory drawing (the 1) which shows the cross-sectional structure of the conventional press working apparatus. 従来のプレス加工装置の断面構造を示す説明図(その2)である。It is explanatory drawing (the 2) which shows the cross-sectional structure of the conventional press working apparatus.
 図1~図5は、本実施の形態のプレス加工装置1の構造を示す説明図である。図1及び図3はプレス加工装置1の断面構造を示す断面図であり、図2はプレス加工装置1の上面構造を示す平面図であり、図4はプレス加工装置1の全体構造を示す斜視図であり、図5はプレス加工装置1の側面構造を示す側面図である。なお、図1は図2のB-B断面の構造を示し、図3は図2のA-A断面の構造を示している。また、図1~図5それぞれにXYZ直交座標系を記している。 1 to 5 are explanatory views showing the structure of the press working apparatus 1 of the present embodiment. 1 and 3 are cross-sectional views showing the cross-sectional structure of the press working apparatus 1, FIG. 2 is a plan view showing the upper surface structure of the press working apparatus 1, and FIG. 4 is a perspective view showing the overall structure of the press working apparatus 1. FIG. 5 is a side view showing a side structure of the press working apparatus 1. Note that FIG. 1 shows the structure of the BB cross section of FIG. 2, and FIG. 3 shows the structure of the AA cross section of FIG. Further, the XYZ Cartesian coordinate system is shown in each of FIGS. 1 to 5.
 これらの図に示すように、本実施の形態のプレス加工装置1は上型10。下型20、ストリッパプレート17及びクレイドル50を主要構成要素として含んでいる。 As shown in these figures, the press working apparatus 1 of the present embodiment is the upper die 10. The lower mold 20, the stripper plate 17, and the cradle 50 are included as main components.
 下型20はダイプレート21及びダイライナ22を有しており、ダイライナ22上にダイプレート21が設けられる。 The lower mold 20 has a die plate 21 and a die liner 22, and the die plate 21 is provided on the die liner 22.
 ダイプレート21は加工対象の基材30を載置可能な基材載置領域R21を有している。プレス加工動作の実行直前の初期状態では基材載置領域R21上に基材30が載置される。基材載置領域R21は中央にダイプレート21を貫通したダイプレート空間21aを有している。 The die plate 21 has a base material mounting area R21 on which the base material 30 to be processed can be placed. In the initial state immediately before the execution of the press working operation, the base material 30 is placed on the base material placing area R21. The base material placing region R21 has a die plate space 21a penetrating the die plate 21 in the center.
 ダイライナ22は中央にダイライナ22を貫通したダイライナ空間22aを有している。ダイライナ空間22aはダイライナ空間22aに繋がる位置に設けられる。 The dyliner 22 has a dyliner space 22a penetrating the dyliner 22 in the center. The dyliner space 22a is provided at a position connected to the dyliner space 22a.
 以下、下型20からダイプレート21及びダイライナ22を除いた部分を「下型20の本体部」と称する場合がある。 Hereinafter, the portion of the lower mold 20 excluding the die plate 21 and the die liner 22 may be referred to as the "main body portion of the lower mold 20".
 下型20の本体部はダイプレート空間21aに繋がる中空領域となる開口領域20aを有している。 The main body of the lower mold 20 has an opening region 20a which is a hollow region connected to the die plate space 21a.
 上型10は、一対の昇降ガイド11、一対のバネ部材13及びパンチ40を主要構成要素として含んでいる。上型10の下方に一対のバネ部材13を介してストリッパプレート17が設けられる。また、上型10には一対のガイド通過領域R10が設けられ、一対のガイド通過領域R10は上型10を貫通して設けられる。 The upper mold 10 includes a pair of elevating guides 11, a pair of spring members 13, and a punch 40 as main components. A stripper plate 17 is provided below the upper die 10 via a pair of spring members 13. Further, the upper mold 10 is provided with a pair of guide passing regions R10, and the pair of guide passing regions R10 are provided so as to penetrate the upper mold 10.
 ストリッパプレート17は中央部にパンチ通過領域R17を有しており、一対の昇降ガイド11の下方部分に固定されている。 The stripper plate 17 has a punch passage region R17 in the central portion, and is fixed to the lower portion of the pair of elevating guides 11.
 一対の昇降ガイド11は垂直方向(Z方向)に沿って設けられる。一対の昇降ガイド11の中央領域は一対のガイド通過領域R10内に存在し、下方領域がストリッパプレート17に固定される。一対の昇降ガイド11それぞれの立設方向である垂直方向に沿って、ストリッパプレート17の上昇及び下降が可能なように、一対のガイド通過領域R10は一対の昇降ガイド11の中央部を収容している。 The pair of elevating guides 11 are provided along the vertical direction (Z direction). The central region of the pair of elevating guides 11 exists in the pair of guide passage regions R10, and the lower region is fixed to the stripper plate 17. The pair of guide passing regions R10 accommodates the central portion of the pair of elevating guides 11 so that the stripper plate 17 can be raised and lowered along the vertical direction of each of the pair of elevating guides 11. There is.
 このように、一対の昇降ガイド11は、ストリッパプレート17が上昇及び下降する際、垂直方向(Z方向)に沿って移動するように、ストリッパプレート17から上型10の上面にかけて設けられる。 As described above, the pair of elevating guides 11 are provided from the stripper plate 17 to the upper surface of the upper die 10 so as to move along the vertical direction (Z direction) when the stripper plate 17 rises and falls.
 また、上型10の上面に一対の昇降ガイド11に対応して一対のサポートプレート15が設けられる。一対のサポートプレート15は、昇降ガイド11が垂直方向に沿って移動可能に設けられる。 Further, a pair of support plates 15 are provided on the upper surface of the upper mold 10 corresponding to the pair of elevating guides 11. The pair of support plates 15 are provided with the elevating guide 11 so as to be movable along the vertical direction.
 但し、一対のサポートプレート15は、一対の昇降ガイド11の下降長を制限するストッパー機能を有している。したがって、一対のサポートプレート15によって、上型10の下面とのストリッパプレート17の上面との最大間隔が制限される。 However, the pair of support plates 15 has a stopper function that limits the descending length of the pair of elevating guides 11. Therefore, the pair of support plates 15 limits the maximum distance between the lower surface of the upper die 10 and the upper surface of the stripper plate 17.
 パンチ40は上型10の上面に設けられるパンチ押えプレート14によって、上型10の中央部に固定される。したがって、パンチ40は上型10の移動に連動して移動する。 The punch 40 is fixed to the central portion of the upper mold 10 by the punch holding plate 14 provided on the upper surface of the upper mold 10. Therefore, the punch 40 moves in conjunction with the movement of the upper die 10.
 また、パンチ40の上方領域が上型10内に固定されており、上型10の下面から露出したパンチ40の先端部分は、ストリッパプレート17のパンチ通過領域R17を通過可能に設けられる。 Further, the upper region of the punch 40 is fixed in the upper mold 10, and the tip portion of the punch 40 exposed from the lower surface of the upper mold 10 is provided so as to be able to pass through the punch passage region R17 of the stripper plate 17.
 さらに、パンチ40は、垂直方向(Z方向)に沿って内部を貫通する空気孔45を有し、この空気孔45はパンチ40の先端部分の下面である吸着下面48に到達している。 Further, the punch 40 has an air hole 45 penetrating the inside along the vertical direction (Z direction), and the air hole 45 reaches the suction lower surface 48 which is the lower surface of the tip portion of the punch 40.
 後述する空圧回路4による第1の吸着動作によって空気孔45が真空状態に設定されると、吸着下面48にて下方に存在する下方対象物となる基材30の上面またはワーク31の上面を吸着することができる。パンチ40の空気孔45は空圧回路4によって空圧が制御される第1の空気孔となる。 When the air hole 45 is set to the vacuum state by the first suction operation by the pneumatic circuit 4 described later, the upper surface of the base material 30 or the upper surface of the work 31 which is the lower object existing below the suction lower surface 48 is pressed. Can be adsorbed. The air hole 45 of the punch 40 is the first air hole whose pneumatic pressure is controlled by the pneumatic circuit 4.
 前述したダイプレート21のダイプレート空間21aはパンチ40の吸着下面48に対向する位置に設けられる。すなわち、XY平面で平面視して、ダイプレート空間21aは吸着下面48に合致し、吸着下面48より少し大きい形状を呈している。 The die plate space 21a of the die plate 21 described above is provided at a position facing the suction lower surface 48 of the punch 40. That is, when viewed in a plan view on the XY plane, the die plate space 21a matches the suction lower surface 48 and has a shape slightly larger than the suction lower surface 48.
 一方、下型20の基材載置領域R21の下方にワーク保持部材であるクレイドル50が設けられる。クレイドル50は頭部51と軸部52とを主要構成要素として含んでおり、頭部51は上方に突出した先端部51aを有している。 On the other hand, a cradle 50 which is a work holding member is provided below the base material mounting area R21 of the lower mold 20. The cradle 50 includes a head portion 51 and a shaft portion 52 as main components, and the head portion 51 has a tip portion 51a protruding upward.
 クレイドル50は垂直方向に沿って内部を貫通する空気孔55を有している。空気孔55は、軸部52から頭部51の先端部51aにかけて、先端部51aの上面である吸着上面58に到達するように設けられる。 The cradle 50 has an air hole 55 penetrating the inside along the vertical direction. The air hole 55 is provided from the shaft portion 52 to the tip portion 51a of the head portion 51 so as to reach the suction upper surface 58 which is the upper surface of the tip portion 51a.
 後述する空圧回路5による第2の吸着動作によって空気孔55内を真空状態にすることにより、吸着上面58にて上方に存在する上方対象物となるワーク31の下面を吸着することができる。ワーク保持部材であるクレイドル50の空気孔55は、空圧回路5によって空圧が制御される第2の空気孔となる。 By making the inside of the air hole 55 into a vacuum state by the second suction operation by the pneumatic circuit 5 described later, the lower surface of the work 31 which is the upper object existing above the suction upper surface 58 can be sucked. The air hole 55 of the cradle 50, which is a work holding member, is a second air hole whose pneumatic pressure is controlled by the pneumatic circuit 5.
 図2~図5に示すように、一対のガイド機構18は、上型10が垂直方向に沿って移動可能なように、上型10の上方から下型20にかけて設けられる。 As shown in FIGS. 2 to 5, the pair of guide mechanisms 18 are provided from above the upper mold 10 to the lower mold 20 so that the upper mold 10 can move along the vertical direction.
 図3に示すように、一対のシリンダ60は本体部60m及びロッド65を主要構成要素として含んでいる。本体部60mは下型20の下方に配置され、ロッド65は本体部60mの上部から、下型20及び上型10を貫通し、上型10の上面にてワッシャ16により固定される。したがって、ロッド65の先端部分にて上型10に固定される。 As shown in FIG. 3, the pair of cylinders 60 includes a main body 60 m and a rod 65 as main components. The main body 60m is arranged below the lower die 20, and the rod 65 penetrates the lower die 20 and the upper die 10 from the upper part of the main body 60m and is fixed by a washer 16 on the upper surface of the upper die 10. Therefore, it is fixed to the upper mold 10 at the tip portion of the rod 65.
 一対のシリンダ60はそれぞれロッド65の伸縮動作に伴い、上型10に対する昇降動作(上昇動作+下降動作)が可能となる。 Each of the pair of cylinders 60 can move up and down (up and down) with respect to the upper die 10 as the rod 65 expands and contracts.
 したがって、一対のシリンダ60それぞれのロッド65の伸縮動作によって、上型10に固定されたパンチ40の昇降動作が可能となる。このように、一対のシリンダ60は、パンチ40用の第1の昇降機構として機能する。 Therefore, by expanding and contracting the rods 65 of each of the pair of cylinders 60, the punch 40 fixed to the upper die 10 can be moved up and down. In this way, the pair of cylinders 60 functions as a first elevating mechanism for the punch 40.
 図2及び図4に示すように、下型20の上面上に一対の水平ガイド12が設けられる。一対の水平ガイド12は互いにX方向に沿って延在することにより、一対の水平ガイド12間に配置される基材30が蛇行しないように位置決めすることができる。 As shown in FIGS. 2 and 4, a pair of horizontal guides 12 are provided on the upper surface of the lower mold 20. By extending the pair of horizontal guides 12 along the X direction, the base material 30 arranged between the pair of horizontal guides 12 can be positioned so as not to meander.
 図6~図8はダイプレート21及びダイライナ22の詳細構造を示す説明図である。図6はダイプレート21及びダイライナ22が下型20に取り付けられた状態を示す斜視図であり、図7はダイプレート21及びダイライナ22が下型20から取り外された状態を示す説明図である。図8は、上型10及び下型20の1/4相当領域を除去した全体構造を示す説明図である。なお、図6~図8それぞれにXYZ直交座標系を記している。 6 to 8 are explanatory views showing the detailed structures of the die plate 21 and the die liner 22. FIG. 6 is a perspective view showing a state in which the die plate 21 and the die liner 22 are attached to the lower mold 20, and FIG. 7 is an explanatory view showing a state in which the die plate 21 and the die liner 22 are removed from the lower mold 20. FIG. 8 is an explanatory diagram showing an overall structure in which the region corresponding to 1/4 of the upper mold 10 and the lower mold 20 is removed. The XYZ Cartesian coordinate system is shown in each of FIGS. 6 to 8.
 これらの図に示すように、ダイプレート21及びダイライナ22は、Y方向を長辺、X方向を短辺とした平面視して矩形状を呈している。そして、ダイプレート21及びダイライナ22は下型20の本体部から着脱可能に設けられる。 As shown in these figures, the die plate 21 and the die liner 22 have a rectangular shape in a plan view with the Y direction as the long side and the X direction as the short side. The die plate 21 and the die liner 22 are detachably provided from the main body of the lower mold 20.
 ダイプレート21は中央部において、上面から下面にかけて貫通するダイプレート空間21aを有している。このダイプレート空間21aのXY平面における平面形状はパンチ40の吸着下面48に合致し、少し広い形状を有している。ダイライナ22は中央部において、上面から下面にかけて貫通するダイライナ空間22aを有している。 The die plate 21 has a die plate space 21a penetrating from the upper surface to the lower surface in the central portion. The planar shape of the die plate space 21a in the XY plane matches the suction lower surface 48 of the punch 40, and has a slightly wider shape. The dyliner 22 has a dyliner space 22a penetrating from the upper surface to the lower surface in the central portion.
 図6に示すように、下型20の本体部に設けられた凹部にダイライナ22、ダイプレート21の順で積み重ねて設けられる。したがって、厚みの異なる複数種のダイライナ22のうち一のダイライナ22を適宜選択することにより、ダイプレート21の厚みを一定にしても、ダイプレート21及びダイライナ22の組合せ構造の厚みを調整することができる。 As shown in FIG. 6, the die liner 22 and the die plate 21 are stacked and provided in the recess provided in the main body of the lower mold 20 in this order. Therefore, by appropriately selecting one of the plurality of types of die liners 22 having different thicknesses, the thickness of the combined structure of the die plate 21 and the die liner 22 can be adjusted even if the thickness of the die plate 21 is constant. can.
 下型20の凹部にダイプレート21及びダイライナ22を取り付けた状態において、ダイライナ22のダイライナ空間22aはXY平面で平面視してダイプレート空間21aを含み、ダイプレート空間21aより十分広い形状を呈している。 In a state where the die plate 21 and the die liner 22 are attached to the recesses of the lower mold 20, the die liner space 22a of the die liner 22 includes the die plate space 21a when viewed in a plan view on an XY plane, and exhibits a shape sufficiently wider than the die plate space 21a. There is.
 また、下型20の本体部はダイライナ22の下方において、平面視してダイライナ空間22aにほぼ合致した形状の開口領域20aを有している。 Further, the main body portion of the lower mold 20 has an opening region 20a having a shape substantially matching the dialina space 22a in a plan view below the dialina 22.
 図9~図12はワーク保持部材であるクレイドルの詳細構造を示す説明図である。図9はクレイドル50の全体構成を示す斜視図であり、図10は頭部51の上面構造を示す平面図である。図11及び図12はそれぞれクレイドル50の断面構造を示す断面図である。図11は図10のC-C断面構造を示し、図12は図10のD-D断面構造を示している。図9~図12それぞれにXYZ直交座標系を記している。 9 to 12 are explanatory views showing the detailed structure of the cradle which is a work holding member. FIG. 9 is a perspective view showing the overall configuration of the cradle 50, and FIG. 10 is a plan view showing the upper surface structure of the head 51. 11 and 12 are cross-sectional views showing the cross-sectional structure of the cradle 50, respectively. 11 shows the CC cross-sectional structure of FIG. 10, and FIG. 12 shows the DD cross-sectional structure of FIG. The XYZ Cartesian coordinate system is shown in each of FIGS. 9 to 12.
 これらの図に示すように、クレイドル50は頭部51及び軸部52を主要構成要素として含んでいる。軸部52は垂直方向(Z方向)を高さ方向とした円柱形状を呈しており、頭部51は垂直方向を高さ方向とした略円柱形状を呈している。 As shown in these figures, the cradle 50 includes a head 51 and a shaft 52 as main components. The shaft portion 52 has a cylindrical shape with the vertical direction (Z direction) as the height direction, and the head portion 51 has a substantially cylindrical shape with the vertical direction as the height direction.
 頭部51の断面積は軸部52の断面積よりも大きく、軸部52の上面上に頭部51が設けられる。XY平面で平面視して頭部51の中心と軸部52の中心とが一致するように、軸部52上に頭部51が設けられる。 The cross-sectional area of the head portion 51 is larger than the cross-sectional area of the shaft portion 52, and the head portion 51 is provided on the upper surface of the shaft portion 52. The head portion 51 is provided on the shaft portion 52 so that the center of the head portion 51 and the center of the shaft portion 52 coincide with each other in a plan view on an XY plane.
 頭部51は、本体部51m、固定部材53、一対のボルト56及び先端部51aを主要構成要素として含んでいる。頭部51の本体部51m上に固定部材53が一対のボルト56によって取り付けられる。 The head portion 51 includes a main body portion 51 m, a fixing member 53, a pair of bolts 56, and a tip portion 51a as main components. A fixing member 53 is attached to the main body 51 m of the head 51 by a pair of bolts 56.
 固定部材53はダイプレート21に接触する場合を考慮して、プラスチックスを構成材料としており、中央にX方向に沿って延びる溝部51bを有している。溝部51bの中心領域は先端部51aを囲むように幅広構造を呈している。 The fixing member 53 is made of plastic in consideration of the case where it comes into contact with the die plate 21, and has a groove portion 51b extending in the X direction in the center. The central region of the groove portion 51b has a wide structure so as to surround the tip portion 51a.
 溝部51bの底面から+Z方向に伸びて円筒状の先端部51aが設けられる。先端部51aの上面が吸着上面58となり、この吸着上面58に到達するように空気孔55が設けられる。先端部51aの空気孔55は、XY平面で平面視して頭部51の中心になるように設けられる。 A cylindrical tip portion 51a is provided extending from the bottom surface of the groove portion 51b in the + Z direction. The upper surface of the tip portion 51a becomes the suction upper surface 58, and an air hole 55 is provided so as to reach the suction upper surface 58. The air hole 55 of the tip portion 51a is provided so as to be the center of the head portion 51 when viewed in a plan view on an XY plane.
 図11及び図12に示すように、第2の空気孔である空気孔55は軸部52の底面から頭部51の本体部51mを経由して先端部51aの吸着上面58にかけて形成される。したがって、空気孔55は先端部51aの上面である吸着上面58に到達している。 As shown in FIGS. 11 and 12, the air hole 55, which is the second air hole, is formed from the bottom surface of the shaft portion 52 to the suction upper surface 58 of the tip portion 51a via the main body portion 51 m of the head portion 51. Therefore, the air hole 55 reaches the suction upper surface 58, which is the upper surface of the tip portion 51a.
 さらに、空気孔55は軸部52の底面から先端部51aの吸着上面58にかけて径(直径)が3段階で段階的に短縮される径短縮構造を有している。 Further, the air hole 55 has a diameter shortening structure in which the diameter (diameter) is gradually shortened in three stages from the bottom surface of the shaft portion 52 to the suction upper surface 58 of the tip portion 51a.
 本実施の形態のクレイドル50における空気孔55は、上述した径短縮構造を有することにより、吸着上面58での空気孔55の径を最小限に抑え、かつ、吸着上面58の直近まで比較的大きな径を確保することにより、空気孔55を真空状態にした時の吸着上面58における吸着力を大きくすることができる。 The air hole 55 in the cradle 50 of the present embodiment has the above-mentioned diameter shortening structure, so that the diameter of the air hole 55 on the suction upper surface 58 is minimized, and the diameter is relatively large up to the immediate vicinity of the suction upper surface 58. By ensuring the diameter, it is possible to increase the suction force on the suction top surface 58 when the air holes 55 are in a vacuum state.
 クレイドル50を垂直方向に沿って上昇させた際、先端部51aはダイプレート21のダイプレート空間21a内に挿入可能な形状を有しており、頭部51の本体部51mは、下型20の本体部の開口領域20a及びダイライナ22のダイライナ空間22a内を通過できる形状を有している。 When the cradle 50 is raised along the vertical direction, the tip portion 51a has a shape that can be inserted into the die plate space 21a of the die plate 21, and the main body portion 51m of the head portion 51 is the lower mold 20. It has a shape that allows it to pass through the opening region 20a of the main body and the dialina space 22a of the dialiner 22.
 図13は本実施の形態のプレス加工装置1の制御系統を示すブロック図である。同図に示すように、制御部3は吸着制御信号SC4及びSC5、シリンダ制御信号SC60、駆動機構制御信号SC7並びに搬送機構制御信号SC8を出力することにより、後に詳述するプレス加工動作及び搬送動作を実行制御する。 FIG. 13 is a block diagram showing a control system of the press working apparatus 1 of the present embodiment. As shown in the figure, the control unit 3 outputs the adsorption control signals SC4 and SC5, the cylinder control signal SC60, the drive mechanism control signal SC7, and the transfer mechanism control signal SC8, whereby the press working operation and the transfer operation described in detail later are performed. Is executed and controlled.
 制御部3は、空圧回路4に吸着制御信号SC4を出力することにより空圧回路4による第1の吸着動作を制御する。 The control unit 3 controls the first suction operation by the pneumatic circuit 4 by outputting the suction control signal SC4 to the pneumatic circuit 4.
 図13に示すように、パンチ40に対応して第1の空圧回路である空圧回路4が設けられる。空圧回路4は、吸着制御信号SC4に基づき、第1の吸着動作を実行する。 As shown in FIG. 13, a pneumatic circuit 4 which is a first pneumatic circuit is provided corresponding to the punch 40. The pneumatic circuit 4 executes the first suction operation based on the suction control signal SC4.
 具体的には、吸着制御信号SC4が第1の吸着動作の実行開始を指示する場合、空圧回路4はパンチ40の空気孔45内の圧力を真空状態にして、吸着下面48にて基材30の上面またはワーク31の上面を吸着する第1の吸着動作を実行する。 Specifically, when the suction control signal SC4 instructs the start of execution of the first suction operation, the pneumatic circuit 4 puts the pressure in the air hole 45 of the punch 40 into a vacuum state and makes the substrate on the suction lower surface 48. The first suction operation of sucking the upper surface of the 30 or the upper surface of the work 31 is performed.
 一方、吸着制御信号SC4が第1の吸着動作の実行終了を指示する場合、空圧回路4は、空気孔45に微量なエアーを送ることにより、空気孔45内を真空状態から非真空状態にして第1の吸着動作を終了させ、基材30またはワーク31を吸着状態から解放する。 On the other hand, when the suction control signal SC4 instructs the end of execution of the first suction operation, the pneumatic circuit 4 changes the inside of the air hole 45 from the vacuum state to the non-vacuum state by sending a small amount of air to the air hole 45. The first suction operation is terminated, and the base material 30 or the work 31 is released from the suction state.
 このように、空圧回路4は、吸着制御信号SC4に基づき、空気孔45が到達するパンチ40の吸着下面48にて下方に存在する吸着対象物(基材30またはワーク31)を吸着するように、空気孔45を真空状態にする第1の吸着動作を実行する。 In this way, the pneumatic circuit 4 so as to adsorb the object to be adsorbed (base material 30 or work 31) present below on the suction lower surface 48 of the punch 40 reached by the air hole 45 based on the suction control signal SC4. In addition, the first suction operation of making the air hole 45 into a vacuum state is executed.
 なお、空圧回路4は第1の吸着動作を実行すると、第1の吸着動作の終了を指示する吸着制御信号SC4を受けるまで、第1の吸着動作を継続して実行する。 When the pneumatic circuit 4 executes the first suction operation, the pneumatic circuit 4 continuously executes the first suction operation until it receives the suction control signal SC4 instructing the end of the first suction operation.
 さらに、制御部3は空圧回路5に吸着制御信号SC5を出力することにより、空圧回路5による第2の吸着動作を制御する。 Further, the control unit 3 controls the second suction operation by the pneumatic circuit 5 by outputting the suction control signal SC5 to the pneumatic circuit 5.
 図13に示すように、クレイドル50に対応して第2の空圧回路である空圧回路5が設けられる。空圧回路5は、吸着制御信号SC5に基づき、クレイドル50の空気孔55の圧力を真空状態にして、吸着上面58にてワーク31を吸着する第2の吸着動作を実行する。 As shown in FIG. 13, a pneumatic circuit 5 which is a second pneumatic circuit is provided corresponding to the cradle 50. The pneumatic circuit 5 sets the pressure of the air hole 55 of the cradle 50 to a vacuum state based on the suction control signal SC5, and executes a second suction operation of sucking the work 31 on the suction upper surface 58.
 具体的には、吸着制御信号SC5が第2の吸着動作の実行開始を指示する場合、空圧回路5はクレイドル50の空気孔55内の圧力を真空状態にして、吸着上面58にてワーク31の下面を吸着する第2の吸着動作を実行する。 Specifically, when the suction control signal SC5 instructs the start of execution of the second suction operation, the pneumatic circuit 5 puts the pressure in the air hole 55 of the cradle 50 into a vacuum state, and the work 31 is placed on the suction top surface 58. A second suction operation for sucking the lower surface of the surface is performed.
 一方、吸着制御信号SC5が第2の吸着動作の実行終了を指示する場合、空圧回路5は、空気孔55に微量なエアーを送ることにより、空気孔55内を真空状態から非真空状態にして第2の吸着動作を終了させ、ワーク31を吸着状態から解放する。 On the other hand, when the suction control signal SC5 instructs the end of execution of the second suction operation, the pneumatic circuit 5 changes the inside of the air hole 55 from the vacuum state to the non-vacuum state by sending a small amount of air to the air hole 55. The second suction operation is terminated, and the work 31 is released from the suction state.
 このように、空圧回路5は、吸着制御信号SC5に基づき、空気孔55が到達するクレイドル50の吸着上面58にて上方に存在する吸着対象物(ワーク31)の下面を吸着するように、空気孔55を真空状態にする第2の吸着動作を実行する。 In this way, the pneumatic circuit 5 sucks the lower surface of the suction target (work 31) above the suction upper surface 58 of the cradle 50 reached by the air hole 55 based on the suction control signal SC5. The second suction operation that puts the air hole 55 in a vacuum state is executed.
 なお、空圧回路5は第2の吸着動作を実行すると、第2の吸着動作の終了を指示する吸着制御信号SC5を受けるまで、第2の吸着動作を継続して実行する。 When the pneumatic circuit 5 executes the second suction operation, the pneumatic circuit 5 continuously executes the second suction operation until it receives the suction control signal SC5 instructing the end of the second suction operation.
 また、制御部3はシリンダ制御信号SC60を一対のシリンダ60に出力することにより、一対のシリンダ60による昇降動作を実行制御する。 Further, the control unit 3 outputs the cylinder control signal SC60 to the pair of cylinders 60 to execute and control the elevating operation by the pair of cylinders 60.
 具体的には、シリンダ制御信号SC60が上昇動作を指示する場合、一対のシリンダ60はロッド65を+Z方向に所定長分、伸ばすことにより、上型10及び上型10に固定されたパンチ40を上昇させる上昇動作を実行する。 Specifically, when the cylinder control signal SC60 indicates an ascending operation, the pair of cylinders 60 extends the rod 65 in the + Z direction by a predetermined length to pull the punch 40 fixed to the upper die 10 and the upper die 10. Perform an ascending motion to ascend.
 一方、シリンダ制御信号SC60が下降動作を指示する場合、一対のシリンダ60は、ロッド65を-Z方向に所定長分、縮めることにより、上型10及び上型10に固定されたパンチ40を下降させる下降動作を実行する。 On the other hand, when the cylinder control signal SC60 instructs a lowering operation, the pair of cylinders 60 lowers the punch 40 fixed to the upper die 10 and the upper die 10 by contracting the rod 65 in the −Z direction by a predetermined length. Perform a descending motion.
 このように、一対のシリンダ60は、シリンダ制御信号SC60に基づき、パンチ40に対する昇降動作(上昇動作+下降動作)を実行する。すなわち、一対のシリンダ60はパンチ40用の第1の昇降機構として機能する。 In this way, the pair of cylinders 60 execute an ascending / descending operation (ascending operation + descending operation) with respect to the punch 40 based on the cylinder control signal SC60. That is, the pair of cylinders 60 functions as a first elevating mechanism for the punch 40.
 さらに、制御部3は駆動機構制御信号SC7を昇降駆動機構7に出力することにより、昇降駆動機構7による昇降動作を実行制御する。 Further, the control unit 3 outputs the drive mechanism control signal SC7 to the elevating drive mechanism 7 to execute and control the elevating operation by the elevating drive mechanism 7.
 具体的には、駆動機構制御信号SC7が上昇動作を指示する場合、昇降駆動機構7はクレイドル50を所定の上昇位置まで上昇させる上昇動作を実行する。 Specifically, when the drive mechanism control signal SC7 instructs an ascending operation, the elevating drive mechanism 7 executes an ascending operation for raising the cradle 50 to a predetermined ascending position.
 一方、駆動機構制御信号SC7が下降動作を指示する場合、昇降駆動機構7はクレイドル50を所定の下降位置まで下降させる下降動作を実行する。 On the other hand, when the drive mechanism control signal SC7 instructs a descending operation, the elevating drive mechanism 7 executes a descending operation of lowering the cradle 50 to a predetermined descending position.
 このように、昇降駆動機構7は、駆動機構制御信号SC7に基づき、クレイドル50に対する昇降動作(上昇動作+下降動作)を実行する。すなわち、昇降駆動機構7はクレイドル50用の第2の昇降機構として機能する。 In this way, the elevating drive mechanism 7 executes an elevating operation (ascending operation + descending operation) with respect to the cradle 50 based on the drive mechanism control signal SC7. That is, the elevating drive mechanism 7 functions as a second elevating mechanism for the cradle 50.
 また、制御部3は搬送機構制御信号SC8を水平方向搬送機構8に出力することにより、水平方向搬送機構8による搬送動作を実行制御する。 Further, the control unit 3 outputs the transfer mechanism control signal SC8 to the horizontal transfer mechanism 8 to execute and control the transfer operation by the horizontal transfer mechanism 8.
 具体的には、搬送機構制御信号SC8が搬送動作を指示する場合、水平方向搬送機構8は、予め設定された搬送位置までクレイドル50を水平方向に沿って移動させる搬送動作を実行する。 Specifically, when the transfer mechanism control signal SC8 instructs the transfer operation, the horizontal transfer mechanism 8 executes the transfer operation of moving the cradle 50 along the horizontal direction to a preset transfer position.
 このように、水平方向搬送機構8は、搬送機構制御信号SC8に基づき、クレイドル50に対する水平方向に沿った搬送動作を実行する。すなわち、水平方向搬送機構8はクレイドル50用の水平方向搬送機構として機能する。 As described above, the horizontal transport mechanism 8 executes the transport operation along the horizontal direction with respect to the cradle 50 based on the transport mechanism control signal SC8. That is, the horizontal transport mechanism 8 functions as a horizontal transport mechanism for the cradle 50.
 なお、昇降駆動機構7及び水平方向搬送機構8は既存技術にて実現できる。昇降駆動機構7及び水平方向搬送機構8の動力源として、例えば、エアーシリンダの伸縮動作が考えられる。 The elevating drive mechanism 7 and the horizontal transport mechanism 8 can be realized by existing techniques. As a power source for the elevating drive mechanism 7 and the horizontal transport mechanism 8, for example, an expansion / contraction operation of an air cylinder can be considered.
 このように、制御部3は、一対のシリンダ60(パンチ40用の第1の昇降駆動機構)、昇降駆動機構7(クレイドル50用の第2の昇降駆動機構)、空圧回路4及び空圧回路5を制御して、基材載置領域R21上に載置されている初期状態の基材30からワーク31を得るプレス加工動作の実行制御を行う。 As described above, the control unit 3 includes a pair of cylinders 60 (first elevating drive mechanism for punch 40), elevating drive mechanism 7 (second elevating drive mechanism for cradle 50), pneumatic circuit 4, and pneumatic pressure. The circuit 5 is controlled to control the execution of the press working operation for obtaining the work 31 from the base material 30 in the initial state placed on the base material mounting region R21.
 さらに、制御部3は、昇降駆動機構7及び水平方向搬送機構8(クレイドル50用の搬送機構)を制御して、吸着上面58にてワーク31を吸着しているクレイドル50を搬送位置まで搬送するワーク搬送動作を実行制御する。 Further, the control unit 3 controls the elevating drive mechanism 7 and the horizontal transport mechanism 8 (convey mechanism for the cradle 50) to transport the cradle 50 sucking the work 31 on the suction upper surface 58 to the transport position. Execution control of work transfer operation.
 図14は、制御部3の制御下で行うプレス加工装置1のプレス加工動作及びワーク搬送動作の処理手順を示すフローチャートである。図15~図18はプレス加工動作時におけるプレス加工装置1の動作状態を示す断面図である。図15~図18それぞれにXYZ直交座標系を記している。 FIG. 14 is a flowchart showing a processing procedure of the press working operation and the work transfer operation of the press working apparatus 1 performed under the control of the control unit 3. 15 to 18 are cross-sectional views showing an operating state of the press working apparatus 1 during the press working operation. The XYZ Cartesian coordinate system is shown in each of FIGS. 15 to 18.
 図15に示すように、プレス加工動作の実行直前は、ダイプレート21の基材載置領域R21上に基材30が載置されている初期状態に設定されている。 As shown in FIG. 15, immediately before the execution of the press working operation, the base material 30 is set to the initial state in which the base material 30 is placed on the base material mounting area R21 of the die plate 21.
 図15に示すように、初期状態において、パンチ40は、ダイプレート21の上方にダイプレート21に基材載置領域R21と接触しない位置に配置される。クレイドル50は、ダイプレート21の下方に基材載置領域R21と接触(重複)しない位置に配置される。また、初期状態では、空圧回路4による第1の吸着動作及び空圧回路5による第2の吸着動作は共に実行されていない。 As shown in FIG. 15, in the initial state, the punch 40 is arranged above the die plate 21 at a position where the die plate 21 does not come into contact with the base material mounting region R21. The cradle 50 is arranged below the die plate 21 at a position where it does not come into contact with (overlap) the base material mounting region R21. Further, in the initial state, neither the first suction operation by the pneumatic circuit 4 nor the second suction operation by the pneumatic circuit 5 is executed.
 このような初期状態から、制御部3の制御下で、パンチ40により基材30を打ち抜いてワーク31を得るプレス加工動作が自動的に実行される。プレス加工動作は図14で示すステップS1~S6の工程を含んでいる。 From such an initial state, under the control of the control unit 3, the press working operation of punching the base material 30 with the punch 40 to obtain the work 31 is automatically executed. The press working operation includes the steps S1 to S6 shown in FIG.
 以下、図14を参照してプレス加工動作の処理手順を説明する。処理手順の説明時に適宜、図15~図18を引用する。 Hereinafter, the processing procedure of the press working operation will be described with reference to FIG. 15 to 18 will be cited as appropriate when explaining the processing procedure.
 まず、ステップS1において、制御部3は、上昇動作を指示する駆動機構制御信号SC7を昇降駆動機構7に出力することにより、クレイドル50を上昇させる。 First, in step S1, the control unit 3 raises the cradle 50 by outputting the drive mechanism control signal SC7 instructing the ascending operation to the elevating drive mechanism 7.
 その結果、図16に示すように、クレイドル50は、先端部51aの吸着上面58がダイプレート21のダイプレート空間21a(図15参照)内に位置する高さまで上昇する。同時に、頭部51の本体部51mはダイライナ空間22a及び開口領域20a(図15参照)内に位置することなる。 As a result, as shown in FIG. 16, the cradle 50 rises to a height at which the suction upper surface 58 of the tip portion 51a is located in the die plate space 21a (see FIG. 15) of the die plate 21. At the same time, the main body 51m of the head 51 is located in the dialina space 22a and the opening region 20a (see FIG. 15).
 次に、ステップS2において、制御部3は、第1の吸着動作の実行開始を指示する吸着制御信号SC4を空圧回路4に出力することにより、空圧回路4によってパンチ40の吸着動作を開始させる。 Next, in step S2, the control unit 3 outputs the suction control signal SC4 instructing the start of execution of the first suction operation to the pneumatic circuit 4, thereby starting the suction operation of the punch 40 by the pneumatic circuit 4. Let me.
 すなわち、空圧回路4による空圧制御により空気孔45を真空状態にしてパンチ40の吸着下面48にて下方対象物となる基材30の上面を吸着可能な状態に設定する。 That is, the air hole 45 is set to a vacuum state by pneumatic control by the pneumatic circuit 4 so that the upper surface of the base material 30 to be the lower object can be adsorbed by the suction lower surface 48 of the punch 40.
 その後、ステップS3において、制御部3は下降を指示するシリンダ制御信号SC60をシリンダ60に出力することにより、シリンダ60のロッド65を-Z方向に縮めて上型10と共にパンチ40を下降させる。 After that, in step S3, the control unit 3 outputs the cylinder control signal SC60 instructing the lowering to the cylinder 60, thereby contracting the rod 65 of the cylinder 60 in the −Z direction and lowering the punch 40 together with the upper die 10.
 上型10の下降に伴いストリッパプレート17がダイプレート21の上面に接触する。その後も上型10は下降するため、一対のバネ部材13の弾性力によって、ストリッパプレート17は基材30を基材載置領域R21上で安定性良く固定する。 As the upper mold 10 descends, the stripper plate 17 comes into contact with the upper surface of the die plate 21. Since the upper mold 10 is lowered even after that, the stripper plate 17 stably fixes the base material 30 on the base material mounting region R21 by the elastic force of the pair of spring members 13.
 そして、パンチ40の吸着下面48がダイプレート空間21a内に侵入し、クレイドル50の吸着上面58に接近する位置まで、パンチ40は下降される。 Then, the suction lower surface 48 of the punch 40 invades into the die plate space 21a, and the punch 40 is lowered to a position close to the suction upper surface 58 of the cradle 50.
 その結果、図17に示すように、ステップS3の実行時において、パンチ40の先端部分によってワーク31が打ち抜かれることによりワーク31が得られる。この際、パンチ40はパンチ押えプレート14によって固定されているため、パンチ40が上方に浮き上がることはない。 As a result, as shown in FIG. 17, when the step S3 is executed, the work 31 is obtained by punching the work 31 by the tip portion of the punch 40. At this time, since the punch 40 is fixed by the punch holding plate 14, the punch 40 does not rise upward.
 ステップS3の実行後、ワーク31は、ダイプレート空間21a内においてパンチ40の吸着下面48とクレイドル50の吸着上面58との間に挟まれる。 After the execution of step S3, the work 31 is sandwiched between the suction lower surface 48 of the punch 40 and the suction upper surface 58 of the cradle 50 in the die plate space 21a.
 この際、基材載置領域R21上には、ワーク31が打ち抜かれた後の基材30の残存基材33が残る。残存基材33は、一対のバネ部材13の弾性力が付与されたストリッパプレート17によって飛び散ることなく固定される。 At this time, the residual base material 33 of the base material 30 after the work 31 is punched remains on the base material placing area R21. The residual base material 33 is fixed without being scattered by the stripper plate 17 to which the elastic force of the pair of spring members 13 is applied.
 ステップS3の実行期間において、空圧回路4による第1の吸着動作が継続して実行されている。このため、ステップS3の実行時に、基材30の上面とパンチ40の吸着下面48とが接触すると基材30の上面は吸着下面48にて吸着され、ワーク31が得られた後もワーク31の上面は吸着下面48にて引き続き吸着される。したがって、ワーク31の姿勢(上下関係)は、初期状態の基材30の姿勢から変化することはなく正常状態を維持する。 During the execution period of step S3, the first suction operation by the pneumatic circuit 4 is continuously executed. Therefore, when the upper surface of the base material 30 and the suction lower surface 48 of the punch 40 come into contact with each other during the execution of step S3, the upper surface of the base material 30 is sucked by the suction lower surface 48, and even after the work 31 is obtained, the work 31 The upper surface is continuously adsorbed by the adsorption lower surface 48. Therefore, the posture (upper and lower relationship) of the work 31 does not change from the posture of the base material 30 in the initial state and maintains the normal state.
 その後、ステップS4において、第2の吸着動作の実行開始を指示する吸着制御信号SC5を空圧回路5に出力することにより、空圧回路5によってクレイドル50の吸着動作を開始させる。 After that, in step S4, the suction control signal SC5 instructing the start of execution of the second suction operation is output to the pneumatic circuit 5, so that the suction operation of the cradle 50 is started by the pneumatic circuit 5.
 すなわち、空圧回路5による空圧制御により空気孔55を真空状態にしてクレイドル50の吸着上面58にて上方対象物となるワーク31の下面を吸着することができる。 That is, the air hole 55 can be in a vacuum state by pneumatic control by the pneumatic circuit 5, and the lower surface of the work 31 to be the upper object can be sucked by the suction upper surface 58 of the cradle 50.
 その結果、ステップS4の実行後は、吸着下面48と吸着上面58との間に挟まれたワーク31に関し、ワーク31の上面は吸着下面48にて吸着され、かつ、ワーク31の下面は吸着上面58にて吸着される。 As a result, after the execution of step S4, with respect to the work 31 sandwiched between the suction lower surface 48 and the suction upper surface 58, the upper surface of the work 31 is sucked by the suction lower surface 48, and the lower surface of the work 31 is the suction upper surface. It is adsorbed at 58.
 したがって、ステップS4の実行期間中においても、ワーク31の姿勢は変化することなく正常な状態で維持される。 Therefore, even during the execution period of step S4, the posture of the work 31 is maintained in a normal state without change.
 次に、ステップS5において、制御部3は第1の吸着動作の実行終了を指示する吸着制御信号SC4を空圧回路4に出力することにより、パンチ40の吸着動作を終了させる。 Next, in step S5, the control unit 3 ends the suction operation of the punch 40 by outputting the suction control signal SC4 instructing the end of execution of the first suction operation to the pneumatic circuit 4.
 すなわち、空圧回路4による空圧制御により空気孔45に微量なエアーを送ることにより、空気孔45を真空状態から非真空状態にして、パンチ40の吸着下面48からワーク31の上面を解放する。 That is, by sending a small amount of air to the air hole 45 by pneumatic control by the pneumatic circuit 4, the air hole 45 is changed from the vacuum state to the non-vacuum state, and the upper surface of the work 31 is released from the suction lower surface 48 of the punch 40. ..
 その結果、ステップS5の実行後は、吸着下面48と吸着上面58との間に挟まれたワーク31に関し、ワーク31の下面は吸着上面58にて吸着される。 As a result, after the execution of step S5, with respect to the work 31 sandwiched between the suction lower surface 48 and the suction upper surface 58, the lower surface of the work 31 is sucked by the suction upper surface 58.
 したがって、ステップS5の実行期間中においても、ワーク31の姿勢は変化することなく正常な状態が維持される。 Therefore, even during the execution period of step S5, the posture of the work 31 does not change and the normal state is maintained.
 その後、ステップS6において、制御部3は上昇を指示すシリンダ制御信号SC60を一対のシリンダ60に出力することにより、図18に示すように、上型10と共にパンチ40を上昇させる。すなわち、パンチ40は初期状態に戻る。 After that, in step S6, the control unit 3 raises the punch 40 together with the upper die 10 by outputting the cylinder control signal SC60 indicating the rise to the pair of cylinders 60. That is, the punch 40 returns to the initial state.
 その結果、ステップS6の実行後も第2の吸着動作は継続されているため、ワーク31の下面は吸着上面58にて吸着される。したがって、ステップS6の実行期間中においても、ワーク31の姿勢は変化することなく正常な状態で維持される。 As a result, since the second suction operation is continued even after the execution of step S6, the lower surface of the work 31 is sucked by the suction upper surface 58. Therefore, even during the execution period of step S6, the posture of the work 31 is maintained in a normal state without change.
 このように、本実施の形態のプレス加工装置1において、制御部3の制御下でステップS1~S6を含むプレス加工動作は自動的に実行される。 As described above, in the press working apparatus 1 of the present embodiment, the press working operation including steps S1 to S6 is automatically executed under the control of the control unit 3.
 ステップS3の実行期間において、ワーク31は、パンチ40の吸着下面48に吸着された状態で、吸着下面48とクレイドル50の吸着上面58との間に挟まれる。 During the execution period of step S3, the work 31 is sandwiched between the suction lower surface 48 and the suction upper surface 58 of the cradle 50 in a state of being sucked by the suction lower surface 48 of the punch 40.
 ステップS4の実行期間において、ワーク31は、パンチ40の吸着下面48及びクレイドル50の吸着上面58に吸着された状態で、吸着下面と吸着上面との間に挟まれる。 During the execution period of step S4, the work 31 is sandwiched between the suction lower surface and the suction upper surface in a state of being sucked by the suction lower surface 48 of the punch 40 and the suction upper surface 58 of the cradle 50.
 さらに、ステップS5及びS6の実行期間において、ワーク31はクレイドル50の吸着上面58にて吸着される。 Further, during the execution period of steps S5 and S6, the work 31 is adsorbed on the adsorption upper surface 58 of the cradle 50.
 したがって、プレス加工動作によって、ワーク31が得られた後の全ステップである、ステップS3~S6の実行中において、ワーク31に対し、パンチ40の吸着下面48にて行う第1の吸着動作及びクレイドル50の吸着上面58にて行う第2の吸着動作のうち少なくとも一方の吸着動作が必ず実行されている。 Therefore, during the execution of steps S3 to S6, which are all steps after the work 31 is obtained by the press working operation, the first suction operation and the cradle performed on the suction lower surface 48 of the punch 40 with respect to the work 31. At least one of the second suction operations performed on the suction top surface 58 of 50 is always executed.
 その結果、本実施の形態のプレス加工装置1は、プレス加工動作の実行中においてワーク31の姿勢を正常な状態で一定に保つことができる効果を奏する。したがって、プレス加工動作によってワーク31の姿勢が乱れることに起因する変形等の不具合が生じることはない。 As a result, the press working apparatus 1 of the present embodiment has an effect that the posture of the work 31 can be kept constant in a normal state during the execution of the press working operation. Therefore, there is no problem such as deformation caused by the posture of the work 31 being disturbed by the press working operation.
 図14に示すように、本実施の形態のプレス加工装置1において、プレス加工動作の実行後、以下のステップS7及びステップS8を含むワーク搬送動作が実行される。 As shown in FIG. 14, in the press working apparatus 1 of the present embodiment, after the press working operation is executed, the work transfer operation including the following steps S7 and S8 is executed.
 以下、図14を参照してワーク搬送動作の処理手順を説明する。処理手順の説明時に適宜、図15を引用する。 Hereinafter, the processing procedure of the work transfer operation will be described with reference to FIG. FIG. 15 will be cited as appropriate when explaining the processing procedure.
 ステップS7において、制御部3は下降を指示する駆動機構制御信号SC7を昇降駆動機構7に出力することにより、クレイドル50を下降させ、図15で示す初期状態に戻す。この際、クレイドル50による第2の吸着動作は継続される。 In step S7, the control unit 3 lowers the cradle 50 by outputting the drive mechanism control signal SC7 instructing the lowering to the elevating drive mechanism 7, and returns the cradle 50 to the initial state shown in FIG. At this time, the second adsorption operation by the cradle 50 is continued.
 その結果、ステップS7の実行時においても、ワーク31の下面は吸着上面58にて吸着される。 As a result, the lower surface of the work 31 is sucked by the suction upper surface 58 even when the step S7 is executed.
 最後に、ステップS8において、制御部3は指定された搬送位置までの搬送を指示する搬送機構制御信号SC8を水平方向搬送機構8に出力することにより、クレイドル50を水平方向に搬送して搬送位置まで移動させる。この際、クレイドル50による第2の吸着動作は継続されている。 Finally, in step S8, the control unit 3 horizontally conveys the cradle 50 to the transfer position by outputting the transfer mechanism control signal SC8 instructing the transfer to the designated transfer position to the horizontal transfer mechanism 8. Move to. At this time, the second adsorption operation by the cradle 50 is continued.
 その結果、ステップS8の実行時においても、ワーク31の下面は吸着上面58にて吸着される。 As a result, the lower surface of the work 31 is sucked by the suction upper surface 58 even when the step S8 is executed.
 搬送位置に搬送されたワーク31に対し、次工程が行われる。次工程として、例えば、ワーク31の上面あるいは下面に対して行う超音波接合処理等が考えられる。 The next process is performed on the work 31 transported to the transport position. As a next step, for example, an ultrasonic bonding process performed on the upper surface or the lower surface of the work 31 can be considered.
 このように、本実施の形態のプレス加工装置1において、制御部3の制御下で上述したステップS7及びS8含むワーク搬送動作が自動的に実行される。ステップS7及びS8の実行期間において、ワーク31の下面はクレイドル50の吸着上面58にて常に吸着されている。 As described above, in the press working apparatus 1 of the present embodiment, the work transfer operation including the above-mentioned steps S7 and S8 is automatically executed under the control of the control unit 3. During the execution period of steps S7 and S8, the lower surface of the work 31 is always adsorbed by the suction upper surface 58 of the cradle 50.
 したがって、本実施の形態のプレス加工装置1は、ワーク搬送動作の実行中においてワーク31の姿勢を常に一定に保つことができるため、ワーク31を正常な姿勢の状態で搬送位置まで搬送することができる。 Therefore, since the press working apparatus 1 of the present embodiment can always keep the posture of the work 31 constant during the execution of the work transfer operation, the work 31 can be transported to the transfer position in the normal posture. can.
 その結果、本実施の形態のプレス加工装置は、常に正しい上下関係でワーク31を搬送位置まで搬送することができるため、ワーク31の上面と下面との材質が異なっていても、搬送位置にてワークの上面または下面に対する処理を誤りなく正常に行うことができる。 As a result, the press working apparatus of the present embodiment can always transport the work 31 to the transport position in the correct vertical relationship, so that even if the materials of the upper surface and the lower surface of the work 31 are different, the work 31 can be transported at the transport position. The processing on the upper surface or the lower surface of the work can be performed normally without any error.
 本開示は詳細に説明されたが、上記した説明は、すべての局面において、例示であって、本開示がそれに限定されるものではない。例示されていない無数の変形例が、本開示の範囲から外れることなく想定され得るものと解される。 Although the present disclosure has been described in detail, the above description is exemplary in all aspects and the disclosure is not limited thereto. It is understood that a myriad of variants not illustrated can be envisioned without departing from the scope of the present disclosure.
 1 プレス加工装置
 3 制御部
 4,5 空圧回路
 7 昇降駆動機構
 8 水平方向搬送機構
 10 上型
 13 バネ部材
 17 ストリッパプレート
 20 下型
 21 ダイプレート
 R21 基材載置領域
 21a ダイプレート空間
 22 ダイライナ
 30 基材
 31 ワーク
 33 残存基材
 40 パンチ
 45,55 空気孔
 48 吸着下面
 50 クレイドル
 58 吸着上面
 60 シリンダ
1 Pressing device 3 Control unit 4, 5 Pneumatic circuit 7 Elevating drive mechanism 8 Horizontal transport mechanism 10 Upper type 13 Spring member 17 Stripper plate 20 Lower type 21 Die plate R21 Base material mounting area 21a Die plate space 22 Dyliner 30 Base material 31 Work 33 Remaining base material 40 Punch 45, 55 Air holes 48 Suction bottom surface 50 Cradle 58 Suction top surface 60 Cylinder

Claims (3)

  1.  加工対象の基材を打ち抜いてワークを製作するプレス加工装置であって、
     前記基材を載置可能な基材載置領域を有するダイプレートと、
     内部を貫通する第1の空気孔を有し、前記基材を打ち抜いて前記ワークを得るためのパンチと、
     内部を貫通する第2の空気孔を有するワーク保持部材とを備え、
     前記第1の空気孔は前記パンチの下方に存在する吸着下面に到達し、前記第2の空気孔は前記ワーク保持部材の上方に存在する吸着上面に到達し、
     前記プレス加工装置は、
     前記パンチの前記吸着下面にて下方に存在する吸着対象物を吸着するように、前記第1の空気孔を真空状態にする第1の吸着動作を実行する第1の空圧回路と、
     前記ワーク保持部材の前記吸着上面にて上方に存在する吸着対象物を吸着するように、前記第2の空気孔を真空状態にする第2の吸着動作を実行する第2の空圧回路と、
     前記パンチ用の第1の昇降駆動機構、前記ワーク保持部材用の第2の昇降駆動機構、前記第1及び第2の空圧回路を制御して、前記基材載置領域上に前記基材が載置されている初期状態から、前記ワークを得るプレス加工動作を実行制御する制御部とをさらに備え、
     前記基材載置領域は、前記パンチの前記吸着下面に対向する位置に、貫通したダイプレート空間を有し、
     前記初期状態において、前記パンチは前記ダイプレートの上方に配置され、前記ワーク保持部材は前記ダイプレートの下方に配置されており、前記第1及び第2の吸着動作は実行されておらず、
     前記プレス加工動作は、
     (a) 前記ダイプレート空間内に前記吸着上面が位置するように前記ワーク保持部材を上昇させるステップと、
     (b) 前記ステップ(a)の後に実行され、前記第1の吸着動作の実行を開始させた後、前記ダイプレート空間内に前記吸着下面が位置するように前記パンチを下降させるステップとを備え、前記ステップ(b)の実行時に前記基材が前記パンチによって打ち抜かれることにより前記ワークが得られ、前記ステップ(b)の実行後、前記ワークは、前記ダイプレート空間内において前記パンチの前記吸着下面と前記ワーク保持部材の前記吸着上面との間に挟まれ、
     (c) 前記ステップ(b)の後に実行され、前記第2の吸着動作の実行を開始させるステップと、
     (d) 前記ステップ(c)の後に実行され、前記第1の吸着動作の実行を停止させた後、前記パンチを上昇させるステップとをさらに備える、
    プレス加工装置。
    It is a press processing device that punches out the base material to be processed and manufactures the work.
    A die plate having a base material mounting area on which the base material can be placed,
    A punch having a first air hole penetrating the inside and punching the base material to obtain the work.
    A work holding member having a second air hole penetrating the inside is provided.
    The first air hole reaches the suction lower surface existing below the punch, and the second air hole reaches the suction upper surface existing above the work holding member.
    The press processing device is
    A first pneumatic circuit that executes a first suction operation that creates a vacuum state in the first air hole so as to suck an object to be sucked downward on the suction lower surface of the punch.
    A second pneumatic circuit that executes a second suction operation that creates a vacuum state in the second air hole so as to suck an object to be sucked above on the suction upper surface of the work holding member.
    The base material is placed on the base material mounting region by controlling the first elevating drive mechanism for the punch, the second elevating drive mechanism for the work holding member, and the first and second pneumatic circuits. It is further provided with a control unit for executing and controlling the press working operation for obtaining the work from the initial state in which the work is placed.
    The substrate mounting area has a penetrating die plate space at a position facing the suction lower surface of the punch.
    In the initial state, the punch is arranged above the die plate, the work holding member is arranged below the die plate, and the first and second suction operations are not executed.
    The press working operation is
    (a) A step of raising the work holding member so that the suction upper surface is located in the die plate space, and
    (b) The punch is provided after the step (a) is executed, the execution of the first suction operation is started, and then the punch is lowered so that the suction lower surface is located in the die plate space. The work is obtained by punching the base material with the punch during the execution of the step (b), and after the execution of the step (b), the work is sucked by the punch in the die plate space. It is sandwiched between the lower surface and the suction upper surface of the work holding member,
    (c) A step executed after the step (b) to start the execution of the second adsorption operation, and
    (d) Further comprising a step which is executed after the step (c), stops the execution of the first suction operation, and then raises the punch.
    Press processing equipment.
  2.  請求項1記載のプレス加工装置であって、
     前記制御部は、前記第2の昇降駆動機構、及び前記ワーク保持部材用の搬送機構を制御して、前記ワークを搬送位置まで移動させるワーク搬送動作をさらに実行制御し、
     前記ワーク搬送動作は前記プレス加工動作の後に実行され、
     (e) 前記第2の吸着動作の実行を停止させることなく、前記ワーク保持部材を下降させるステップと、
     (f) 前記ステップ(e)の後に実行され、前記第2の吸着動作の実行を停止させることなく、前記ワーク保持部材を搬送位置まで水平方向に沿って移動させるステップとをさらに備える、
    プレス加工装置。
    The press working apparatus according to claim 1.
    The control unit controls the second elevating drive mechanism and the transfer mechanism for the work holding member to further execute and control the work transfer operation for moving the work to the transfer position.
    The work transfer operation is executed after the press working operation,
    (e) A step of lowering the work holding member without stopping the execution of the second suction operation.
    (f) Further includes a step which is executed after the step (e) and moves the work holding member along the horizontal direction to the transport position without stopping the execution of the second suction operation.
    Press processing equipment.
  3.  請求項1または請求項2に記載のプレス加工装置であって、
     前記第2の空気孔は下方から前記吸着上面にかけて径が段階的に短くなる径短縮構造を有する、
    プレス加工装置。
    The press working apparatus according to claim 1 or 2.
    The second air hole has a diameter shortening structure in which the diameter is gradually shortened from the lower side to the upper surface of the adsorption surface.
    Press processing equipment.
PCT/JP2020/048096 2020-12-23 2020-12-23 Press working device WO2022137372A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN202080092678.0A CN114981024A (en) 2020-12-23 2020-12-23 Punching device
JP2021532094A JP7046471B1 (en) 2020-12-23 2020-12-23 Press processing equipment
PCT/JP2020/048096 WO2022137372A1 (en) 2020-12-23 2020-12-23 Press working device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2020/048096 WO2022137372A1 (en) 2020-12-23 2020-12-23 Press working device

Publications (1)

Publication Number Publication Date
WO2022137372A1 true WO2022137372A1 (en) 2022-06-30

Family

ID=81256643

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2020/048096 WO2022137372A1 (en) 2020-12-23 2020-12-23 Press working device

Country Status (3)

Country Link
JP (1) JP7046471B1 (en)
CN (1) CN114981024A (en)
WO (1) WO2022137372A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5662626A (en) * 1979-10-25 1981-05-28 Shinko Electric Co Ltd Pressing device simultaneously performing part working and conveying and positioning
JPH0489146A (en) * 1990-08-01 1992-03-23 Hitachi Electron Eng Co Ltd Mechanism for blanking and moving sheet parts
JPH0560633U (en) * 1992-01-14 1993-08-10 欣一 小川 Automatic work picking device for press machine
JPH0615387A (en) * 1992-06-30 1994-01-25 Matsushita Electric Ind Co Ltd Press device
JP2002178067A (en) * 2000-12-20 2002-06-25 Matsushita Electric Ind Co Ltd Billet cutter

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4089146B2 (en) 2000-10-16 2008-05-28 不二製油株式会社 Method for producing scrambled egg-like food
JP5060633B2 (en) 2011-04-15 2012-10-31 アラクサラネットワークス株式会社 Network relay device
JP6015387B2 (en) 2012-11-29 2016-10-26 富士通株式会社 Delay amount estimation apparatus and delay amount estimation method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5662626A (en) * 1979-10-25 1981-05-28 Shinko Electric Co Ltd Pressing device simultaneously performing part working and conveying and positioning
JPH0489146A (en) * 1990-08-01 1992-03-23 Hitachi Electron Eng Co Ltd Mechanism for blanking and moving sheet parts
JPH0560633U (en) * 1992-01-14 1993-08-10 欣一 小川 Automatic work picking device for press machine
JPH0615387A (en) * 1992-06-30 1994-01-25 Matsushita Electric Ind Co Ltd Press device
JP2002178067A (en) * 2000-12-20 2002-06-25 Matsushita Electric Ind Co Ltd Billet cutter

Also Published As

Publication number Publication date
JPWO2022137372A1 (en) 2022-06-30
JP7046471B1 (en) 2022-04-04
CN114981024A (en) 2022-08-30

Similar Documents

Publication Publication Date Title
KR101970401B1 (en) Semiconductor manufacturing device and manufacturing method of semiconductor device
JP4816654B2 (en) Chip peeling device, chip peeling method, and chip pickup device
KR20120108895A (en) Pickup method for die bonder and die bonder
KR101541643B1 (en) Detaching apparatus
WO2022137372A1 (en) Press working device
KR20200034600A (en) Semiconductor manufacturing apparatus, push-up jig and method for manufacturing semiconductor device
JP2020072125A (en) Electronic component pick-up device and mounting device
JP4816598B2 (en) Chip peeling device, chip peeling method, and chip pickup device
CN111699056A (en) Automatic loading and unloading device and method of punching machine based on vision and punching equipment
TWI791873B (en) Film take-out device and method for manufacturing flexible printed circuit board
JP2014192358A (en) Chip exfoliation device and chip exfoliation method
JP5445437B2 (en) Component mounting apparatus and suction tool for component mounting apparatus
JP5588232B2 (en) Drilling device
CN111834276A (en) Bare chip ejector and bare chip pickup device comprising same
JP6788772B2 (en) Component mounting device and component mounting method
JPH0919914A (en) Green sheet laminating device
JP4457715B2 (en) Chip pickup device and pickup method
KR20210078946A (en) Die ejector and die transfer apparatus including the same
JP4816622B2 (en) Chip peeling device, chip peeling method, and chip pickup device
KR102513375B1 (en) Semiconductor manufacturing apparatus and method for manufacturing semiconductor device
KR20200048995A (en) Method of setting height of die ejector
TWI793882B (en) Parts picking device, parts mounting device
KR102127695B1 (en) Semiconductor die detachment apparatus
CN219834484U (en) Flexible circuit board fixing and associating device and production line
JP7377654B2 (en) Die bonding equipment, peeling unit, collet and semiconductor device manufacturing method

Legal Events

Date Code Title Description
ENP Entry into the national phase

Ref document number: 2021532094

Country of ref document: JP

Kind code of ref document: A

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20966863

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20966863

Country of ref document: EP

Kind code of ref document: A1