WO2022030718A1 - 식각 가스 혼합물과 이를 이용한 패턴 형성 방법 - Google Patents

식각 가스 혼합물과 이를 이용한 패턴 형성 방법 Download PDF

Info

Publication number
WO2022030718A1
WO2022030718A1 PCT/KR2021/002646 KR2021002646W WO2022030718A1 WO 2022030718 A1 WO2022030718 A1 WO 2022030718A1 KR 2021002646 W KR2021002646 W KR 2021002646W WO 2022030718 A1 WO2022030718 A1 WO 2022030718A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas mixture
component
etching gas
etching
compound
Prior art date
Application number
PCT/KR2021/002646
Other languages
English (en)
French (fr)
Inventor
조병옥
김영래
송한덕
김태형
이기찬
박희준
정헌종
Original Assignee
(주)원익머트리얼즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by (주)원익머트리얼즈 filed Critical (주)원익머트리얼즈
Publication of WO2022030718A1 publication Critical patent/WO2022030718A1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks

Definitions

  • the present invention relates to an etching gas mixture, and in particular, an etching gas combination for obtaining an etching cross-section having a high aspect ratio, and etching exhibiting excellent performance in high selectivity and CD (Critical Dimension) control It's about gas.
  • the etching gas mixture of the present invention is to solve the above problems, and a fluorinated hydrocarbon compound ('first component') containing a C4 CF bond and a CH bond, and a fluorine containing a C3 CF bond
  • An object of the present invention is to provide an etching gas mixture comprising an organic compound containing ('second component').
  • etching gas containing a fluorinated hydrocarbon compound ('first component') containing a C4 CF bond and a CH bond, and a fluorine-containing organic compound containing a C3 CF bond ('second component') Another object of the present invention is to provide a pattern forming method comprising the step of etching a thin film using a mixture.
  • the present invention may also have the object of achieving these objects and other objects that can be easily derived by a person skilled in the art from the general description of the present specification in addition to the above clear objects.
  • the etching gas mixture of the present invention includes a fluorinated hydrocarbon compound containing a C4 CF bond and a CH bond of a first component, and a CF bond of C3 of a second component in order to achieve the object as described above It is characterized in that it contains a fluorine-containing organic compound.
  • the fluorinated hydrocarbon compound of the first component may be represented by C 4 H 2 F 6 .
  • the fluorine-containing organic compound of the second component is C x H y F z S m O n (wherein x is an integer of 3, y is an integer of 0 to 7, z is an integer of 1 to 8, m and n is an integer of 0 to 1, respectively).
  • the fluorine-containing organic compound of the second component may be represented by the following Chemical Formula 1 or 2, and among these, preferably a compound represented by C 3 H 2 F 6 .
  • R1 is F or CF 3
  • R2 is CHF 2 , CH 2 F, SH or SOH
  • R3 and R4 are each independently H, F or CHF 2
  • R5 is F or CF 3 and R6 is F, CHF 2 , or CH 2 F
  • the fluorinated hydrocarbon compound of the first component may be in a ratio (volume) of 0.001 to 1000 per fluorine-containing organic compound of the second component, preferably 0.005 to 200, and more Preferably it is 0.01-100.
  • the etching gas mixture of the present invention is from the group consisting of an organofluorine compound of a third component which is a perfluorocarbon compound having C1 to C6 carbon atoms and an organofluorine compound as a fourth component which is a fluorinated hydrocarbon compound having C1 to C5 carbon atoms. It may further include at least one selected compound (hereinafter referred to as 'at least one compound of the third component and the fourth component organic fluorine compound').
  • the organofluorine compounds of the third and fourth components are different compounds from the first and second components.
  • the perfluorocarbon compound of the organofluorine compound of the third component does not contain a hydrogen atom in the molecule and is a saturated or unsaturated compound.
  • the fluorinated hydrocarbon compound of the organofluorine compound of the fourth component includes C, H, and F, and is a saturated or unsaturated compound.
  • At least one compound of the organic fluorine compound of the third component and the fourth component may be mixed in a ratio of 0.001 to 1000 (volume) based on the fluorine-containing organic compound of the second component, preferably 0.005 to 200 In a ratio of, more preferably, it may be included in a ratio of 0.01 to 100.
  • the etching gas mixture of the present invention may further include an inert gas, and the inert gas may be in a ratio (volume) of 1 to 10,000 per 100 parts by volume of the etching gas mixture, preferably in a ratio of 5 to 5000 It may be further included, more preferably in a ratio of 10 to 5000.
  • the inert gas may include argon (Ar), helium (He), neon (Ne), nitrogen (N 2 ), krypton (Kr), xenon (Xe), or a mixture thereof.
  • the etching gas mixture of the present invention may further include a reactive gas, and the reactive gas may further include in a ratio (volume) of 0.1 to 10,000 per 100 parts by volume of the etching gas mixture, preferably It may be further included in a ratio of 0.5 to 5,000, more preferably in a ratio of 1 to 2,000.
  • the reactive gas includes oxygen (O 2 ), carbon monoxide (CO), carbon dioxide (CO 2 ), nitrogen monoxide (NO), nitrogen dioxide (NO 2 ), nitrous oxide (N 2 O), hydrogen (H 2 ), ammonia (NH 3 ), hydrogen fluoride (HF), sulfur dioxide (SO 2 ), carbon disulfide (CS 2 ), carbonyl sulfide (COS), CF 3 I, C 2 F 3 I, C 2 F 5 I or mixtures thereof may be included.
  • the etching gas mixture of the present invention is an etching mask such as SOH (Spin on hardmask), ACL (Amorphous carbon layer) or PR (Photo resist), copper (Cu) used as a semiconductor underlayer, tungsten ( W) and metal-based materials such as aluminum (Al) and the like, and semiconductor-based materials such as silicon (Si) and germanium (Ge) may be characterized in that the selectivity is excellent.
  • SOH Spin on hardmask
  • ACL Amorphous carbon layer
  • PR Photo resist
  • Cu copper
  • W tungsten
  • metal-based materials such as aluminum (Al) and the like
  • semiconductor-based materials such as silicon (Si) and germanium (Ge) may be characterized in that the selectivity is excellent.
  • the pattern forming method according to the present invention comprises a fluorine-containing organic compound as a first component containing a CF bond and a CH bond having C4 carbon atoms and a fluorine-containing organic compound as a second component containing a CF bond having C3 carbon atoms. and etching the thin film using an etching gas mixture.
  • the thin film may be a silicon-containing film.
  • the upper structure serving as an etch mask of the thin film may include SOH, ACL, or PR.
  • the etching may be used for high aspect ratio etching, and may be, for example, a V-NAND channel hole, a DRAM capacitor hole, or various MC (metal contact hole).
  • the thin film may be etched using plasma obtained by applying energy to the etching gas mixture of the present invention.
  • the passivation layer may be made of a fluorocarbon-based polymer including C-C, C-F, and C-H bonds.
  • the thin film may be monocrystalline silicon, polycrystalline silicon (Poly-Si), SiO 2 film, Si 3 N 4 film, SiN film, SiON film, SiCN film, SiC film, SiOC film, a-SiN:H film, or these and the etch mask may be formed of SOH, ACL, or PR patterns.
  • the etching gas mixture according to the present invention is a novel gas combination different from the existing process application combination, and provides an etching gas mixture capable of achieving higher selectivity and better CD control than the existing process.
  • the hole or line roughness improvement effect is excellent.
  • Such improvement in surface roughness is very effective in CD control when a surface etching process is performed. That is, by helping to form a clean etched cross section without distortion, the etch profile of the pattern hole or pattern line is well controlled, enabling ultra-fine CD control.
  • the etching gas mixture according to the present invention may exhibit excellent performance as an etching material for high aspect ratio etching structures of high-integration semiconductor circuits such as V-NAND, DRAM, and Logic.
  • etch rate_SiO 2 /etch rate_ACL silicon oxide film to ACL mask selectivity ratio
  • FIG. 2 is a graph showing the silicon nitride layer to ACL mask selectivity (etch rate_Si 3 N 4 /etch rate_ACL) during the etching process using the etching gas mixture according to the present experiment and Comparative Experiments 1 and 2 of the present invention.
  • ACL atomic force microscopy
  • FIG. 4 is a field emission scanning electron microscopy (FE-SEM) image analysis result for confirming line edge roughness (LER) of an ACL pattern during an etching process using an etching gas mixture according to Comparative Experiment 1.
  • FE-SEM field emission scanning electron microscopy
  • FIG. 6 is a FE-SEM image analysis result for confirming the LER of the ACL pattern during the etching process using the etching gas mixture according to the present experiment of the present invention.
  • first, second, etc. may be used to describe various elements, but the elements should not be limited by the terms.
  • the above terms are used only for the purpose of distinguishing one component from another.
  • a first component may be referred to as a second component, and similarly, a second component may also be referred to as a first component.
  • the etching gas mixture of the present invention is a fluorinated hydrocarbon compound of a first component including a C4 CF bond and a CH bond, and a second component including a CF bond of C3 in order to achieve the object as described above. It is characterized in that it contains a fluorine-containing organic compound as an essential component.
  • the fluorinated hydrocarbon compound of the first component comprising a C4 CF bond and a CH bond which is a component of the etching gas mixture of the present invention, is a compound represented by C 4 H 2 F 6 , preferably a double bond It may be one fluorinated hydrocarbon compound.
  • it may be Hexafluoroisobutene (CAS No. 382-10-5).
  • the fluorine-containing organic compound of the second component including the CF bond having C3 carbon atoms is C x H y F z S m O n (wherein x is an integer of 3 and , y is an integer from 0 to 7, z is an integer from 1 to 8, and m and n are integers from 0 to 1, respectively).
  • the fluorine-containing organic compound of the second component may be represented by Formula 1 or 2 below.
  • R1 is F or CF 3
  • R2 is CHF 2 , CH 2 F, SH or SOH
  • R3 and R4 are each independently H, F or CHF 2
  • R5 is F, or CF 3
  • R6 is F, H, CHF 2 , or CH 2 F
  • the fluorine-containing organic compound of the second component is more specifically, as a compound of Formula 1,
  • 2,3,3,3-tetrafluoropropene (CAS No. 754-12-1), 2,3,3-trifluoropropene (CAS No. 158664-13-2), and 3,3, It may include at least one selected from the group consisting of 3-trifluoropropene (CAS No. 677-21-4).
  • the fluorine-containing organic compound of the second component is preferably a compound represented by C 3 H 2 F 6 , and most preferably 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63- 0) can be
  • the present invention is characterized in that the fluorinated hydrocarbon compound of the first component and the fluorine-containing organic compound of the second component are included in a predetermined ratio,
  • the fluorinated hydrocarbon compound of the first component may be in a ratio of 0.001 to 1000 (volume) per fluorine-containing organic compound of the second component, preferably in a ratio of 0.005 to 200, more preferably in a ratio of 0.01 to 100 is the ratio of
  • Etching gas mixture according to the present invention in addition to the fluorine-containing organic compound of the first component and the fluorine-containing organic compound of the second component, a third component organofluorine compound and carbon number C1 to C5 may include at least one compound selected from the group consisting of organofluorine compounds of the fourth component, which is a fluorinated hydrocarbon compound.
  • organofluorine compounds of the fourth component which is a fluorinated hydrocarbon compound.
  • various process performance including the etching rate can be adjusted according to the intended purpose.
  • At least one compound component among the organofluorine compound of the third component and the organofluorine compound of the fourth component may be mixed in a ratio (volume) of 0.001 to 1000 based on the fluorine-containing organic compound of the second component, , preferably in a ratio of 0.005 to 200, more preferably in a ratio of 0.01 to 100.
  • the organofluorine compound of the third component which is a perfluorocarbon compound having C1 to C6 carbon atoms, is a compound that does not contain hydrogen and includes C and F, and may be a compound containing C and F and other heteroatoms. . Specifically, it may include C 4 F 6 , C 3 F 6 , C 5 F 8 , C 6 F 6 , C 4 F 8 , C 2 F 6 , CF 4 , or the like, or a mixture thereof.
  • the C1 to C5, preferably C3 to C4 organofluorine compound of the fourth component, which is a fluorinated alkyl compound, is a compound containing C, H and F.
  • the ratio of H:F is 1:1 or more including 1:1, 1:2, 1:3, or 2:1 including 2:1 and 3:1 It is preferable that it is more than that.
  • the organofluorine compound of the third component and the fourth component may be a saturated or unsaturated compound, and is different from the compound of the first component and the second component.
  • the etching gas mixture of the present invention may further include an inert gas.
  • the inert gas may be further included in an amount of 1 to 10,000 parts by volume, preferably in an amount of 5 to 5,000 parts by volume, more preferably in an amount of 10 to 5,000 parts by volume, based on 100 parts by volume of the etching gas mixture. have.
  • the inert gas may include argon (Ar), helium (He), neon (Ne), nitrogen (N 2 ), krypton (Kr), xenon (Xe), or a mixture thereof.
  • the etching gas mixture of the present invention further includes a reactive gas
  • the reactive gas may further include 0.1 to 10,000 parts by volume, preferably 0.5 to 5,000 parts by volume, based on 100 parts by volume of the etching gas mixture. It may be further included in the skin, more preferably in an amount of 1 to 2,000 parts by volume.
  • the reactive gas is oxygen (O 2 ), carbon monoxide (CO), carbon dioxide (CO 2 ), nitrogen monoxide (NO), nitrogen dioxide (NO 2 ), nitrous oxide (N 2 O), hydrogen (H 2 ), ammonia (NH 3 ), hydrogen fluoride (HF), sulfur dioxide (SO 2 ), carbon disulfide (CS 2 ), carbonyl sulfide (COS), CF 3 I, C 2 F 3 I, C 2 F 5 I or their mixtures may be included.
  • the etching gas mixture according to the present invention may be characterized by an excellent selectivity ratio of the silicon-containing thin film to SOH, ACL, or PR.
  • the silicon oxide film to ACL and silicon nitride film to ACL selectivity ratios are excellent, excellent performance can be exhibited when used as a high aspect ratio etching material.
  • the selectivity for etching only the desired thin film layer while minimizing the effect on the mask is very excellent.
  • the etching gas mixture according to the present invention improves the surface roughness of the mask and further improves the LER and LWR (Line Width Roughness) of the mask to maintain the original structure shape of the mask during the etching process, thereby controlling the CD of the pattern hole or pattern line It has an excellent effect on
  • a fluorine-containing organic compound as a first component containing a CF bond and a CH bond having C4 carbon atoms and a fluorine-containing organic compound as a second component containing a CF bond having a carbon number C3 is essential. It characterized in that it comprises the step of etching the thin film using the etching gas mixture included in the composition.
  • all gases constituting the etching gas mixture may be simultaneously or sequentially introduced.
  • the thin film to be patterned according to the present invention may be a silicon layer including a silicon compound, and specifically, the thin film may include single crystal silicon, polycrystalline silicon (Poly-Si), SiO 2 film, Si 3 N 4 film , SiN film, SiON film, SiCN film, SiC film, SiOC film, a-SiN:H film, or a combination thereof.
  • the upper structure serving as a mask of the thin film may include SOH, ACL, or PR.
  • the etching of the thin film may be performed under a substrate temperature setting of -100 to 500 °C, -10 to 200 °C, or 10 to 100 °C.
  • the thin film may be etched using plasma obtained by applying energy to the etching gas mixture.
  • an etch mask pattern having an opening is formed on a thin film.
  • the thin film to be etched may be a silicon-containing film, specifically single crystal silicon, polycrystalline silicon (Poly-Si), SiO 2 film, Si 3 N 4 film, SiN film, SiON film, SiCN film, SiC film, It may be a SiOC film, an a-SiN:H film, or a combination thereof.
  • the upper structure serving as a mask of the thin film may include SOH, ACL, or PR.
  • a passivation layer is formed on the thin film pattern and the mask while etching a part of the thin film through the opening using the plasma obtained from the etching gas mixture according to the present invention.
  • the etching gas mixture is the same as described above, and the fluorine-containing organic compound of the first component containing a C4 CF bond and a CH bond, and a fluorine-containing organic compound of the second component containing a CF bond of C3 carbon number is essential. It is characterized in that it includes a configuration.
  • CF, CF 2 , F , F 2 , CHF and the like may be formed.
  • a passivation layer covering the surface of an etch mask such as ACL, SOH, or PR may be formed by these radicals.
  • the passivation film may be made of a fluorocarbon-based polymer including C-C, C-F, and C-H bonds, thereby increasing the selectivity of the thin film and improving LER and LWR of masks such as ACL, SOH, and PR.
  • the desired CD can be effectively realized by transferring the original pattern of the mask to the lower portion without distortion.
  • the line width of the line or the diameter of the contact hole can be secured as desired.
  • a fine pattern is essential for realization of an integrated circuit device for down-scaling of a semiconductor device. For this, it is necessary to minimize surface roughness, LER, and LWR and to precisely control CD.
  • the LER of the mask by the etching gas mixture of the present invention was calculated as follows.
  • the LER improvement by the etching gas mixture of the present invention means that the deformation of the ACL mask is reduced compared to the conventional etching process. That is, if the LER of the ACL mask is improved, it is very effective for CD control.
  • the etching gas mixture according to the present invention has an advantage that it can be used as an etching gas very suitable for the fabrication of down-scaling semiconductor devices in recent years because it is easy to control the CD of the pattern during etching.
  • the etching gas mixture of the present invention may be provided to the thin film in the form of plasma containing chemical species activated by exposure to an energy source.
  • a plasma etching facility may be used to etch the thin film using the plasma of the etching gas mixture.
  • a reactive ion etch (RIE) facility for example, in order to etch a thin film using the plasma of the etching gas mixture, a reactive ion etch (RIE) facility, a magnetically enhanced reactive ion etch (MERIE) facility, an inductively coupled plasma (ICP) facility, and a capacitively coupled plasma (CCP) facility.
  • RIE reactive ion etch
  • MIE magnetically enhanced reactive ion etch
  • ICP inductively coupled plasma
  • CCP capacitively coupled plasma
  • a facility, a hollow anode type plasma facility, a helical resonator plasma facility, an ECR (Electron cyclotron resonance) facility, etc. may be used.
  • the process of forming the passivation film of the fluorocarbon-based polymer and the process of forming the thin film pattern may be continuously performed in the same chamber.
  • the process of forming the passivation film of the fluorocarbon-based polymer and the process of forming the thin film pattern may be performed in-situ in a reaction chamber of a plasma etching facility.
  • etching process described herein was performed using an 8-inch wafer-grade Precision 5000 etch system of a magnetically enhanced reactive ion etch (MERIE) type from Applied Materials.
  • MIE magnetically enhanced reactive ion etch
  • Hexafluoroisobutene represented by C 4 H 2 F 6 as a fluorinated hydrocarbon compound as the first component and C 3 H 2 F 6 as a fluorine-containing organic compound as the second component 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0), and C 4 F 6 as a mixed gas of the third component, argon (Ar) as an inert gas, and oxygen as a reactive gas (O 2 ) was used to perform an etching process exhibiting excellent performance in CD control and a high selectivity to obtain an etched cross section with a high aspect ratio.
  • the etching process was performed by simultaneously injecting C 3 H 2 F 6 gas and C 4 F 6 , which is an organic fluorine compound etching gas of the third component, into the chamber in amounts of 5 sccm and 15 sccm, respectively, by MFC. .
  • Oxygen (O 2 ) was used as the reactive gas, and the injection amount was flowed at 35 sccm ⁇ 50 sccm by MFC, and the selectivity according to the etching rate behavior according to the oxygen content was obtained.
  • Argon (Ar) was added as an inert gas, and the injection amount was 15 sccm.
  • RF power for the etching process was set to 500 W, and the process pressure was 35 mTorr, and the process was performed for 60 seconds.
  • the etching process was performed by simultaneously injecting C 4 H 2 F 6 gas and C 4 F 6 , which is an organic fluorine compound etching gas of the third component, into the chamber in amounts of 5 sccm and 15 sccm, respectively, by MFC. .
  • Oxygen (O 2 ) was used as the reactive gas, and the injection amount was flowed at 35 sccm ⁇ 50 sccm by MFC, and the selectivity according to the etching rate behavior according to the oxygen content was obtained.
  • Argon (Ar) was added as an inert gas, and the injection amount was 15 sccm.
  • RF power for the etching process was set to 500 W, and the process pressure was 35 mTorr, and the process was performed for 60 seconds.
  • the etching rates of SiO 2 and ACL in this experiment are 1329 ⁇ /min and 576 ⁇ /min, respectively, and the selectivity is It is 2.31.
  • the etching rates of SiO 2 and ACL in Comparative Experiment 1 were 1374 ⁇ /min and 1380 ⁇ /min, respectively, and the selectivity was 1.00. Therefore, the etching gas mixture of this experiment shows a selectivity that is 2.3 times higher than that of Comparative Experiment 1.
  • the etching rates of SiO 2 and ACL in this experiment are 1224 ⁇ /min and 138 ⁇ /min, respectively, and the selectivity is 8.87.
  • the etching rates of SiO 2 and ACL in Comparative Experiment 1 were 1344 ⁇ /min and 846 ⁇ /min, respectively, and the selectivity was 1.59. Therefore, the etching gas mixture of this experiment shows a selectivity that is more than 5.5 times higher than that of Comparative Experiment 1.
  • the etching rates of Si 3 N 4 and ACL in this experiment are 855 ⁇ /min and 138 ⁇ /min, respectively, and the selectivity is 6.20.
  • the etching rates of Si 3 N 4 and ACL of Comparative Experiment 1 were 1353 ⁇ /min and 846 ⁇ /min, respectively, and the selectivity was 1.60. Therefore, the etching gas mixture of this experiment shows a selectivity that is 3.8 times higher than that of Comparative Experiment 1.
  • the experimental etching gas mixture of the present invention exhibited excellent performance as an etching gas for high-aspect-ratio etching structures as it had a higher selectivity compared to Comparative Experiments 1 and 2.
  • etching gas mixture of the present invention For the etching gas mixture of the present invention, the top-down view FE-SEM image of the ACL mask used as a mask and X ij of Equations (1) and (2) were measured and the results are shown in Figs. 6 is shown.
  • Table 4 shows the LER values of this experiment, comparative experiment 1, and comparative experiment 2 calculated by Equations (1) and (2) of the LER.
  • the LER value of the present experiment of the present invention was reduced by about 40% or more compared to Comparative Experiments 1 and 2, and the decreased LER value of this experiment was not only the surface roughness of the ACL mask but also the deformation of the mask pattern line edge. This means that it shows significantly lower than the etching process of the conventional comparative experiments 1 and 2. As a result, if the line edge roughness of the ACL mask pattern is improved by the etching gas mixture of the present invention showing a low LER value, the CD can be precisely controlled.
  • the etching gas mixture according to the present invention As described above, according to the etching gas mixture according to the present invention, excellent surface roughness and high selectivity can be exhibited, so it can be used and applied as a very advantageous material in an etching process, and in particular, differentiated performance for a high aspect ratio etching process It is expected to be widely used in related industries.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은, 식각 가스 혼합물에 관한 것으로, 특히, 고종횡비 (High Aspect Ratio)의 식각 단면을 얻기 위한 식각 가스 조합으로 높은 선택비(Selectivity) 및 CD (Critical dimension) 제어에 탁월한 성능을 발휘하는 식각 가스에 관한 것이다.

Description

식각 가스 혼합물과 이를 이용한 패턴 형성 방법
본 발명은, 식각 가스 혼합물에 관한 것으로, 특히, 고종횡비 (High Aspect Ratio)의 식각 단면을 얻기 위한 식각 가스 조합으로 높은 선택비(Selectivity) 및 CD (Critical Dimension) 제어에 탁월한 성능을 발휘하는 식각 가스에 관한 것이다.
전자 기술의 발달로 인해, 최근 반도체 소자의 다운-스케일링 (Down scaling)이 급속도로 진행되고 있으며, 집적회로 소자의 디자인 룰 (Design rule)이 감소함에 따라 반도체 소자의 CD가 비약적으로 감소하고 있다. 이에 따라, 집적회로 소자의 구현에 필수적인 미세 패턴의 형성에 필요한 건식 식각 공정에 있어서, 높은 선택비 (Selectivity)를 가지는 식각 가스에 대한 연구개발이 활발하다.
이와 관련하여, 한국공개특허 10-2017-0106380호, 10-2014-0090241호, 및 10-2016-0048522호에서는 2가지 이상의 가스를 혼합한 식각 가스 혼합물을 이용하여 서로 다른 물질들로 이루어진 멀티 스택 막을 한번에 식각할 수 있는 식각률이 향상된 식각 가스 혼합물을 제시하고 있다.
상기와 같은 종래기술에서도 선택성 및 에칭 속도가 향상되는 효과를 나타내고 있으나, 앞으로도 여전히 반도체 소자의 CD의 비약적인 감소가 예상됨에 따라 더 높은 선택비와 빠른 에칭 속도를 갖는 식각 가스의 개발이 요구되는 실정이다.
본 발명의 식각 가스 혼합물은 상기와 같은 문제점을 해결하기 위한 것으로서, 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 플루오르화 탄화수소 화합물(‘제1 성분’), 및 탄소수 C3의 C-F 결합을 포함하는 불소함유 유기화합물(‘제2 성분’)을 포함하는 식각 가스 혼합물을 제공하는 것을 그 목적으로 한다.
또한, 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 플루오르화 탄화수소 화합물(‘제1 성분’), 및 탄소수 C3의 C-F 결합을 포함하는 불소함유 유기화합물(‘제 2 성분’)을 포함하는 식각 가스 혼합물을 이용하여 박막을 식각하는 단계를 포함하는 패턴 형성 방법을 제공하는 것을 또 다른 목적으로 한다.
본 발명은 또한 상기한 명확한 목적 이외에 이러한 목적 및 본 명세서의 전반적인 기술로부터 이 분야의 통상인에 의해 용이하게 도출될 수 있는 다른 목적을 달성함을 그 목적으로 할 수 있다.
본 발명의 식각 가스 혼합물은 상술한 바와 같은 목적을 달성하기 위하여, 제1 성분의 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 플루오르화 탄화수소 화합물, 및 제2 성분의 탄소수 C3의 C-F 결합을 포함하는 불소함유 유기화합물을 포함하는 것을 특징으로 한다.
상기 제1 성분의 플루오르화 탄화수소 화합물은 C4H2F6로 표시될 수 있다.
그리고, 상기 제1 성분의 플루오르화 탄화수소 화합물은, (CF3)2C=CH2일 수 있다.
상기 제2 성분의 불소함유 유기화합물은 CxHyFzSmOn (식 중, x는 3의 정수이고, y는 0 내지 7의 정수이고, z는 1 내지 8의 정수이며, m 및 n은 각각 0 내지 1의 정수임)로 표시될 수 있다.
구체적으로는 제2 성분의 불소함유 유기화합물은 아래의 화학식 1 또는 2로 표시될 수 있으며, 이들 중 바람직하게는 C3H2F6로 표시되는 화합물이다.
[화학식 1]
Figure PCTKR2021002646-appb-I000001
[화
Figure PCTKR2021002646-appb-I000002
학식 2]
(상기 화학식 1에서, R1은 F 또는 CF3이고, R2는 CHF2, CH2F, SH 또는 SOH이고, R3 및 R4는 각각 독립적으로 H, F 또는 CHF2이며, 상기 화학식 2에서, R5는 F 또는 CF3이고, R6는 F, CHF2, 또는 CH2F임)
상기 본 발명의 식각 가스 혼합물에서 상기 제1 성분의 플루오르화 탄화수소 화합물은 상기 제2 성분의 불소함유 유기화합물 당 0.001 내지 1000의 비율(부피부) 일 수 있으며, 바람직하게는 0.005 내지 200이고, 더욱 바람직하게는 0.01 내지 100이다.
또한, 상기 본 발명의 식각 가스 혼합물은 탄소수 C1 내지 C6의 퍼플루오로카본 화합물인 제3 성분의 유기불소 화합물과 탄소수 C1 내지 C5의 플루오르화 탄화수소 화합물인 제4 성분의 유기불소 화합물로 이루어진 군으로부터 선택되는 적어도 하나 이상의 화합물(이하 ‘제3 성분과 제4 성분의 유기불소 화합물 중 적어도 하나 이상의 화합물’이라 한다)을 더 포함할 수 있다.
상기 제3 및 4 성분의 유기불소 화합물은 상기 제1 성분 및 제2 성분과는 다른 화합물이다.
상기 제3 성분의 유기불소 화합물의 퍼플루오로카본 화합물은 분자 내 수소 원자를 포함하지 않는 것으로서 포화 또는 불포화 화합물이다.
상기 제4 성분의 유기불소 화합물의 플루오르화 탄화수소 화합물은 C, H, F를 포함하는 것으로서 포화 또는 불포화 화합물이다.
상기 제3 성분과 제4 성분의 유기불소 화합물 중 적어도 하나 이상의 화합물은 제2 성분의 불소함유 유기화합물 기준으로, 0.001 내지 1000의 비율(부피부)로 혼합될 수 있으며, 바람직하게는 0.005 내지 200의 비율로, 더욱 바람직하게는 0.01 내지 100의 비율로 포함될 수 있다.
상기 본 발명의 식각 가스 혼합물은 불활성 가스를 더 포함할 수 있으며, 상기 불활성 가스는 상기 식각 가스 혼합물 100 부피부 당 1 내지 10,000의 비율(부피부) 일 수 있으며, 바람직하게는 5 내지 5000 비율로 더 포함되고, 더욱 바람직하게는 10 내지 5000 비율로 더 포함할 수 있다.
그리고, 상기 불활성 가스에는 아르곤 (Ar), 헬륨 (He), 네온 (Ne), 질소 (N2), 크립톤 (Kr), 제논 (Xe) 또는 이들의 혼합물이 포함될 수 있다.
또한, 상기 본 발명의 식각 가스 혼합물은 반응성 가스를 더 포함할 수 있으며, 상기 반응성 가스는 상기 식각 가스 혼합물 100 부피부 당 0.1 내지 10,000의 비율(부피부)로 더 포함할 수 있으며, 바람직하게는 0.5 내지 5,000 비율로, 더욱 바람직하게는 1 내지 2,000 비율로 더 포함할 수 있다.
그리고, 상기 반응성 가스에는 산소 (O2), 일산화탄소 (CO), 이산화탄소 (CO2), 일산화질소 (NO), 이산화질소 (NO2), 아산화질소 (N2O), 수소 (H2), 암모니아 (NH3), 불화수소 (HF), 이산화황 (SO2), 이황화 탄소 (CS2), 황화카르보닐 (COS), CF3I, C2F3I, C2F5I 또는 이들의 혼합물이 포함될 수 있다.
그리고, 상기 본 발명의 식각 가스 혼합물은 SOH (Spin on hardmask), ACL (Amorphous carbon layer) 또는 PR (Photo resist) 등의 식각 마스크, 반도체 언더레이어 (Underlayer)로 사용되는 구리 (Cu), 텅스텐 (W) 및 알루미늄(Al) 등과 같은 금속 계열, 그리고 실리콘 (Si), 게르마늄 (Ge) 등과 같은 반도체 계열의 물질에 대한 선택비가 우수한 것을 특징으로 할 수 있다.
한편, 본 발명에 의한 패턴 형성 방법은, 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 제1 성분의 플루오르화 탄화수소 화합물 및 탄소수 C3의 C-F 결합을 포함하는 제2 성분의 불소함유 유기화합물을 포함하는 식각 가스 혼합물을 이용하여 박막을 식각하는 단계를 포함하는 것을 특징으로 한다.
또한, 상기 박막은 실리콘 함유막일 수 있다.
또한, 상기 박막의 식각 마스크 역할을 하는 상부 구조물은 SOH, ACL 또는 PR 등을 포함할 수 있다.
그리고, 상기 식각은 고종횡비 식각용으로 사용되어질 수 있으며 예로, V-NAND 채널 홀 (Channel hole) 또는 DRAM 커패시터 홀 (Capacitor hole) 또는 각종 MC (Metal contact hole) 일 수 있다.
또한, 본 발명의 상기 식각 가스 혼합물에 에너지를 인가하여 얻어지는 플라즈마를 이용하여 상기 박막을 식각할 수 있다.
한편, 본 발명에 의한 패턴 형성 방법은,
박막 위에 개구를 가지는 식각 마스크 패턴을 형성하는 단계,
상기 식각 가스 혼합물로부터 얻어지는 플라즈마를 이용하여 상기 개구를 통해 상기 박막의 일부를 식각하면서 박막 패턴 및 마스크에 패시베이션막을 형성하는 단계, 및
상기 식각 가스 혼합물로부터 얻어지는 플라즈마를 이용하여 상기 개구를 통해 상기 박막을 더 식각하여 박막 패턴을 형성하는 단계를 포함하는 것을 특징으로 한다.
또한, 상기 패시베이션막은 C-C, C-F, C-H 결합을 포함하는 불화 탄소계 폴리머로 이루어질 수 있다.
또한, 상기 박막은 단결정 실리콘, 다결정 실리콘 (Poly-Si), SiO2 막, Si3N4 막, SiN 막, SiON 막, SiCN 막, SiC 막, SiOC 막, a-SiN:H 막, 또는 이들의 조합으로 이루어지고, 상기 식각 마스크는 SOH, ACL 또는 PR 패턴 등으로 이루어질 수 있다.
본 발명에 따른 식각 가스 혼합물은 기존 공정 적용 조합과는 다른 신규한 가스 조합으로서 기존 공정 보다 높은 선택비 및 우수한 CD 제어를 달성할 수 있는 식각 가스 혼합물을 제공한다.
또한, 상기 본 발명의 식각 가스 혼합물을 이용하여 패턴을 형성할 경우 홀 (Hole) 또는 라인 (Line) 조도 (Roughness) 개선 효과가 탁월하다. 이러한 표면 조도 (Surface roughness) 개선은 표면 식각 공정 수행 시 CD 제어에 매우 효과적이다. 즉, 왜곡이 없는 깨끗한 식각 단면을 형성하도록 도와줌으로써 패턴 홀 (Pattern hole) 또는 패턴 라인 (Pattern line)의 에치 프로파일 제어가 잘 되어 초미세 CD 제어를 가능하게 해준다.
특히 본 발명에 의한 식각 가스 혼합물은 V-NAND, DRAM, Logic 등 고집적 반도체 회로의 고종횡비 식각 구조물을 위한 에칭 소재로서 우수한 성능을 나타낼 수 있다.
도 1은 본 발명의 본 실험 및 비교실험 1, 2에 따른 식각 가스 혼합물을 이용한 식각 공정 시 실리콘 산화막 대 ACL 마스크 선택비 (에치 속도_SiO2/에치 속도_ACL)를 나타낸 그래프이다.
도 2는 본 발명의 본 실험 및 비교실험 1, 2에 따른 식각 가스 혼합물을 이용한 식각 공정 시 실리콘 질화막 대 ACL 마스크 선택비 (에치 속도_Si3N4/에치 속도_ACL)를 나타낸 그래프이다.
도 3은 본 발명의 본 실험 및 비교실험 1, 2에 따른 식각 가스 혼합물을 이용한 식각 공정 시 ACL 막 및 공정 사용전 ACL 막의 표면 조도를 나타낸 AFM (Atomic force microscopy) 이미지이다.
도 4는 비교실험 1에 따른 식각 가스 혼합물을 사용한 식각 공정 시 ACL 패턴의 LER (Line edge roughness)을 확인하기 위한 FE-SEM (Field emission scanning electron microscopy) 이미지 분석 결과이다.
도 5는 비교실험 2에 따른 식각 가스 혼합물을 사용한 식각 공정 시 ACL 패턴의 LER을 확인하기 위한 FE-SEM 이미지 분석 결과이다.
도 6은 본 발명의 본 실험에 따른 식각 가스 혼합물을 사용한 식각 공정 시 ACL 패턴의 LER을 확인하기 위한 FE-SEM 이미지 분석 결과이다.
[규칙 제91조에 의한 정정 29.04.2021] 
도 7은 마스크의 표면 조도와 LER 및 CD와의 관계를 나타낸 것이다.
[규칙 제91조에 의한 정정 29.04.2021] 
도 8은 LER을 계산하기 위한 길이 측정 방법에 관한 것이다.
이하, 본 발명의 바람직한 본 발명에 대하여 상세히 설명한다.
다만, 아래는 특정 본 실험을 예시하여 상세히 설명하는 것일 뿐, 본 발명은 다양하게 변경될 수 있고 여러 가지 형태를 가질 수 있기 때문에, 예시된 특정 본 실험에 본 발명이 한정되는 것은 아니다. 본 발명은 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.
또한, 하기의 설명에서는 구체적인 구성요소 등과 같은 많은 특정사항들이 설명되어 있다. 이는 본 발명의 보다 전반적인 이해를 돕기 위해서 제공된 것일 뿐 이러한 특정 사항들 없이도 본 발명이 실시될 수 있음은 이 기술분야에서 통상의 지식을 가진 자에게는 자명하다 할 것이다.
그리고, 본 발명을 설명함에 있어서, 관련된 공지 기능 혹은 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다.
또한, 본 출원에서 사용한 용어는 단지 특정한 본 실험을 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가지고 있다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥 상 가지는 의미와 일치하는 의미를 가지는 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.
본 출원에서, 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현도 포함한다.
본 출원에서, 제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.
본 출원에서, '포함하다', '함유하다' 또는 '가지다' 등의 용어는 명세서상에 기재된 특징, 구성요소 (또는 구성성분) 등이 존재함을 지칭하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 구성요소 등이 존재하지 않거나 부가될 수 없음을 의미하는 것은 아니다.
본 발명의 식각 가스 혼합물은 상술한 바와 같은 목적을 달성하기 위하여, 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 제1 성분의 플루오르화 탄화수소 화합물, 및 탄소수 C3의 C-F 결합을 포함하는 제2 성분의 불소함유 유기화합물을 필수 구성으로 포함하는 것을 특징으로 한다.
본 발명의 식각 가스 혼합물의 일 구성요소인 상기 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 제1 성분의 플루오르화 탄화수소 화합물은 C4H2F6로 표시되는 화합물이며, 바람직하게는 이중결합이 하나인 플루오르화 탄화수소 화합물일 수 있다.
구체적으로, 상기 제1 성분의 플루오르화 탄화수소 화합물로서
- Hexafluoroisobutene (CAS No. 382-10-5)
- (2Z)-1,1,1,4,4,4-Hexafluoro-2-butene (CAS No. 692-49-9),
- (3R,4S)-1,1,2,2,3,4-Hexafluorocyclobutane (CAS No. 22819-47-2),
- 2,3,3,4,4,4-Hexafluoro-1-butene (CAS No. 374-39-0),
- 1,1,2,2,3,3-Hexafluorocyclobutane (CAS No. 55101-70-7),
- (2Z)-1,1,1,2,4,4-Hexafluoro-2-butene,
- (2Z)-1,1,2,3,4,4-Hexafluoro-2-butene (CAS No. 17976-35-1), 또는
- 1,1,2,3,4,4-Hexafluoro-2-butene (CAS No. 17997-56-7)을 포함할 수 있으며,
바람직하게는 Hexafluoroisobutene (CAS No. 382-10-5)일 수 있다.
본 발명에 따른 식각 가스 혼합물의 다른 일 구성요소인 상기 탄소수 C3의 C-F 결합을 포함하는 제2 성분의 불소함유 유기화합물은 CxHyFzSmOn(식중, x는 3의 정수이고, y는 0 내지 7의 정수이고, z는 1 내지 8의 정수이며, m 및 n은 각각 0 내지 1의 정수임)로 표시될 수 있다.
구체적으로는 제2 성분의 불소함유 유기화합물은 아래의 화학식 1 또는 2로 표시될 수 있다.
[화학식 1]
Figure PCTKR2021002646-appb-I000003
[화학식 2]
Figure PCTKR2021002646-appb-I000004
(상기 화학식 1에서, R1은 F 또는 CF3이고, R2는 CHF2, CH2F, SH 또는 SOH이고, R3 및 R4는 각각 독립적으로 H, F 또는 CHF2이며, 상기 화학식 2에서, R5는 F, 또는 CF3이고, R6는 F, H, CHF2, 또는 CH2F임)
상기 제2 성분의 불소함유 유기화합물은 더욱 구체적으로, 상기 화학식 1의 화합물로서
- 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0),
- 1,1,1,3,3-pentafluoropropane (CAS No. 460-73-1), 및
- 1,1,2,2,3-pentafluoropropane (CAS No. 679-86-7)으로 이루어진 군에서 선택된 적어도 하나를 포함하고,
상기 화학식 2의 화합물로서, 2,3,3,3-tetrafluoropropene (CAS No. 754-12-1), 2,3,3-trifluoropropene (CAS No. 158664-13-2), 및 3,3,3-trifluoropropene (CAS No. 677-21-4)으로 이루어진 군에서 선택된 적어도 하나를 포함할 수 있다.
상기 제2 성분의 불소함유 유기화합물은 바람직하게는 C3H2F6로 표시되는 화합물이며, 가장 바람직하게는 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0)일 수 있다.
본 발명은 상기 제1 성분의 플루오르화 탄화수소 화합물과 상기 제2 성분의 불소함유 유기화합물을 소정의 비율로 포함하는 것을 특징으로 하며,
상기 제1 성분의 플루오르화 탄화수소 화합물은 상기 제2 성분의 불소함유 유기화합물 당 0.001 내지 1000의 비율(부피부) 일 수 있으며, 바람직하게는 0.005 내지 200의 비율이고, 더욱 바람직하게는 0.01 내지 100의 비율이다.
상기 두 화합물이 위와 같은 범위 내의 비율로 혼합될 때 실리콘 함유 박막에 대하여 높은 선택비 및 우수한 CD 제어를 달성할 수 있다.
본 발명에 따른 식각 가스 혼합물은, 상기 제1 성분의 플루오르화 탄화수소 화합물과 상기 제2 성분의 불소함유 유기화합물 외에, C1 내지 C6의 퍼플루오로카본 화합물인 제3 성분의 유기불소 화합물과 탄소수 C1 내지 C5인 플루오르화 탄화수소 화합물인 제4 성분의 유기불소 화합물로 이루어진 군으로부터 선택되는 적어도 하나 이상의 화합물을 포함할 수 있다. 이 경우 식각 속도를 포함하는 다양한 공정 성능을 목적한 바에 맞게 조절할 수 있다.
상기 제3 성분의 유기불소 화합물 및 제4 성분의 유기불소 화합물 중 적어도 하나 이상의 화합물 성분은 상기 제2 성분의 불소함유 유기화합물을 기준으로, 0.001 내지 1000의 비율(부피부)로 혼합될 수 있으며, 바람직하게는 0.005 내지 200의 비율로, 더욱 바람직하게는 0.01 내지 100의 비율로 포함될 수 있다.
상기 탄소수 C1 내지 C6의 퍼플루오로카본 화합물인 제3 성분의 유기불소 화합물은 수소를 포함하지 않는 화합물로서 C 및 F를 포함하며, 또한 C 및 F와 그리고 다른 헤테로 원자를 포함하는 화합물일 수 있다. 구체적으로는 C4F6, C3F6, C5F8, C6F6, C4F8, C2F6, CF4 등을 포함하거나 또는 이들의 혼합물을 포함하는 것일 수 있다.
또한, 상기 탄소수 C1 내지 C5, 바람직하게는 C3 내지 C4의 플루오르화 알킬 화합물인 제4 성분의 유기불소 화합물은 C, H, F를 포함하는 화합물이다. 상기 제4 성분의 유기불소 화합물에 있어서, H:F의 비는 1:1, 1:2, 1:3을 포함하는 1:1 이상, 또는 2:1, 3:1을 포함하는 2:1 이상인 것이 바람직하다.
상기 제3 성분 및 제4 성분의 유기불소 화합물은 포화, 또는 불포화화합물일 수 있고, 상기 제1 성분 및 제2 성분의 화합물과는 다른 것이다.
또한, 상기 본 발명의 식각 가스 혼합물은 불활성 가스를 더 포함하는 것이 바람직하다.
상기 불활성 가스는 상기 식각 가스 혼합물 100 부피부에 대하여, 1 내지 10,000 부피부로 더 포함할 수 있으며, 바람직하게는 5 내지 5,000 부피부로, 더욱 바람직하게는 10 내지 5,000 부피부로 더 포함할 수 있다.
그리고, 상기 불활성 가스는 아르곤 (Ar), 헬륨 (He), 네온 (Ne), 질소 (N2), 크립톤 (Kr), 제논 (Xe) 또는 이들의 혼합물을 포함할 수 있다.
또한, 상기 본 발명의 식각 가스 혼합물은 반응성 가스를 더 포함하고, 상기 반응성 가스는 상기 식각 가스 혼합물 100 부피부에 대하여, 0.1 내지 10,000 부피부로 더 포함할 수 있으며, 바람직하게는 0.5 내지 5,000 부피부로, 더욱 바람직하게는 1 내지 2,000 부피부로 더 포함할 수 있다.
그리고, 상기 반응성 가스는 산소 (O2), 일산화탄소 (CO), 이산화탄소 (CO2), 일산화질소 (NO), 이산화질소 (NO2), 아산화질소 (N2O), 수소 (H2), 암모니아 (NH3), 불화수소 (HF), 이산화 황 (SO2), 이황화 탄소 (CS2), 황화카르보닐 (COS), CF3I, C2F3I, C2F5I 또는 이들의 혼합물을 포함할 수 있다.
본 발명에 의한 식각 가스 혼합물은 특히 SOH, ACL 또는 PR 등에 대한 실리콘 함유 박막의 선택비가 우수한 것을 특징으로 할 수 있다. 특히, 실리콘 산화막 대 ACL, 실리콘 질화막 대 ACL 선택비가 우수하여 고종횡비 에칭 소재로 사용될 경우 우수한 성능을 발휘할 수 있다.
또한, 상기 본 발명에 따른 식각 가스 혼합물에 따르면 마스크에 대한 영향을 최소화하면서 목적하는 박막층에 대하여만 식각을 수행하는 선택비가 매우 뛰어나다. 그리고 본 발명에 따른 식각 가스 혼합물은 마스크의 표면 조도를 개선하고, 나아가 마스크의 LER 및 LWR (Line Width Roughness) 개선하여 식각 공정 시 마스크의 원래 구조물 형상을 유지시킴으로써 패턴 홀 또는 패턴 라인의 CD를 제어하는데 탁월한 효과가 있다.
한편, 본 발명에 의한 패턴 형성 방법은, 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 제1 성분의 플루오르화 탄화수소 화합물, 및 탄소수 C3의 C-F 결합을 포함하는 제2 성분의 불소함유 유기화합물을 필수 구성으로 포함하는 식각 가스 혼합물을 이용하여 박막을 식각하는 단계를 포함하는 것을 특징으로 한다.
식각 가스 혼합물을 이용하여 박막을 식각할 때 상기 식각 가스 혼합물을 구성하는 가스를 모두 동시에 또는 순차적으로 투입할 수 있다.
본 발명의 패턴 형성의 대상이 되는 박막은 실리콘 화합물을 포함하는 실리콘 레이어일 수 있으며, 구체적으로는 또한, 상기 박막은 단결정 실리콘, 다결정 실리콘 (Poly-Si), SiO2 막, Si3N4 막, SiN 막, SiON 막, SiCN 막, SiC 막, SiOC 막, a-SiN:H 막, 또는 이들의 조합일 수 있다. 반면 이와 대조되는 상기 박막의 마스크 역할을 하는 상부 구조물은 SOH, ACL 또는 PR 등을 포함할 수 있다.
상기 박막을 식각하는 단계는 -100 내지 500 ℃, -10 내지 200 ℃, 또는 10 내지 100 ℃의 기판 온도 설정하에서 수행될 수 있다.
또한, 상기 식각 가스 혼합물에 에너지를 인가하여 얻어지는 플라즈마를 이용하여 상기 박막을 식각할 수 있다.
한편, 본 발명에 의한 패턴 형성 방법은,
박막 위에 개구를 가지는 식각 마스크 패턴을 형성하는 단계,
상기 식각 가스 혼합물로부터 얻어지는 플라즈마를 이용하여 상기 개구를 통해 상기 박막의 일부를 식각하면서 박막 패턴 및 마스크에 패시베이션막을 형성하는 단계, 및
상기 식각 가스 혼합물로부터 얻어지는 플라즈마를 이용하여 상기 개구를 통해 상기 박막을 더 식각하여 박막 패턴을 형성하는 단계를 포함하는 것을 특징으로 한다.
상기 패턴 형성방법은 구체적으로 먼저, 박막 위에 개구를 가지는 식각 마스크 패턴을 형성한다. 식각의 대상이 되는 상기 박막은 실리콘 함유 막일 수 있고, 구체적으로는 단결정 실리콘, 다결정 실리콘 (Poly-Si), SiO2 막, Si3N4 막, SiN 막, SiON 막, SiCN 막, SiC 막, SiOC 막, a-SiN:H 막, 또는 이들의 조합일 수 있다. 반면 이와 대조되는 상기 박막의 마스크 역할을 하는 상부 구조물은 SOH, ACL 또는 PR 등을 포함할 수 있다.
다음으로, 상기 본 발명에 따른 식각 가스 혼합물로부터 얻어지는 플라즈마를 이용하여 상기 개구를 통해 상기 박막의 일부를 식각하면서 박막 패턴 및 마스크에 패시베이션막을 형성한다.
상기 식각 가스 혼합물은 전술한 바와 같으며, 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 제1 성분의 플루오르화 탄화수소 화합물, 및 탄소수 C3의 C-F 결합을 포함하는 제2 성분의 불소함유 유기화합물을 필수 구성으로 포함하는 것을 특징으로 한다.
본 발명의 C-F 결합 및 C-H 결합을 포함하는 C4의 제1 성분 및 C3의 제2 성분을 포함하는 식각가스 혼합물의 전체 또는 일부로부터 얻어지는 플라즈마를 이용하여 박막을 식각할 때, CF, CF2, F, F2, CHF 등과 같은 라디칼이 형성될 수 있다.
이들 라디칼에 의해 ACL, SOH, 또는 PR 등의 식각 마스크 표면을 덮는 패시베이션막이 형성될 수 있다. 상기 패시베이션막은 C-C, C-F, C-H 결합을 포함하는 불화 탄소계 폴리머로 이루어질 수 있으며 이 막에 의하여 박막의 선택비 증가 및 ACL, SOH, PR 등 마스크의 LER 및 LWR을 개선할 수 있다.
반도체의 식각 공정에서 마스크의 LER 및 LWR이 좋아지면, 마스크의 원 패턴을 왜곡없이 하부에 전사함으로써 목적하는 CD를 효과적으로 구현할 수 있다. 예를 들어 라인의 선폭이나 컨택트홀 (Contact hole)의 직경 등을 원하는 디자인대로 확보할 수 있다.
[규칙 제91조에 의한 정정 29.04.2021]
[삭제]
[규칙 제91조에 의한 정정 29.04.2021] 
[삭제]
표면 조도, LER, LWR 및 CD는 아래 그림 1과 같이 식각 공정 시 플라즈마에 의한 영향으로 요철과 같은 거칠기가 나타나게 된다.
반도체 소자의 다운-스케일링을 위한 집적회로 소자의 구현을 위해서는 미세 패턴이 필수이고, 이를 위해서는 표면 조도, LER, LWR은 최소화하고 CD를 정밀하게 제어할 필요가 있다.
본 발명의 식각 가스 혼합물에 의한 마스크의 LER은 다음과 같은 방법으로 계산하였다.
[규칙 제91조에 의한 정정 29.04.2021] 
우선 도 8과 같이 식각 공정 평가가 완료된 Line과 Space ACL 마스크 패턴의 상부 이미지를 FE-SEM으로 확인하고, 상기 Line의 외측에 임의의 직선을 긋고 이와 수직으로 가상의 실선을 동일한 간격으로 그어 라인 측벽선까지의 교차점을 마킹한 후 직선으로부터 교차점까지의 거리를 측정하였다. LER은 이 거리들의 표준편차로서 아래 식 (1) 및 (2)로 정의하였다.
[규칙 제91조에 의한 정정 29.04.2021] 
[삭제]
[규칙 제91조에 의한 정정 29.04.2021] 
[삭제]
Figure PCTKR2021002646-appb-I000007
식 (1)
Figure PCTKR2021002646-appb-I000008
식 (2)
Figure PCTKR2021002646-appb-I000009
: j번째 임의 직선으로부터 라인 측벽까지의 거리 군 중 위에서 i번째 거리
Figure PCTKR2021002646-appb-I000010
: j번째 임의 직선으로부터 라인 측벽까지의 거리 군의 평균
상기와 같은 LER 식 (1), (2)에 의한 계산으로부터 본 발명의 식각 가스 혼합물이 LER 개선에 탁월한 효과를 보임을 확인하였다(표 4 및 도 4, 5, 6 참조).
이러한 본 발명의 식각 가스 혼합물에 의한 LER 개선은 ACL 마스크의 변형이 종래 식각 공정에 비해 감소되는 것을 의미한다. 즉, ACL 마스크의 LER이 개선되면, CD 제어에 매우 효과적이다.
따라서 본 발명에 의한 식각 가스 혼합물은, 식각 시 패턴의 CD 제어가 용이하여 최근 진행되는 다운-스케일링의 반도체 소자 제작에 매우 적합한 식각 가스로 사용될 수 있는 장점을 가진다.
상기 본 발명의 식각 가스 혼합물은 에너지원에 노출되어 활성화된 화학종을 포함하는 플라즈마의 형태로 박막에 제공될 수 있다. 식각 가스 혼합물의 플라즈마를 이용하여 박막을 식각하기 위하여 플라즈마 식각 설비를 이용할 수 있다. 예를 들면, 식각 가스 혼합물의 플라즈마를 이용하여 박막을 식각하기 위하여, RIE (Reactive ion etch) 설비, MERIE (Magnetically enhanced reactive ion etch) 설비, ICP (Inductively coupled plasma) 설비, CCP (Capacitively coupled plasma) 설비, 중공 애노드형 플라즈마 (Hollow anode type plasma) 설비, 나선형 공명기 플라즈마 (Helical resonator plasma) 설비, ECR (Electron cyclotron resonance) 설비 등을 사용할 수 있다.
상기 불화 탄소계 폴리머인 패시베이션막의 형성 공정과 박막 패턴 형성 공정은 동일 챔버 내에서 연속적으로 수행될 수 있다. 예를 들면, 불화 탄소계 폴리머인 패시베이션막의 형성 공정과 박막 패턴의 형성 공정은 플라즈마 식각 설비의 반응 챔버 내에서 인시튜 (In-situ)로 수행될 수 있다.
이하, 본 발명의 본 실험에 대하여 설명한다.
[실시예]
하기 비제한적인 본 실험은 본 발명의 실시양태를 더욱 상세히 설명하기 위해 제공된다. 그러나, 본 실험은 포괄적인 것은 아니며, 본 명세서에 기재된 발명의 범주를 제한하려는 것이 아니다.
본 명세서에 기재된 식각 공정은 Applied Materials 사의 MERIE (Magnetically enhanced reactive ion etch) 타입의 8인치 웨이퍼급 Precision 5000 etch 시스템을 사용하여 수행되었다.
SiO2, Si3N4, ACL로 구성되는 기판에 하기 표 1과 같은 배합비의 식각 가스 혼합물을 사용하여 하기 표 1의 유량 및 공정 조건으로 패턴 형성을 위한 식각 공정을 실시하였다.
[본 실험]
본 실험에서는 제1 성분의 플루오르화 탄화수소 화합물인 C4H2F6로 표시되는 Hexafluoroisobutene (CAS No. 382-10-5)과 제2 성분의 불소함유 유기화합물인 C3H2F6로 표시되는 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0), 그리고 제3 성분의 혼합 가스로서 C4F6, 불활성 가스로는 아르곤 (Ar) 및 반응성 가스로 산소 (O2)를 사용하여 고종횡비의 식각 단면을 얻을 수 있는 높은 선택비 및 CD 제어에 탁월한 성능을 발휘하는 식각 공정을 수행하였다.
본 실험은 C4H2F6 가스, C3H2F6 가스 및 제3 성분의 유기불소 화합물 식각 가스인 C4F6를 MFC (Mass flow controller)에 의해 각각 5 sccm (Standard Cubic Centimeter per Minute), 5 sccm, 및 15 sccm의 양으로 동시에 Chamber 내부로 주입하여 식각 공정을 수행하였다. 반응성 가스로는 산소(O2)를 사용하였으며, 주입량은 MFC에 의해 35 sccm ~ 50 sccm으로 흘려주면서 산소 함량에 따른 에칭 속도 거동에 따른 선택비를 구하였다. 불활성 가스로는 아르곤 (Ar)을 첨가해 주었으며, 주입량은 15 sccm 이었다. 식각 공정을 위한 RF (Radio frequency) power는 500 W로 설정하였으며, 공정 압력은 35 mTorr로 60초의 시간 동안 공정을 수행하였다.
[비교실험 1]
비교실험 1은 C3H2F6 가스 및 제3 성분의 유기불소 화합물 식각 가스인 C4F6를 MFC에 의해 각각 5 sccm 및 15 sccm의 양으로 동시에 Chamber 내부로 주입하여 식각 공정을 수행하였다. 반응성 가스로는 산소(O2)를 사용하였으며, 주입량은 MFC에 의해 35 sccm ~ 50 sccm으로 흘려주면서 산소 함량에 따른 에칭 속도 거동에 따른 선택비를 구하였다. 불활성 가스로는 아르곤 (Ar)을 첨가해 주었으며, 주입량은 15 sccm 이었다. 식각 공정을 위한 RF power는 500 W로 설정하였으며, 공정 압력은 35 mTorr로 60초의 시간 동안 공정을 수행하였다.
[비교실험 2]
비교실험 2는 C4H2F6 가스 및 제3 성분의 유기불소 화합물 식각 가스인 C4F6를 MFC에 의해 각각 5 sccm 및 15 sccm의 양으로 동시에 Chamber 내부로 주입하여 식각 공정을 수행하였다. 반응성 가스로는 산소 (O2)를 사용하였으며, 주입량은 MFC에 의해 35 sccm ~ 50 sccm으로 흘려주면서 산소 함량에 따른 에칭 속도 거동에 따른 선택비를 구하였다. 불활성 가스로는 아르곤 (Ar)을 첨가해 주었으며, 주입량은 15 sccm 이었다. 식각 공정을 위한 RF power는 500 W로 설정하였으며, 공정 압력은 35 mTorr로 60초의 시간 동안 공정을 수행하였다.
시험 예 1: ACL 선택비 (Selectivity) 분석
Figure PCTKR2021002646-appb-T000001
<본 실험, 비교실험 1, 2의 식각 공정 가스 혼합비 및 공정 조건>
상기의 표 1과 같은 조건의 본 실험, 및 비교실험 1과 비교실험 2의 식각 가스 혼합물에 의한 SiO2 및 Si3N4에 대한 ACL 선택비를 측정하여 그 결과를 아래 표 2에 나타내었다.
Figure PCTKR2021002646-appb-T000002
<본 실험, 비교실험 1, 2의 각 식각 조건에 따른 식각 속도 및 선택비>
상기 표 2에서 보는 바와 같이, 본 발명의 본 실험에 의한 식각 가스 혼합물 즉, C4H2F6와 C3H2F6 가스의 조합 조건에서 얻어진 SiO2 및 Si3N4에 대한 ACL 선택비는 비교실험 1 및 비교실험 2와 같이 C4H2F6 또는 C3H2F6만을 사용한 식각 가스 혼합물에 비하여 우수한 SiO2/ACL, Si3N4/ACL 선택비를 가지는 것을 알 수 있다.
특히 SiO2/ACL 선택비의 경우, 산소 (O2)를 유속 50 sccm으로 주입하는 경우, 본 실험의 SiO2와 ACL의 에칭 속도는 각각 1329 Å/min, 576 Å/min이고, 선택비는 2.31이다. 동일 조건에서 비교실험 1의 SiO2와 ACL의 에칭 속도는 각각 1374 Å/min, 1380 Å/min이고 선택비는 1.00이다. 따라서, 본 실험의 식각 가스 혼합물이 비교실험 1에 비하여 2.3배 이상 높은 선택비를 보이고 있다.
산소(O2)를 유속 35 sccm으로 주입하는 경우, 본 실험의 SiO2와 ACL의 에칭 속도는 각각 1224 Å/min, 138 Å/min이고, 선택비는 8.87이다. 동일 조건에서 비교실험 1의 SiO2와 ACL의 에칭 속도는 각각 1344 Å/min, 846 Å/min이고 선택비는 1.59이다. 따라서, 본 실험의 식각 가스 혼합물이 비교실험 1에 비하여 5.5배 이상 높은 선택비를 보이고 있다.
Si3N4/ACL 선택비의 경우, 산소 (O2)를 유속 50 sccm으로 주입하는 경우, 본 실험의 Si3N4와 ACL의 에칭 속도는 각각 1371 Å/min, 576 Å/min이고, 선택비는 2.38이다. 동일 조건에서 비교실험 1의 Si3N4와 ACL의 에칭 속도는 각각 1581 Å/min, 1380 Å/min이고 선택비는 1.15이다. 따라서, 본 실험의 식각 가스 혼합물이 비교실험 1에 비하여 2.0배 이상의 높은 선택비를 보이고 있음을 알 수 있다.
산소(O2)를 유속 35 sccm으로 주입하는 경우, 본 실험의 Si3N4와 ACL의 에칭 속도는 각각 855 Å/min, 138 Å/min이고, 선택비는 6.20이다. 동일 조건에서 비교실험 1의 Si3N4와 ACL의 에칭 속도는 각각 1353 Å/min, 846 Å/min이고 선택비는 1.60이다. 따라서, 본 실험의 식각 가스 혼합물이 비교실험 1에 비하여 3.8배 이상의 높은 선택비를 보인다.
또한, 표 2로부터 본 실험의 식각 가스 혼합물은 비교실험 2에 비하여도 높은 선택비를 보이는 것을 알 수 있다.
이와 같이 본 발명의 본 실험 식각 가스 혼합물은 비교실험 1 및 2에 비하여 높은 선택비를 가짐에 따라 고종횡비 식각 구조물에 대한 식각 가스로서 우수한 성능을 나타낸다는 것을 확인할 수 있었다.
상기 표 2의 본 실험, 및 비교실험 1과 비교실험 2의 SiO2/ACL 선택비 및 Si3N4/ACL 선택비를 도 1 및 2에 도시하였다.
시험 예 2: 표면 조도 분석
식각 공정 진행에 따른 ACL 표면 변화를 관찰하기 위하여 식각 공정 전, 비교실험 1, 비교실험 2, 및 본 실험에 대하여 AFM 분석을 실시하였으며, 그 결과는 하기 표 3 및 도 3에 도시하였다.
Figure PCTKR2021002646-appb-T000003
<본 실험, 비교실험 1, 2의 식각 조합에 따른 ACL 표면 조도 분석 결과>
상기 표 3으로부터 본 실험의 식각 공정 진행에 따른 ACL 표면 조도는 ‘RMS (Root mean square) 0.96 nm’이고, 비교실험 1 및 비교실험 2의 각각의 ACL 표면 조도는 ‘RMS 2.08 nm’ 및 ‘RMS 1.30 nm’이므로, 비교실험 1, 및 2가 본 실험에 비해 표면 조도가 1.3배 이상 증가하는 것을 알 수 있다.
시험 예 3 : LER (Line Edge Roughness)
본 발명 본 실험의 식각 가스 혼합물에 대해 마스크로 사용된 ACL 마스크의 Top-down view FE-SEM 이미지와 식 (1), (2)의 Xij를 측정하여 그 결과를 도 4, 도 5, 도 6에 도시하였다.
상기 LER의 식 (1), (2)에 의하여 계산된 본 실험, 비교실험 1 및 비교실험 2의 LER 값은 표 4에 나타내었다.
Figure PCTKR2021002646-appb-T000004
<본 실험, 비교실험 1, 2의 식각 조합에 따른 ACL 마스크의 LER 결과>
상기 표 4에서 보는 바와 같이 본 발명 본 실험의 LER 값은 비교실험 1 및 2에 비해 약 40% 이상 감소하였고, 이러한 본 실험의 감소한 LER 값은 ACL 마스크 표면 조도뿐만 아니라, 마스크 패턴 라인 엣지의 변형이 종래의 비교실험 1 및 2의 식각 공정에 비해 현저히 낮게 나타내는 것을 의미한다. 결과적으로 낮은 LER 값을 나타내는 본 발명의 본 실험의 식각 가스 혼합물에 의해 ACL 마스크 패턴의 라인 엣지 조도가 개선되면, CD를 정밀하게 제어할 수 있다.
이상에서는 본 발명의 바람직한 본 실험에 대해서 설명하였으나, 본 발명은 상술한 특정의 본 실험에 한정되지 아니하며, 당해 기술분야에서 통상의 지식을 가진 자라면 본원 발명의 요지를 벗어남이 없이 다양한 변형 실시가 가능함은 물론이다. 따라서, 본 발명의 범위는 위의 본 실험에 국한해서 해석되어서는 안되며, 후술하는 청구범위뿐만 아니라 이 청구범위와 균등한 것들에 의해 정해져야 할 것이다.
상기에서 살펴본 바와 같이 본 발명에 의한 식각 가스 혼합물에 의하면 우수한 표면 조도와 높은 선택비를 발휘할 수 있어 식각 공정에 있어 매우 유리한 물질로 사용 및 응용될 수 있으며, 특히 고종횡비 식각 공정에 대하여 차별화된 성능을 나타내어 관련 산업 분야에서 광범위하게 활용될 수 있을 것으로 기대된다.

Claims (33)

  1. 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 플루오르화 탄화수소 화합물(‘제1 성분’), 및 탄소수 C3의 C-F 결합을 포함하는 불소함유 유기화합물(‘제2 성분’)을 필수 구성으로 포함하는, 식각 가스 혼합물.
  2. 청구항 1에 있어서,
    상기 제1 성분은 C4H2F6인 것을 특징으로 하는, 식각 가스 혼합물.
  3. 청구항 1에 있어서,
    상기 제1 성분은
    - Hexafluoroisobutene (CAS No. 382-10-5)
    - (2Z)-1,1,1,4,4,4-Hexafluoro-2-butene (CAS No. 692-49-9),
    - (3R,4S)-1,1,2,2,3,4-Hexafluorocyclobutane (CAS No. 22819-47-2),
    - 2,3,3,4,4,4-Hexafluoro-1-butene (CAS No. 374-39-0),
    - 1,1,2,2,3,3-Hexafluorocyclobutane (CAS No. 55101-70-7),
    - (2Z)-1,1,1,2,4,4-Hexafluoro-2-butene,
    - (2Z)-1,1,2,3,4,4-Hexafluoro-2-butene (CAS No. 17976-35-1), 및
    - 1,1,2,3,4,4-Hexafluoro-2-butene (CAS No. 17997-56-7)로 이루어진 화합물 그룹으로부터 선택되는 하나 이상인 것을 특징으로 하는, 식각 가스 혼합물.
  4. 청구항 2에 있어서,
    상기 제1 성분은 Hexafluoroisobutene (CAS No. 382-10-5)인 것을 특징으로 하는, 식각 가스 혼합물.
  5. 청구항 1에 있어서,
    상기 제2 성분은 CxHyFzSmOn(식중, x는 3의 정수이고, y는 0 내지 7의 정수이고, z는 1 내지 8의 정수이며, m 및 n은 각각 0 내지 1의 정수임)로 표시되는 것을 특징으로 하는, 식각 가스 혼합물.
  6. 청구항 5에 있어서,
    상기 제2 성분은 아래의 화학식 1 또는 2로 표시되는 화합물인 것을 특징으로 하는, 식각 가스 혼합물.
    [화학식 1]
    Figure PCTKR2021002646-appb-I000011
    [화학식 2]
    Figure PCTKR2021002646-appb-I000012
    (상기 화학식 1에서, R1은 F 또는 CF3이고, R2는 CHF2, CH2F, SH 또는 SOH이고, R3 및 R4는 각각 독립적으로 H, F 또는 CHF2이며, 상기 화학식 2에서, R5는 F, 또는 CF3이고, R6는 F, H, CHF2, 또는 CH2F임)
  7. 청구항 6에 있어서,
    상기 화학식 1로 표시되는 화합물은
    - 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0),
    - 1,1,1,3,3-pentafluoropropane (CAS No. 460-73-1), 및
    - 1,1,2,2,3-pentafluoropropane (CAS No. 679-86-7)으로 이루어진 군에서 선택된 적어도 하나인 것을 특징으로 하는, 식각 가스 혼합물.
  8. 청구항 6에 있어서,
    상기 화학식 2로 표시되는 화합물은 2,3,3,3-tetrafluoropropene (CAS No. 754-12-1), 2,3,3-trifluoropropene (CAS No. 158664-13-2), 및 3,3,3-trifluoropropene (CAS No. 677-21-4)으로 이루어진 군에서 선택된 적어도 하나인 것을 특징으로 하는, 식각 가스 혼합물
  9. 청구항 5에 있어서,
    상기 제2 성분은 C3H2F6로 표시되는 화합물인 것을 특징으로 하는, 식각 가스 혼합물.
  10. 청구항 9에 있어서,
    상기 제2 성분은 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0)인 것을 특징으로 하는, 식각 가스 혼합물.
  11. 청구항 1에 있어서,
    제1 성분의 플루오르화 탄화수소 화합물은 상기 제2 성분의 불소함유 유기화합물 당 0.001 내지 1000의 비율(부피부)로 포함되는 것을 특징으로 하는, 식각 가스 혼합물.
  12. 청구항 1에 있어서,
    상기 제1 성분과 상기 제2 성분 이외에, C1 내지 C6의 퍼플루오로카본 화합물인 제3 성분의 유기불소 화합물과 탄소수 C1 내지 C5인 플루오르화 탄화수소 화합물인 제4 성분의 유기불소 화합물로 이루어진 군으로부터 선택되는 적어도 하나 이상의 화합물을 더 포함하며, 상기 제3 성분 및 제4 성분의 유기불소 화합물은 상기 제1 성분 및 제2 성분의 화합물과는 다른 화합물인 것을 특징으로 하는, 식각 가스 혼합물
  13. 청구항 12에 있어서,
    상기 제3 성분 및 제4 성분 중 적어도 하나의 성분은 상기 제2 성분을 기준으로, 0.001 내지 1000의 비율(부피부)로 혼합되는 것을 특징으로 하는, 식각 가스 혼합물
  14. 청구항 12에 있어서,
    상기 제3 성분은, C 및 F를 포함하고 수소 원자를 포함하지 않는 것으로서 포화 또는 불포화 화합물인 것을 특징으로 하는, 식각 가스 혼합물
  15. 청구항 1에 있어서,
    반응성 가스를 더 포함하는 것을 특징으로 하는, 식각 가스 혼합물
  16. 청구항 12에 있어서,
    상기 제3 성분은, C 및 F와 그리고 다른 헤테로 원자를 포함하고 수소 원자를 포함하지 않는 것으로서 포화 또는 불포화 화합물인 것을 특징으로 하는, 식각 가스 혼합물
  17. 청구항 12에 있어서,
    상기 제3 성분의 유기불소 화합물은 C4F6, C3F6, C5F8, C6F6, C4F8, C2F6, CF4, 또는 이들의 혼합물을 포함하는 것을 특징으로 하는, 식각 가스 혼합물
  18. 청구항 13에 있어서,
    상기 제4 성분의 유기불소 화합물은 C, H, F를 포함하는 포화 또는 불포화 화합물인 것을 특징으로 하는, 식각 가스 혼합물
  19. 청구항 18에 있어서,
    상기 제4 성분의 유기불소 화합물은 H:F의 비는 1:1, 1:2, 1:3을 포함하는 1:1 이상, 또는 2:1, 3:1을 포함하는 2:1 이상인 것을 특징으로 하는, 식각 가스 혼합물
  20. 청구항 1에 있어서,
    불활성 가스 및 반응성 가스를 더 포함하는 것을 특징으로 하는, 식각 가스 혼합물
  21. 청구항 20에 있어서,
    상기 불활성 가스는 아르곤 (Ar), 헬륨 (He), 네온 (Ne), 질소 (N2), 크립톤 (Kr), 제논 (Xe) 또는 이들의 혼합물이고, 상기 반응성 가스는 산소 (O2)인 것을 특징으로 하는, 식각 가스 혼합물
  22. 청구항 20에 있어서,
    상기 불활성 가스는 상기 식각 가스 혼합물 100 부피부에 대하여, 1 내지 10,000 부피부로 더 포함되고, 상기 반응성 가스는 상기 식각 가스 혼합물 100 부피부에 대하여, 0.1 내지 10,000 부피부로 더 포함을 특징으로 하는, 식각 가스 혼합물
  23. 청구항 20에 있어서,
    상기 반응성 가스는 산소 (O2), 일산화탄소 (CO), 이산화탄소 (CO2), 일산화질소 (NO), 이산화질소 (NO2), 아산화질소 (N2O), 수소 (H2), 암모니아 (NH3), 불화수소 (HF), 이산화황 (SO2), 이황화 탄소 (CS2), 황화카르보닐 (COS), CF3I, C2F3I, C2F5I 또는 이들의 혼합물로부터 선택되는 것을 특징으로 하는, 식각 가스 혼합물.
  24. 청구항 1에 있어서,
    상기 식각 가스 혼합물은 식각 마스크로 사용되는 SOH (Spin on hardmask), ACL (Amorphous carbon layer) 또는 PR (Photo resist), 반도체 언더레이어 (Underlayer)로 사용되는 구리 (Cu), 텅스텐 (W) 및 알루미늄 (Al)과 같은 금속 계열, 및 실리콘 (Si), 게르마늄 (Ge)과 같은 반도체 계열의 물질에 대한 선택비가 우수한 것을 특징으로 하는, 식각 가스 혼합물.
  25. 청구항 1 내지 24 중 어느 하나의 항에 따른 식각 가스 혼합물을 이용하여 박막을 식각하는 단계를 포함하는 것을 특징으로 하는, 패턴 형성 방법.
  26. 청구항 25에 있어서,
    상기 박막은 실리콘 함유막인 것을 특징으로 하는, 패턴 형성 방법.
  27. 청구항 25에 있어서,
    상기 박막의 마스크 역할을 하는 상부 구조물은 SOH (Spin on hardmask), ACL (Amorphous carbon layer) 또는 PR (Photo resist)을 포함하는 것을 특징으로 하는, 패턴 형성 방법.
  28. 청구항 25에 있어서,
    상기 식각은 고종횡비 (High Aspect Ratio) 식각인 것을 특징으로 하는, 패턴 형성 방법.
  29. 청구항 25에 있어서,
    상기 박막을 식각하는 단계는 -100 내지 500 ℃, -10 내지 200 ℃, 또는 10 내지 100 ℃의 기판 온도 설정하에서 수행되는 것을 특징으로 하는, 패턴 형성 방법.
  30. 청구항 25에 있어서,
    상기 식각 가스 혼합물에 에너지를 인가하여 얻어지는 플라즈마를 이용하여 상기 박막을 식각하는 것을 특징으로 하는, 패턴 형성 방법.
  31. 박막 위에 개구를 가지는 식각 마스크 패턴을 형성하는 단계,
    청구항 1 내지 24 중 어느 하나의 항에 따른 식각 가스 혼합물로부터 얻어지는 플라즈마를 이용하여 상기 개구를 통해 상기 박막의 일부를 식각하면서 박막 패턴 및 마스크에 패시베이션막을 형성하는 단계,
    상기 식각 가스 혼합물로부터 얻어지는 플라즈마를 이용하여 상기 개구를 통해 상기 박막을 더 식각하여 박막 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는, 패턴 형성 방법.
  32. 청구항 31에 있어서,
    상기 박막은 단결정 실리콘, 다결정 실리콘 (Poly-Si), SiO2 막, Si3N4 막, SiN 막, SiON 막, SiCN 막, SiC 막, SiOC 막, a-SiN:H 막, 또는 이들의 조합으로 이루어지고, 상기 식각 마스크는 SOH (Spin on hardmask), ACL (Amorphous carbon layer) 또는 PR (Photo Resist) 패턴으로 이루어지는 것을 특징으로 하는, 패턴 형성 방법.
  33. 청구항 32의 패턴 형성 방법으로 형성된 패턴
PCT/KR2021/002646 2020-08-04 2021-03-04 식각 가스 혼합물과 이를 이용한 패턴 형성 방법 WO2022030718A1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020200097454A KR102244862B1 (ko) 2020-08-04 2020-08-04 식각 가스 혼합물과 이를 이용한 패턴 형성 방법
KR10-2020-0097454 2020-08-04

Publications (1)

Publication Number Publication Date
WO2022030718A1 true WO2022030718A1 (ko) 2022-02-10

Family

ID=75725918

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2021/002646 WO2022030718A1 (ko) 2020-08-04 2021-03-04 식각 가스 혼합물과 이를 이용한 패턴 형성 방법

Country Status (2)

Country Link
KR (1) KR102244862B1 (ko)
WO (1) WO2022030718A1 (ko)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
KR20100098580A (ko) * 2008-01-04 2010-09-07 마이크론 테크놀로지, 인크. 고종횡비 콘택트를 에칭하는 방법
KR20140051332A (ko) * 2011-07-27 2014-04-30 샌트랄 글래스 컴퍼니 리미티드 드라이 에칭제
KR20140090241A (ko) * 2012-10-30 2014-07-16 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 높은 종횡비의 산화물 에칭을 위한 플루오로탄소 분자
KR20170020434A (ko) * 2014-06-18 2017-02-22 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Tsv/mems/전력 장치 식각용 화학품

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
CN107112233A (zh) 2015-01-22 2017-08-29 日本瑞翁株式会社 等离子体蚀刻方法
JP6896522B2 (ja) * 2017-06-27 2021-06-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング方法およびプラズマエッチング用材料

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
KR20100098580A (ko) * 2008-01-04 2010-09-07 마이크론 테크놀로지, 인크. 고종횡비 콘택트를 에칭하는 방법
KR20140051332A (ko) * 2011-07-27 2014-04-30 샌트랄 글래스 컴퍼니 리미티드 드라이 에칭제
KR20140090241A (ko) * 2012-10-30 2014-07-16 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 높은 종횡비의 산화물 에칭을 위한 플루오로탄소 분자
KR20170020434A (ko) * 2014-06-18 2017-02-22 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Tsv/mems/전력 장치 식각용 화학품

Also Published As

Publication number Publication date
KR102244862B1 (ko) 2021-04-27

Similar Documents

Publication Publication Date Title
KR102398461B1 (ko) 다중 적층을 에칭하기 위한 화학물질
KR102398458B1 (ko) 3d nand 및 dram 응용을 위한 -nh2 작용기를 함유하는 수소화불화탄소
US7265056B2 (en) Method for forming novel BARC open for precision critical dimension control
US9508560B1 (en) SiARC removal with plasma etch and fluorinated wet chemical solution combination
US8614151B2 (en) Method of etching a high aspect ratio contact
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
KR100995725B1 (ko) 반도체 장치 제조 방법
US20040157457A1 (en) Methods of using polymer films to form micro-structures
US6800213B2 (en) Precision dielectric etch using hexafluorobutadiene
US20130164659A1 (en) Structures comprising masks comprising carbon
US20020111036A1 (en) Unique process chemistry for etching organic low-k materials
JP2007073952A (ja) 高誘電率材料をエッチングする方法
US20130109188A1 (en) Plasma etch processes for boron-doped carbonaceous mask layers
JP2004152784A (ja) 微細パターンの作製方法及び半導体装置の製造方法
TWI284370B (en) Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
JPH1098029A (ja) 基板から有機反射防止膜をエッチングする処理法
JP2004505464A (ja) 半導体構造物から有機残留物を除去する方法
WO2022030718A1 (ko) 식각 가스 혼합물과 이를 이용한 패턴 형성 방법
JP7445150B2 (ja) ドライエッチング方法及び半導体デバイスの製造方法
US7112834B1 (en) Gate etch process
TWI804638B (zh) 使用含硫原子之氣體分子之電漿蝕刻方法
KR102244885B1 (ko) 높은 선택비를 갖는 식각 가스 조성물과 이를 이용한 반도체 메모리 소자의 제조 공정
US20040157466A1 (en) Methods of forming polymer films and of using such polymer films to form structures on substrates
US20230326755A1 (en) Patterning Features with Metal Based Resists
US6562721B2 (en) Dry etching method and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21853908

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 21853908

Country of ref document: EP

Kind code of ref document: A1