WO2022019712A1 - 니오븀 전구체 화합물, 이를 포함하는 막 형성용 전구체 조성물, 및 니오븀-함유 막 형성 방법 - Google Patents

니오븀 전구체 화합물, 이를 포함하는 막 형성용 전구체 조성물, 및 니오븀-함유 막 형성 방법 Download PDF

Info

Publication number
WO2022019712A1
WO2022019712A1 PCT/KR2021/009572 KR2021009572W WO2022019712A1 WO 2022019712 A1 WO2022019712 A1 WO 2022019712A1 KR 2021009572 W KR2021009572 W KR 2021009572W WO 2022019712 A1 WO2022019712 A1 WO 2022019712A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
niobium
formula
substituted
film
Prior art date
Application number
PCT/KR2021/009572
Other languages
English (en)
French (fr)
Inventor
김진식
김명호
안성우
최준환
이동균
노현식
장동학
정은애
김병수
Original Assignee
주식회사 유피케미칼
에스케이하이닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 유피케미칼, 에스케이하이닉스 주식회사 filed Critical 주식회사 유피케미칼
Publication of WO2022019712A1 publication Critical patent/WO2022019712A1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Definitions

  • the present application provides a niobium precursor compound, a precursor composition for film formation including the niobium precursor compound, a method of forming a niobium-containing film using the precursor composition for film formation, and a semiconductor device manufactured using the precursor composition for film formation is about
  • the niobium-containing oxide film may be used as a dielectric material of a high-k capacitor of a next-generation semiconductor DRAM device, and may be used as a switching material in a resistive memory (ReRAM) device.
  • ReRAM resistive memory
  • niobium-containing films of uniform thickness on very large three-dimensional structures There is a growing need to form niobium-containing films of uniform thickness on very large three-dimensional structures.
  • Atomic layer deposition ALD is known for forming a film of a uniform thickness on an uneven surface.
  • Conventionally known niobium compounds do not have high thermal stability, so it is difficult to use them to form a niobium-containing film required for next-generation semiconductor devices at high temperatures.
  • Patent Document 1 US Patent No. 7,482,037.
  • the present application provides a niobium compound, a precursor composition for film formation including the niobium compound, a method of forming a niobium-containing film using the precursor composition for film formation, and a semiconductor device manufactured using the precursor composition for film formation want to
  • the present application provides a niobium precursor compound with high thermal stability, a method for preparing the same, a precursor composition for film formation including the niobium precursor compound, a method for forming a niobium-containing film using the precursor composition, and the precursor composition for film formation
  • An object of the present invention is to provide a semiconductor device manufactured by using
  • a first aspect of the present application provides a niobium precursor compound represented by the formula (I):
  • a to C are each independently, a substituted or unsubstituted linear or branched C 1-10 alkyl group; a substituted or unsubstituted C 3-10 cycloalkyl group; or a substituted or unsubstituted cyclopentadienyl group, and when the alkyl group, cycloalkyl group, or cyclopentadienyl group is substituted, it is substituted with a linear or branched C 1-3 alkyl group, provided that A to C is substituted or at least one unsubstituted cyclopentadienyl group.
  • a second aspect of the present application provides a precursor composition for film formation, comprising a niobium precursor compound represented by the following formula (I):
  • a to C are each independently, a substituted or unsubstituted linear or branched C 1-10 alkyl group; a substituted or unsubstituted C 3-10 cycloalkyl group; or a substituted or unsubstituted cyclopentadienyl group, and when the alkyl group, cycloalkyl group, or cyclopentadienyl group is substituted, it is substituted with a linear or branched C 1-3 alkyl group, provided that A to C is substituted or at least one unsubstituted cyclopentadienyl group.
  • a third aspect of the present application provides a method for forming a niobium-containing film, comprising forming a niobium-containing film by using a precursor composition for film formation including a niobium precursor compound represented by the following formula (I):
  • a to C are each independently, a substituted or unsubstituted linear or branched C 1-10 alkyl group; a substituted or unsubstituted C 3-10 cycloalkyl group; or a substituted or unsubstituted cyclopentadienyl group, and when the alkyl group, cycloalkyl group, or cyclopentadienyl group is substituted, it is substituted with a linear or branched C 1-3 alkyl group, provided that A to C is substituted or at least one unsubstituted cyclopentadienyl group.
  • a fourth aspect of the present application provides a semiconductor device, which is manufactured using a precursor composition for film formation including a niobium precursor compound represented by the following formula (I):
  • a to C are each independently, a substituted or unsubstituted linear or branched C 1-10 alkyl group; a substituted or unsubstituted C 3-10 cycloalkyl group; or a substituted or unsubstituted cyclopentadienyl group, and when the alkyl group, cycloalkyl group, or cyclopentadienyl group is substituted, it is substituted with a linear or branched C 1-3 alkyl group, provided that A to C is substituted or at least one unsubstituted cyclopentadienyl group.
  • the thin film deposition method using a niobium precursor compound can deposit a niobium-containing film by an atomic layer deposition method using the niobium precursor compound, and can be applied to a wide range by the thin film deposition method of the present invention using the niobium precursor compound. It is possible to precisely control the thickness and composition while controlling the process temperature. In addition, excellent coverage and a uniform composition can be formed even on a substrate having a complex shape, thereby improving characteristics of a semiconductor device.
  • the niobium-containing film formed by using the precursor composition including the niobium precursor compound can form a film with a uniform thickness on a substrate having grooves or trenches regardless of the film formation temperature and the gas supply cycle. have.
  • it can be very effectively applied to electronic devices requiring excellent physical properties and covering properties at a very thin thickness even in application fields such as scale-down of memory devices and logic devices and display devices.
  • the niobium precursor compound according to the embodiments of the present application has a volatility equal to or higher than that of a conventional niobium precursor, and volatilizes cleanly without thermal decomposition.
  • the precursor composition including the niobium precursor compound is used, the niobium-containing film may be formed by atomic layer deposition at room temperature to about 500°C, or from about 300°C to about 400°C.
  • the niobium oxide layer may be used as a high-k dielectric material of a semiconductor device or a display device.
  • the niobium oxide film formed using the precursor composition for film formation including the niobium precursor compound according to the embodiments of the present application contains less carbon than the niobium oxide film formed using the niobium precursor disclosed in the prior art.
  • the leakage current may be small.
  • niobium precursor compound prepared according to Examples 1, 2 and 4 of the present invention and ( t BuN)Nb(Cp)(NMe 2 ) 2 and ( t BuN)Nb(Cp) which are previously disclosed compounds.
  • )(NEt 2 ) 2 is a graph showing the results of thermal gravimetric analysis (TGA).
  • Example 2 is a graph showing the results of X-ray photoelectron spectroscopy depth analysis of a niobium oxide film formed by atomic layer deposition using the niobium compound (t BuN)NbCp 2 (Me) prepared in Example 1 of the present invention.
  • Example 3 is a graph showing the results of X-ray photoelectron spectroscopy depth analysis of a niobium oxide film formed by atomic layer deposition using the niobium compound (t BuN)NbCpMe 2 prepared in Example 4 of the present invention.
  • t BuN niobium oxide film atomic layer deposition results using a niobium compound (t BuN)Nb (Cp) 2 (Me) prepared according to Example 1 of the present invention and a niobium precursor compound (t BuN)Nb ( Cp)(NMe 2 ) 2 , ( t BuN) Nb(Cp)(NEt 2 ) 2
  • This is a graph showing the atomic layer deposition results of niobium oxide according to the substrate temperature.
  • a niobium precursor compound t BuN)Nb ( Cp)(NMe 2 ) 2 and ( t BuN) Nb(Cp)(NEt 2 ) 2
  • SIMS secondary ion mass spectrometer
  • step of doing or “step of” does not mean “step for”.
  • alkyl refers to a linear or branched alkyl group having 1 to 12 carbon atoms, 1 to 10 carbon atoms, 1 to 8 carbon atoms, or 1 to 5 carbon atoms. and all possible isomers thereof.
  • the alkyl or alkyl group is a methyl group (Me), an ethyl group (Et), an n-propyl group ( n Pr), an iso-propyl group ( i Pr), an n-butyl group ( n Bu), an iso-butyl group ( i Bu), tert-butyl group (tert-Bu, t Bu), sec-butyl group (sec-Bu, sec Bu), n-pentyl group ( n Pe), iso-pentyl group ( iso Pe), sec -pentyl group ( sec Pe), tert-pentyl group ( t Pe), neo- pentyl group ( neo Pe), 3-pentyl group, n-hexyl group, iso-hexyl group, heptyl group, 4,4-dimethylphen a tyl group, an octyl group, a 2,2,4-trimethylpentyl group
  • membrane means “membrane” or “thin film”.
  • cyclopentadienyl group may also be represented by an abbreviation "Cp”.
  • a first aspect of the present application provides a niobium precursor compound represented by the formula (I):
  • a to C are each independently, a substituted or unsubstituted linear or branched C 1-10 alkyl group; a substituted or unsubstituted C 3-10 cycloalkyl group; Or a substituted or unsubstituted cyclopentadienyl group,
  • alkyl group, cycloalkyl group, or cyclopentadienyl group is substituted, it is substituted with a linear or branched C 1-3 alkyl group,
  • it includes at least one substituted or unsubstituted cyclopentadienyl group among A to C.
  • the niobium precursor compound represented by Formula I may be represented by Formula 1 or Formula 2 below:
  • R 1 and R 2 are each independently hydrogen; Or a linear or branched C 1-3 alkyl group,
  • R 3 is a substituted or unsubstituted linear or branched C 1-10 alkyl group; Or a substituted or unsubstituted C 3-10 cycloalkyl group.
  • R 1 And R 2 are , each independently, hydrogen; Or it may be a methyl group, an ethyl group, an n-propyl group, or an iso-propyl group, but is not limited thereto. In one embodiment of the present application, R 1 and R 2 may each independently be hydrogen or a methyl group.
  • R 3 is a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group , a cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cyclononyl group, a cyclodecyl group, and may be selected from possible isomers thereof, but is not limited thereto.
  • R 3 is a methyl group, ethyl group, n-propyl group, iso-propyl group, n-butyl group, iso-butyl group, sec-butyl group, tert-butyl group, cyclopentyl group, cyclo It may be a hexyl group or a cycloheptyl group, but is not limited thereto. In one embodiment of the present application, R 3 may be a methyl group or an ethyl group.
  • it may be one selected from the niobium precursor compounds:
  • the niobium precursor compound may be obtained according to a preparation method including the following Reaction Scheme 1, but may not be limited thereto:
  • Step 1 NbX 5 +3 t BuNH 2 +2NC 5 H 5 ⁇ ( t BuN)NbX 3 (NC 5 H 5 ) 2 +2 t BuNH 3 + Cl -
  • Step 2 ( t BuN)NbX 3 (NC 5 H 5 ) 2 + 2MR ⁇ ( t BuN) 2 NbR 2 X +2MX
  • Step 3 ( t BuN) 2 Nb(R 1 ) 2 X +MR' ⁇ ( t BuN) 2 NbR 2 R' + MX
  • X is a halide ion, and may be selected from the group consisting of Cl, Br, and I; M is an alkali metal, and may be selected from the group consisting of Li, Na and K, but is not limited thereto.
  • R and R' is any one selected from A to C defined in Formula I, and is a substituted or unsubstituted linear or branched C 1-10 alkyl group; a substituted or unsubstituted C 3-10 cycloalkyl group; or a substituted or unsubstituted cyclopentadienyl group; When the alkyl group, cycloalkyl group, or cyclopentadienyl group is substituted, it is substituted with a linear or branched C 1-3 alkyl group; However, it may include one or more substituted or unsubstituted cyclopentadienyl groups among A to C.
  • a Grignard reagent such as MeMgC
  • the method for preparing the niobium precursor compound may be carried out in a non-polar solvent such as pentane or hexane, or in a polar solvent such as tetrahydrofuran, diethyl ether, dichloromethane, but is not limited thereto.
  • a non-polar solvent such as pentane or hexane
  • a polar solvent such as tetrahydrofuran, diethyl ether, dichloromethane, but is not limited thereto.
  • the method for preparing the niobium precursor compound may be performed in an inert gas atmosphere such as nitrogen or argon in order to suppress a reaction with moisture or oxygen, but is not limited thereto.
  • a second aspect of the present application provides a precursor composition for film formation, comprising a niobium precursor compound represented by the following formula (I):
  • a to C are each independently, a substituted or unsubstituted linear or branched C 1-10 alkyl group; a substituted or unsubstituted C 3-10 cycloalkyl group; or a substituted or unsubstituted cyclopentadienyl group, and when the alkyl group, cycloalkyl group, or cyclopentadienyl group is substituted, it is substituted with a linear or branched C 1-3 alkyl group, provided that A to C is substituted or at least one unsubstituted cyclopentadienyl group.
  • the niobium precursor compound represented by Formula I may be represented by Formula 1 or Formula 2 below:
  • R 1 and R 2 are each independently hydrogen; Or a linear or branched C 1-3 alkyl group,
  • R 3 is a substituted or unsubstituted linear or branched C 1-10 alkyl group; Or a substituted or unsubstituted C 3-10 cycloalkyl group.
  • R 1 And R 2 are , each independently, hydrogen; Or it may be a methyl group, an ethyl group, an n-propyl group, or an iso-propyl group, but is not limited thereto. In one embodiment of the present application, R 1 and R 2 may each independently be hydrogen or a methyl group.
  • R 3 is a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group , a cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cyclononyl group, a cyclodecyl group, and may be selected from possible isomers thereof, but is not limited thereto.
  • R 3 is a methyl group, ethyl group, n-propyl group, iso-propyl group, n-butyl group, iso-butyl group, sec-butyl group, tert-butyl group, cyclopentyl group, cyclo It may be a hexyl group or a cycloheptyl group, but is not limited thereto. In one embodiment of the present application, R 3 may be a methyl group or an ethyl group.
  • the niobium precursor compound may include one or more selected from the following compounds:
  • the film may be at least one selected from a niobium metal film, a niobium-containing oxide film, a niobium-containing nitride film, and a niobium-containing carbide film, but may not be limited thereto.
  • the niobium-containing oxide film or the niobium-containing nitride film may be variously applied to semiconductors, non-semiconductors, and display devices according to their application purpose, but may not be limited thereto.
  • the precursor composition for film formation may further include one or more nitrogen sources selected from ammonia, nitrogen, hydrazine, and dimethyl hydrazine, but may not be limited thereto.
  • the precursor composition for film formation may further include one or more oxygen sources selected from water vapor, oxygen, and ozone, but may not be limited thereto.
  • a third aspect of the present application provides a method for forming a niobium-containing film, comprising forming a niobium-containing film by using a precursor composition for film formation including a niobium precursor compound represented by the following formula (I):
  • a to C are each independently, a substituted or unsubstituted linear or branched C 1-10 alkyl group; a substituted or unsubstituted C 3-10 cycloalkyl group; or a substituted or unsubstituted cyclopentadienyl group, and when the alkyl group, cycloalkyl group, or cyclopentadienyl group is substituted, it is substituted with a linear or branched C 1-3 alkyl group, provided that A to C is substituted or at least one unsubstituted cyclopentadienyl group.
  • the niobium precursor compound represented by Formula I may be represented by Formula 1 or Formula 2 below:
  • R 1 and R 2 are each independently hydrogen; Or a linear or branched C 1-3 alkyl group,
  • R 3 is a substituted or unsubstituted linear or branched C 1-10 alkyl group; Or a substituted or unsubstituted C 3-10 cycloalkyl group.
  • R 1 And R 2 are , each independently, hydrogen; Or it may be a methyl group, an ethyl group, an n-propyl group, or an iso-propyl group, but is not limited thereto. In one embodiment of the present application, R 1 and R 2 may each independently be hydrogen or a methyl group.
  • R 3 is a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group , a cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cyclononyl group, a cyclodecyl group, and may be selected from possible isomers thereof, but is not limited thereto.
  • R 3 is a methyl group, ethyl group, n-propyl group, iso-propyl group, n-butyl group, iso-butyl group, sec-butyl group, tert-butyl group, cyclopentyl group, cyclo It may be a hexyl group or a cycloheptyl group, but is not limited thereto. In one embodiment of the present application, R 3 may be a methyl group or an ethyl group.
  • the niobium precursor compound may include one or more selected from the following compounds:
  • the film may be at least one selected from a niobium metal film, a niobium-containing oxide film, a niobium-containing nitride film, and a niobium-containing carbide film, but may not be limited thereto.
  • the niobium-containing layer may be deposited by chemical vapor deposition (CVD) or atomic layer deposition (ALD), but may not be limited thereto.
  • the niobium-containing layer may be deposited by metal organometallic chemical vapor deposition (MOCVD) or atomic layer deposition (ALD), but may not be limited thereto.
  • MOCVD metal organometallic chemical vapor deposition
  • ALD atomic layer deposition
  • the chemical vapor deposition method or the atomic layer deposition method may be performed using a deposition apparatus, deposition conditions, and one or more additional reactive gases known in the art, but may not be limited thereto.
  • the method for forming a niobium-containing film includes forming a niobium-containing film on the surface of the substrate by supplying a precursor composition for film formation including a niobium precursor compound to a substrate located in a deposition chamber in a gaseous state.
  • a precursor composition for film formation including a niobium precursor compound to a substrate located in a deposition chamber in a gaseous state.
  • the present invention is not limited thereto.
  • the precursor composition for film formation may further include one or more nitrogen sources selected from ammonia, nitrogen, hydrazine, and dimethyl hydrazine, but may not be limited thereto.
  • the precursor composition for film formation may further include one or more oxygen sources selected from water vapor, oxygen, and ozone, but may not be limited thereto.
  • the niobium-containing film may be formed in a temperature range of room temperature to about 500 °C, but may not be limited thereto.
  • the niobium-containing membrane is at room temperature to about 500°C, room temperature to about 450°C, room temperature to about 400°C, room temperature to about 350°C, room temperature to about 300°C, room temperature to about 250°C, room temperature to about 200°C , room temperature to about 150 °C, room temperature to about 100 °C, about 100 °C to about 500 °C, about 100 °C to about 450 °C, about 100 °C to about 400 °C, about 100 °C to about 350 °C, about 100 °C to about 300 °C, about 100 °C to about 250 °C, about 100 °C to about 200 °C, about 100 °C to about 150 °C, about 150 °C to about 500 °C, about 150 °C to about 450 °C,
  • the niobium-containing film may be formed in a thickness range of about 0.1 nm to about 500 nm, but may be variously applied depending on the application purpose, and may not be limited thereto.
  • the niobium-containing film may be from about 0.1 nm to about 500 nm, from about 0.1 nm to about 400 nm, from about 0.1 nm to about 300 nm, from about 0.1 nm to about 200 nm, from about 0.1 nm to about 100 nm, about 0.1 nm to about 50 nm, about 0.1 nm to about 40 nm, about 0.1 nm to about 30 nm, about 0.1 nm to about 20 nm, about 0.1 nm to about 10 nm, about 1 nm to about 500 nm, about 1 nm to about 400 nm, about 1 nm to about 300 nm, about 1 nm to about 200 nm
  • the niobium-containing film may be formed on one or more substrates selected from conventional silicon semiconductor wafers, compound semiconductor wafers, and plastic substrates (PI, PET, PES, and PEN). , which may not be limited thereto.
  • a substrate having holes or grooves may be used, and a porous substrate having a large surface area may be used, but the present invention may not be limited thereto.
  • the niobium-containing film may be formed on all or part of a substrate simultaneously or sequentially on a substrate to which two or more different types of substrates are contacted or connected, but the present invention may not be limited thereto.
  • the niobium-containing film may be formed on a substrate including irregularities (grooves) having an aspect ratio of about 1 to about 100 and a width of about 10 nm to about 1 ⁇ m, but is limited thereto. it may not be
  • the unevenness (groove) may be in the form of a hole or a trench.
  • the aspect ratio is about 1 or more, about 10 or more, about 30 or more, about 50 or more, about 1 to about 100, about 1 to about 90, about 1 to about 80, about 1 to about 70, about 1 to about 60, about 1 to about 50, about 1 to about 40, about 1 to about 30, about 1 to about 20, about 1 to about 10, about 10 to about 100, about 10 to about 90, about 10 to about 80 , about 10 to about 70, about 10 to about 60, about 10 to about 50, about 10 to about 40, about 10 to about 30, about 10 to about 20, about 20 to about 100, about 20 to about 90, about 20 to about 80, about 20 to about 70, about 20 to about 60, about 20 to about 50, about 20 to about 40, about 20 to about 30, about 30 to about 100, about 30 to about 90, about 30 to about 80, about 30 to about 70, about 30 to about 60, about 30 to about 50, about 30 to about 40, about 40 to about 100, about 40 to about 90, about 40 to about 80, about 40 to about 100, about 40 to about 80, about
  • the width may be from about 10 nm to about 1 ⁇ m, from about 10 nm to about 900 nm, from about 10 nm to about 800 nm, from about 10 nm to about 700 nm, from about 10 nm to about 600 nm, about 10 nm to about 500 nm, about 10 nm to about 400 nm, about 10 nm to about 300 nm, about 10 nm to about 200 nm, about 10 nm to about 100 nm, about 10 nm to about 90 nm, about 10 nm to about 80 nm, about 10 nm to about 70 nm, about 10 nm to about 60 nm, about 10 to about 50 nm, about 10 nm to about 40 nm, about 10 nm to about 30 nm, about 10 nm to about 20 nm, about 20 nm to about 1 ⁇ m, about 20 nm to about 900 nm, about 20
  • the niobium precursor compound of the present invention included in the precursor composition for film deposition is used as a precursor of an atomic layer deposition method or a chemical vapor deposition method due to low density and high thermal stability, and a niobium-containing film
  • a niobium-containing film can be formed on a substrate having a pattern (grooves) on its surface, a porous substrate, or a plastic substrate from room temperature to about 500°C, from about 200°C to about 400°C, from about 300°C to about 400°C, from room temperature to about 500
  • a niobium-containing film having a thickness of several ⁇ m to several tens of nm can be uniformly formed at a temperature range of from about 200° C.
  • the niobium-containing film forming method includes receiving a substrate in a reaction chamber and then transferring the niobium precursor compound onto the substrate using a transport gas or a diluent gas at room temperature to about 500° C., or It is preferred to deposit the niobium-containing thin oxide or nitride thin film at a wide range of deposition temperatures from about 200° C. to about 400° C. However, it may not be limited thereto.
  • the deposition temperature of room temperature to about 500 °C, or about 200 °C to about 400 °C is applicable to various fields because the process temperature that can be applied to memory devices, logic devices, and display devices is wide Because the film properties of these large niobium-containing oxide thin films or nitride thin films are different, a niobium precursor compound that can be used in a wide temperature range is required. It is desirable to do However, it may not be limited thereto.
  • one or more mixed gases selected from argon (Ar), nitrogen (N 2 ), helium (He) or hydrogen (H 2 ) are preferably used as the transport gas or diluent gas do.
  • Ar argon
  • He helium
  • H 2 hydrogen
  • a bubbling method for forcibly vaporizing the precursor using a transport gas and a liquid phase supply at room temperature to vaporize through a vaporizer Various supply methods including LDS (Liquid Delivery System) method and VFC (Vapor Flow Controller) method that directly supply using vapor pressure of precursor can be applied.
  • LDS Liquid Delivery System
  • VFC Vapor Flow Controller
  • a bypass method of heating and vaporizing the vessel may be used.
  • the niobium precursor compound is placed in a bubbler container or VFC container and transported using bubbling or high vapor pressure using a transport gas in a temperature range of about 0.1 torr to about 10 torr, room temperature to about 100° C. can be used.
  • the LDS method in which the niobium precursor compound is supplied in a liquid phase at room temperature and vaporized through a vaporizer may be used. However, it may not be limited thereto.
  • the niobium precursor compound with argon (Ar) or nitrogen (N 2 ) gas, use thermal energy or plasma, or apply a bias on the substrate to vaporize the niobium precursor compound.
  • Ar argon
  • N 2 nitrogen
  • niobium-containing oxide thin film Nb 2 O 5
  • water vapor H 2 O
  • oxygen O 2
  • oxygen plasma O 2 Plasma
  • Nitric Oxide NO, N 2 O
  • Nitric Oxide Plasma N 2 O Plasma
  • Oxygen Nitride N 2 O 2
  • Hydrogen Peroxide H 2 O 2
  • Ozone O 3
  • ammonia (NH 3 ), ammonia plasma (HN 3 Plasma), hydrazine (N 2 H 4 ) as a reaction gas to deposit a niobium-containing nitride thin film (NbN) during the deposition of the niobium-containing film
  • N 2 Plasma nitrogen plasma
  • a fourth aspect of the present application provides a semiconductor device, which is manufactured using a precursor composition for film formation including a niobium precursor compound represented by the following formula (I):
  • a to C are each independently, a substituted or unsubstituted linear or branched C 1-10 alkyl group; a substituted or unsubstituted C 3-10 cycloalkyl group; Or a substituted or unsubstituted cyclopentadienyl group,
  • alkyl group, cycloalkyl group, or cyclopentadienyl group is substituted, it is substituted with a linear or branched C 1-3 alkyl group,
  • it includes at least one substituted or unsubstituted cyclopentadienyl group among A to C.
  • the niobium precursor compound represented by Formula I may be represented by Formula 1 or Formula 2 below:
  • R 1 and R 2 are each independently hydrogen; Or a linear or branched C 1-3 alkyl group,
  • R 3 is a substituted or unsubstituted linear or branched C 1-10 alkyl group; Or a substituted or unsubstituted C 3-10 cycloalkyl group.
  • R 1 And R 2 are , each independently, hydrogen; Or it may be a methyl group, an ethyl group, an n-propyl group, or an iso-propyl group, but is not limited thereto. In one embodiment of the present application, R 1 and R 2 may each independently be hydrogen or a methyl group.
  • R 3 is a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group , a cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cyclononyl group, a cyclodecyl group, and may be selected from possible isomers thereof, but is not limited thereto.
  • R 3 is a methyl group, ethyl group, n-propyl group, iso-propyl group, n-butyl group, iso-butyl group, sec-butyl group, tert-butyl group, cyclopentyl group, cyclo It may be a hexyl group or a cycloheptyl group, but is not limited thereto. In one embodiment of the present application, R 3 may be a methyl group or an ethyl group.
  • the niobium precursor compound may include one or more selected from the following compounds:
  • Boiling Point (bp) 95°C(0.3 torr)
  • Boiling Point (bp) 60°C (0.25 torr)
  • thermogravimetry analysis was performed to analyze the basic thermal properties of the niobium precursor compounds prepared in Examples 1, 2, and 4, and the results were compared with the previously known niobium precursor compounds.
  • tert-butylimino-cyclopentadienyl-bis(dimethylamino)niobium (V) [(tert-butylimino)cyclopentadienyl bis(dimethylamino)niobium, ( t BuN)CpNb(NMe 2 ) 2 ]
  • tert-butylimino Mino-cyclopentadienyl-bis(diethylamino)niobium [(tert-butylimino)cyclopentadienyl bis(diethylamino) niobium, (tBuN)CpNb(NEt 2 ) 2 ] is shown in FIG. 1 as compared with.
  • the niobium precursor compounds prepared in Examples 1 and 4 show similar or high volatility compared to the previously disclosed niobium precursors.
  • the niobium precursor compounds of the present invention volatilize cleanly without thermal decomposition and exhibit high volatility, thereby confirming that they are excellent precursors capable of forming a niobium-containing oxide film.
  • a niobium oxide layer was formed by atomic layer deposition (ALD) using the niobium precursor compounds (t BuN)Cp 2 NbMe and ( t BuN)CpNbMe 2 prepared in Examples 1 and 4 above.
  • As the reaction gas ozone (O 3 ) gas was used. After immersing the silicon wafer in piranha solution in which sulfuric acid (H 2 SO 4 ) and hydrogen peroxide (H 2 O 2 ) were mixed in a ratio of 4:1 for 10 minutes, take it out, and then immerse in dilute HF aqueous solution for 2 minutes to obtain silicon oxide A silicon piece wafer substrate from which the film was removed was used.
  • the film formation temperature was heated to 300°C, 315°C, 330°C, 340°C, and 350°C, respectively.
  • (t BuN)Cp 2 NbMe and ( t BuN)CpNbMe 2 contained in a stainless steel container were heated to 85 ° C and 65 ° C, respectively, and vaporized using argon (Ar) gas having a flow rate of 60 sccm as a carrier gas.
  • Ar argon
  • the composition of the niobium oxide film formed at 340° C. was analyzed by X-ray photoelectron spectroscopy (XPS) depth profile.
  • the XPS depth analysis result of the niobium oxide film formed using the niobium precursor compound (t BuN)Cp 2 NbMe prepared in Example 1 is shown in FIG. 2
  • the niobium precursor compound (t BuN)CpNbMe 2 prepared in Example 4 The XPS depth analysis result of the niobium oxide film formed using Referring to FIGS. 2 and 3 , it can be confirmed that a niobium oxide film having a composition close to that of Nb 2 O 5 is formed because the niobium content is about 30% and the oxygen content is about 70% in the film.
  • the thickness of the niobium oxide film formed at each temperature was measured with an ellipsometer, and the film growth per ALD raw material supply cycle is shown in FIG. 4 .
  • the atomic layer deposition results using previously disclosed niobium precursor compounds ( t BuN)CpNb(NMe 2 ) 2 and ( t BuN)CpNb(NEt 2 ) 2 are shown in FIG. 4 together.
  • the niobium oxide film growth per ALD gas supply cycle is constant in the range from 300°C to 350°C.
  • the niobium oxide film growth per ALD gas supply cycle increases with increasing temperature. Therefore, when the niobium oxide film is formed using the niobium precursor compound prepared according to Example 1, it is advantageous to form the niobium oxide film with a constant thickness despite the temperature change.
  • niobium oxide film formed by atomic layer deposition using a niobium compound (t BuN)Cp 2 NbMe prepared according to Example 1 of the present invention and a conventionally disclosed niobium precursor compound (t BuN)CpNb(NMe 2 ) ) 2 and ( t BuN)CpNb(NEt 2 ) 2 are graphs showing the results of analyzing the carbon content according to the depth of each niobium oxide film formed by the atomic layer deposition method using secondary ion mass spectrometry (SIMS).
  • SIMS secondary ion mass spectrometry
  • the niobium oxide film formed using the niobium precursor compound prepared by the method of Example 1 is a niobium precursor compound ( t BuN)CpNb(NMe 2 ) 2 and ( t BuN)CpNb disclosed in the prior art. Since the carbon content is lower than that of the niobium oxide film formed using (NEt 2 ) 2 , it can be expected that the leakage current will be small when used as a dielectric film or an insulating film of a semiconductor device.
  • a niobium oxide film formed by atomic layer deposition using the niobium compound (t BuN)Cp 2 NbMe prepared according to Example 1 of the present invention and the conventionally disclosed niobium precursor compound (t BuN)CpNb(NMe 2 ) 2 were used.
  • a niobium oxide film was deposited with a target thickness of 70 ⁇ on a hole pattern wafer having an aspect ratio of 40:1. .
  • a niobium oxide film was formed by depositing an ALD raw material supply cycle consisting of a supply time of niobium compounds for 15 seconds, an Ar gas purge time of 10 seconds, an O 3 gas supply time of 8 seconds, and an Ar gas purge time of 7.5 seconds to a thickness of about 70 ⁇ .
  • the results are shown in Table 1.
  • top the outermost portion of the groove or hole in the pattern wafer substrate; middle: the inner middle portion of the groove or hole in the pattern wafer substrate; bottom: the inner bottom portion of the groove or hole in the pattern wafer substrate)
  • the step coverage of the niobium oxide film formed using the niobium precursor compound prepared according to Example 1 is 97.44% and 104.56% in the middle part and the end part, respectively, depending on the position of the substrate.
  • the niobium precursor compound ( t BuN)Cp 2 NbMe of the present invention has excellent step coverage, so it is suitable for application to DRAM capacitors with a large step ratio, and it can be deposited evenly from the top to the bottom to minimize leakage current. It can be expected that there will be

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)

Abstract

본원은 니오븀 전구체 화합물, 상기 니오븀 전구체 화합물을 포함하는 막 형성용 전구체 조성물, 및 상기 막 형성용 전구체 조성물을 이용하여 니오븀-함유 막의 형성하는 방법에 관한 것이다.

Description

니오븀 전구체 화합물, 이를 포함하는 막 형성용 전구체 조성물, 및 니오븀-함유 막 형성 방법
본원은 니오븀 전구체 화합물, 상기 니오븀 전구체 화합물을 포함하는 막 형성용 전구체 조성물, 상기 막 형성용 전구체 조성물을 이용하여 니오븀-함유 막의 형성하는 방법, 및 상기 막 형성용 전구체 조성물을 이용하여 제조하는 반도체 소자에 관한 것이다.
니오븀-함유 산화막은 차세대 반도체 DRAM소자의 고유전율 캐패시터의 유전 물질(dielectric material)로서 사용될 수 있으며, 저항 메모리 (ReRAM) 소자에서는 스위칭 물질 (Switching Material)로서 사용될 수 있다.
현재 메모리 분야의 DRAM 및 비메모리 분야의 로직 메모리(logic memory)는 물리적 한계에 도달해 있고, 이 한계를 극복하기 위해서 높은 종횡비 (high aspect ratio), 및 3차원 구조로 제품을 만들고 있어, 종횡비가 매우 큰 3차원 구조에 균일한 두께의 니오븀-함유 막을 형성할 필요가 커지고 있다. 요철이 있는 표면에 균일한 두께의 막을 형성하는 원자층 증착법(atomic layer deposition, ALD)이 알려져 있다. 기존에 알려진 니오븀 화합물들은 열적 안정성이 높지 않아서, 차세대 반도체 소자에 필요한 니오븀 함유-막을 고온에서 형성하는데 사용하기 어렵다.
[선행기술문헌]
(특허문헌 1)미국등록특허공보 제 7,482,037호.
본원은 니오븀 화합물, 상기 니오븀 화합물을 포함하는 막 형성용 전구체 조성물, 상기 막 형성용 전구체 조성물을 이용하여 니오븀-함유 막의 형성하는 방법, 및 상기 막 형성용 전구체 조성물을 이용하여 제조하는 반도체 소자를 제공하고자 한다.
본원은 열 안정성이 높은 니오븀 전구체 화합물, 그 제조 방법, 상기 니오븀 전구체 화합물을 포함하는 막 형성용 전구체 조성물, 상기 전구체 조성물을 이용하여 니오븀-함유 막을 형성하는 방법, 및 상기 막 형성용 전구체 조성물을 이용하여 제조하는 반도체 소자를 제공하는데 목적이 있으며, 특히, 원자층 증착법에 의해 니오븀-함유 막을 형성하는 방법을 제공하고자 한다.
그러나, 본원이 해결하고자 하는 과제는 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 통상의 기술자에게 명확하게 이해될 수 있을 것이다.
본원의 제 1 측면은, 하기 화학식 Ⅰ로서 표시되는, 니오븀 전구체 화합물을 제공한다:
[화학식 Ⅰ]
Figure PCTKR2021009572-appb-img-000001
;
상기 화학식 Ⅰ에서, A 내지 C는, 각각 독립적으로, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고, 상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며, 단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함함.
본원의 제 2 측면은, 하기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물을 포함하는, 막 형성용 전구체 조성물을 제공한다:
[화학식 Ⅰ]
Figure PCTKR2021009572-appb-img-000002
;
상기 화학식 Ⅰ에서, A 내지 C는, 각각 독립적으로, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고, 상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며, 단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함함.
본원의 제 3 측면은, 하기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물을 포함하는 막 형성용 전구체 조성물을 이용하여 니오븀-함유 막을 형성하는 것을 포함하는, 니오븀-함유 막 형성 방법을 제공한다:
[화학식 Ⅰ]
Figure PCTKR2021009572-appb-img-000003
;
상기 화학식 Ⅰ에서, A 내지 C는, 각각 독립적으로, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고, 상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며, 단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함함.
본원의 제 4 측면은, 하기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물을 포함하는 막 형성용 전구체 조성물을 이용하여 제조하는, 반도체 소자를 제공한다:
[화학식 Ⅰ]
Figure PCTKR2021009572-appb-img-000004
;
상기 화학식 Ⅰ에서, A 내지 C는, 각각 독립적으로, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고, 상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며, 단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함함.
본원의 구현예들에 따른 니오븀 전구체 화합물을 이용하는 박막 증착 방법은 니오븀 전구체 화합물을 원자층 증착법에 의해 니오븀 함유 막을 증착 시킬 수 있으며, 상기 니오븀 전구체 화합물을 이용하는 본 발명의 박막 증착 방법에 의해 넓은 범위로 공정 온도를 조절하면서도 두께 및 조성을 정확히 제어할 수 있다. 또한, 복잡한 형상의 기판에서도 우수한 피복성 및 균일한 조성물을 형성할 수 있으며, 이에 따라 반도체 소자의 특성을 향상시킬 수 있다. 구체적으로, 상기 니오븀 전구체 화합물을 포함하는 전구체 조성물을 이용하여 형성되는 니오븀-함유 막은 홈 또는 요철(trench)가 존재하는 기재에 막 형성 온도 및 가스 공급 주기와 관계없이 균일한 두께로 막을 형성할 수 있다. 특히, 메모리 소자 및 로직 소자의 스케일-다운 (scale-down)과 디스플레이 소자 등의 적용 분야에서도 매우 얇은 두께에서 우수한 물성 및 피복성을 요구하는 전자 소자에서 매우 효과적으로 적용될 수 있다.
본원의 구현예들에 따른 니오븀 전구체 화합물은 종래의 니오븀 전구체의 휘발성과 동등 또는 그 이상의 휘발성을 보유하며, 열분해없이 깨끗하게 휘발된다. 또한, 상기 니오븀 전구체 화합물을 포함하는 전구체 조성물을 이용하면 상온 내지 약 500℃, 또는 약 300℃ 내지 약 400℃의 온도에서도 원자층 증착법으로 니오븀-함유 막을 형성할 수 있다. 니오븀 산화막은 반도체 소자 또는 디스플레이 소자의 고유전 물질(high-k dielectric material)로 사용될 수 있다.
또한, 본원의 구현예들에 따른 니오븀 전구체 화합물을 포함하는 막 형성용 전구체 조성물을 이용하여 형성된 니오븀 산화막은 종래에 공개된 니오븀 전구체를 이용하여 형성된 니오븀 산화막보다 적은 탄소를 함유하고 있어, 반도체 소자의 유전막 또는 절연막으로써 사용될 때 누설 전류가 적을 수 있다.
도 1은, 본 발명의 실시예 1, 2 및 4에 따라 제조된 니오븀 전구체 화합물들과 종래에 공개된 화합물인 (tBuN)Nb(Cp)(NMe2)2 및 (tBuN)Nb(Cp)(NEt2)2의 열무게분석(TGA) 결과를 나타낸 그래프이다.
도 2는, 본 발명의 실시예 1에 의해 제조된 니오븀 화합물 (tBuN)NbCp2(Me)을 사용하여 원자층증착법으로 형성한 니오븀 산화막의 X-선 광전자 분광법 깊이 분석 결과를 나타낸 그래프이다.
도 3은, 본 발명의 실시예 4에 의해 제조된 니오븀 화합물 (tBuN)NbCpMe2을 사용하여 원자층증착법으로 형성한 니오븀 산화막의 X-선 광전자 분광법 깊이 분석 결과를 나타낸 그래프이다.
도 4는, 본 발명의 실시예 1에 따라 제조된 니오븀 화합물 (tBuN)Nb (Cp)2(Me)을 사용한 니오븀 산화막 원자층 증착 결과와 종래에 공개된 니오븀 전구체 화합물 (tBuN)Nb(Cp)(NMe2)2, (tBuN) Nb(Cp)(NEt2)2를 사용한 니오븀 산화막 원자층 증착 결과를 기판 온도에 따라 나타낸 그래프이다.
도 5는, 본 발명의 실시예 1에 따라 제조된 니오븀 화합물 (tBuN)NbCp2(Me)을 사용하여 원자층 증착법으로 형성한 니오븀 산화막과 종래에 공개된 니오븀 전구체 화합물 (tBuN)Nb(Cp)(NMe2)2 및 (tBuN) Nb(Cp)(NEt2)2를 사용하여 원자층 증착법으로 형성한 니오븀 산화막의 깊이에 따른 탄소 함량을 이차이온질량분석기(SIMS)로 분석한 결과를 나타낸 그래프이다.
이하, 첨부한 도면을 참조하여 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 본원의 구현예 및 실시예를 상세히 설명한다. 그러나 본원은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 구현예 및 실시예에 한정되지 않는다. 그리고 도면에서 본 발명을 명확하게 설명하기 위해서 설명과 관계없는 부분은 생략하였으며, 명세서 전체를 통하여 유사한 부분에 대해서는 유사한 도면 부호를 붙였다.
본원 명세서 전체에서, 어떤 부분이 다른 부분과 "연결"되어 있다고 할 때, 이는 "직접적으로 연결"되어 있는 경우뿐 아니라, 그 중간에 다른 소자를 사이에 두고 "전기적으로 연결"되어 있는 경우도 포함한다.
본원 명세서 전체에서, 어떤 부재가 다른 부재 "상에" 위치하고 있다고 할 때, 이는 어떤 부재가 다른 부재에 접해 있는 경우뿐 아니라 두 부재 사이에 또 다른 부재가 존재하는 경우도 포함한다.
본원 명세서 전체에서, 어떤 부분이 어떤 구성요소를 "포함"한다고 할 때, 이는 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성 요소를 더 포함할 수 있는 것을 의미한다.
본 명세서에서 사용되는 정도의 용어 "약", "실질적으로" 등은 언급된 의미에 고유한 제조 및 물질 허용오차가 제시될 때 그 수치에서 또는 그 수치에 근접한 의미로 사용되고, 본원의 이해를 돕기 위해 정확하거나 절대적인 수치가 언급된 개시 내용을 비양심적인 침해자가 부당하게 이용하는 것을 방지하기 위해 사용된다.
본원 명세서 전체에서 사용되는 정도의 용어 “~ 하는 단계” 또는 “~의 단계”는 “~를 위한 단계”를 의미하지 않는다.
본원 명세서 전체에서, 마쿠시 형식의 표현에 포함된 "이들의 조합(들)"의 용어는 마쿠시 형식의 표현에 기재된 구성 요소들로 이루어진 군에서 선택되는 하나 이상의 혼합 또는 조합을 의미하는 것으로서, 상기 구성 요소들로 이루어진 군에서 선택되는 하나 이상을 포함하는 것을 의미한다.
본원 명세서 전체에서, "A 및/또는 B"의 기재는, "A 또는 B, 또는 A 및 B"를 의미한다.
본원 명세서 전체에서, 용어 "알킬" 또는 "알킬기"는, 1 내지 12 개의 탄소 원자, 1 내지 10 개의 탄소 원자, 1 내지 8 개의 탄소 원자, 또는 1 내지 5 개의 탄소 원자를 갖는 선형 또는 분지형 알킬기 및 이들의 모든 가능한 이성질체를 포함한다. 예를 들어, 상기 알킬 또는 알킬기는 메틸기(Me), 에틸기(Et), n-프로필기(nPr), iso-프로필기(iPr), n-부틸기(nBu), iso-부틸기(iBu), tert-부틸기(tert-Bu, tBu), sec-부틸기(sec-Bu, secBu), n-펜틸기(nPe), iso-펜틸기(isoPe), sec-펜틸기(secPe), tert-펜틸기(tPe), neo-펜틸기(neoPe), 3-펜틸기, n-헥실기, iso-헥실기, 헵틸기, 4,4-디메틸펜틸기, 옥틸기, 2,2,4-트리메틸펜틸기, 노닐기, 데실기, 운데실기, 도데실기, 및 이들의 이성질체들 등을 들 수 있으나, 이에 제한되지 않을 수 있다.
본원 명세서 전체에서, 용어 "막"은 "막" 또는 "박막"을 의미한다.
본원 명세서 전체에서, 시클로펜타디에닐기(cyclopentadienyl group)는 약어 "Cp"로도 표시될 수 있다.
이하, 본원의 구현예를 상세히 설명하였으나, 본원이 이에 제한되지 않을 수 있다.
본원의 제 1 측면은, 하기 화학식 Ⅰ로서 표시되는, 니오븀 전구체 화합물을 제공한다:
[화학식 Ⅰ]
Figure PCTKR2021009572-appb-img-000005
;
상기 화학식 Ⅰ에서,
A 내지 C는, 각각 독립적으로, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고,
상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며,
단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함함.
본원의 일 구현예에 있어서, 상기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물은 하기 화학식 1 또는 하기 화학식 2로서 표시되는 것일 수 있다:
[화학식 1]
Figure PCTKR2021009572-appb-img-000006
;
[화학식 2]
Figure PCTKR2021009572-appb-img-000007
;
상기 화학식 1 또는 상기 화학식 2에서,
R1 및 R2는, 각각 독립적으로, 수소; 또는 선형 또는 분지형의 C1-3 알킬기이고,
R3는 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 또는 치환 또는 비치환된 C3-10 시클로알킬기임.
본원의 일 구현예에 있어서, R1 및 R2는, 각각 독립적으로, 수소; 또는 메틸기, 에틸기, n-프로필기, 또는 iso-프로필기일 수 있으나, 이에 제한되는 것은 아니다. 본원의 일 구현예에 있어서, R1 및 R2는, 각각 독립적으로, 수소 또는 메틸기일 수 있다.
본원의 일 구현예에 있어서, R3는 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸기, 시클로노닐기, 시클로데실기, 및 이의 가능한 이성질체들 중 선택되는 것일 수 있으나, 이에 제한되는 것은 아니다. 본원의 일 구현예에 있어서, R3는 메틸기, 에틸기, n-프로필기, iso-프로필기, n-부틸기, iso-부틸기, sec-부틸기, tert-부틸기, 시클로펜틸기, 시클로헥실기, 또는 시클로헵틸기일 수 있으나, 이에 제한되는 것은 아니다. 본원의 일 구현예에 있어서, R3는 메틸기 또는 에틸기일 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀 전구체 화합물에서 선택되는 것일 수 있다:
[화학식 3]
Figure PCTKR2021009572-appb-img-000008
;
[화학식 4]
Figure PCTKR2021009572-appb-img-000009
;
[화학식 5]
Figure PCTKR2021009572-appb-img-000010
;
[화학식 6]
Figure PCTKR2021009572-appb-img-000011
.
본원의 일 구현예에 있어서, 상기 니오븀 전구체 화합물은 하기 반응식 1을 포함하는 제조방법에 따라 수득될 수 있으나, 이에 제한되지 않을 수 있다:
[반응식 1]
1 단계: NbX5 +3tBuNH2 +2NC5H5 → (tBuN)NbX3(NC5H5)2 +2 tBuNH3 +Cl-
2 단계: (tBuN)NbX3(NC5H5)2 + 2MR → (tBuN)2NbR2X +2MX
3 단계: (tBuN)2Nb(R1)2X +MR' → (tBuN)2NbR2R' + MX
상기 반응식 1에서, X는 할라이드 이온으로서, Cl, Br 및 I로 이루어진 군으로부터 선택되는 것일 수 있고; M은 알칼리 금속으로서, Li, Na 및 K로 이루어진 군으로부터 선택되는 것일 수 있으나, 이에 제한되는 것은 아니다. 또한, 상기 반응식 1에서, R R'은 상기 화학식 Ⅰ에서 정의되는 A 내지 C 중 선택되는 어느 하나로서, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고; 상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며; 단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함할 수 있다. 여기서, 메틸리튬, 에틸리튬 같은 알칼리 금속 화합물 대신 MeMgCl, MeMgBr, EtMgCl, EtMgBr 같은 그리냐드 시약(Grignard reagent)을 사용할 수도 있다.
본원의 일 구현예에 있어서, 상기 니오븀 전구체 화합물의 제조 방법은 펜탄 또는 헥산 등 비극성 용매, 또는 테트라하이드로퓨란, 디에틸에테르, 디클로로메탄 등 극성 용매 하에서 수행될 수 있으나, 이에 제한되는 것은 아니다.
본원의 일 구현예에 있어서, 상기 니오븀 전구체 화합물의 제조 방법은 수분이나 산소 등과의 반응을 억제하기 위하여 질소 또는 아르곤 등 비활성 기체 분위기에서 수행하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본원의 제 2 측면은, 하기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물을 포함하는, 막 형성용 전구체 조성물을 제공한다:
[화학식 Ⅰ]
Figure PCTKR2021009572-appb-img-000012
;
상기 화학식 Ⅰ에서, A 내지 C는, 각각 독립적으로, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고, 상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며, 단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함함.
본원의 제 1 측면과 중복되는 부분들에 대해서는 상세한 설명을 생략하였으나, 본원의 제 1 측면에 대해 설명한 내용은 본원의 제 2 측면에서 그 설명이 생략되었더라도 동일하게 적용될 수 있다.
본원의 일 구현예에 있어서, 상기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물은 하기 화학식 1 또는 하기 화학식 2로서 표시되는 것일 수 있다:
[화학식 1]
Figure PCTKR2021009572-appb-img-000013
;
[화학식 2]
Figure PCTKR2021009572-appb-img-000014
;
상기 화학식 1 또는 상기 화학식 2에서,
R1 및 R2는, 각각 독립적으로, 수소; 또는 선형 또는 분지형의 C1-3 알킬기이고,
R3는 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 또는 치환 또는 비치환된 C3-10 시클로알킬기임.
본원의 일 구현예에 있어서, R1 및 R2는, 각각 독립적으로, 수소; 또는 메틸기, 에틸기, n-프로필기, 또는 iso-프로필기일 수 있으나, 이에 제한되는 것은 아니다. 본원의 일 구현예에 있어서, R1 및 R2는, 각각 독립적으로, 수소 또는 메틸기일 수 있다.
본원의 일 구현예에 있어서, R3는 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸기, 시클로노닐기, 시클로데실기, 및 이의 가능한 이성질체들 중 선택되는 것일 수 있으나, 이에 제한되는 것은 아니다. 본원의 일 구현예에 있어서, R3는 메틸기, 에틸기, n-프로필기, iso-프로필기, n-부틸기, iso-부틸기, sec-부틸기, tert-부틸기, 시클로펜틸기, 시클로헥실기, 또는 시클로헵틸기일 수 있으나, 이에 제한되는 것은 아니다. 본원의 일 구현예에 있어서, R3는 메틸기 또는 에틸기일 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀 전구체 화합물은 하기 화합물에서 선택되는 하나 이상을 포함하는 것일 수 있다:
[화학식 3]
Figure PCTKR2021009572-appb-img-000015
;
[화학식 4]
Figure PCTKR2021009572-appb-img-000016
;
[화학식 5]
Figure PCTKR2021009572-appb-img-000017
;
[화학식 6]
Figure PCTKR2021009572-appb-img-000018
.
본원의 일 구현예에 있어서, 상기 막은 니오븀 금속 막, 니오븀-함유 산화 막, 니오븀-함유 질화 막, 및 니오븀-함유 탄화 막에서 선택되는 하나 이상인 것일 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀-함유 산화 막 또는 상기 니오븀-함유 질화 막은 반도체 및 비 반도체, 디스플레이 소자에 그 적용 용도에 따라 다양하게 응용될 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 막 형성용 전구체 조성물은 암모니아, 질소, 히드라진, 및 디메틸 히드라진에서 선택되는 하나 이상의 질소원을 추가 포함할 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 막 형성용 전구체 조성물은 수증기, 산소, 및 오존에서 선택되는 하나 이상의 산소원을 추가 포함할 수 있으나, 이에 제한되지 않을 수 있다.
본원의 제 3 측면은, 하기 화학식 Ⅰ로서 표시되는니오븀 전구체 화합물을 포함하는 막 형성용 전구체 조성물을 이용하여 니오븀-함유 막을 형성하는 것을 포함하는, 니오븀-함유 막 형성 방법을 제공한다:
[화학식 Ⅰ]
Figure PCTKR2021009572-appb-img-000019
;
상기 화학식 Ⅰ에서, A 내지 C는, 각각 독립적으로, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고, 상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며, 단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함함.
본원의 제 1 측면 및 제 2 측면과 중복되는 부분들에 대해서는 상세한 설명을 생략하였으나, 본원의 제 1 측면 및 제 2 측면에 대해 설명한 내용은 본원의 제 3 측면에서 그 설명이 생략되었더라도 동일하게 적용될 수 있다.
본원의 일 구현예에 있어서, 상기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물은 하기 화학식 1 또는 하기 화학식 2로서 표시되는 것일 수 있다:
[화학식 1]
Figure PCTKR2021009572-appb-img-000020
;
[화학식 2]
Figure PCTKR2021009572-appb-img-000021
;
상기 화학식 1 또는 상기 화학식 2에서,
R1 및 R2는, 각각 독립적으로, 수소; 또는 선형 또는 분지형의 C1-3 알킬기이고,
R3는 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 또는 치환 또는 비치환된 C3-10 시클로알킬기임.
본원의 일 구현예에 있어서, R1 및 R2는, 각각 독립적으로, 수소; 또는 메틸기, 에틸기, n-프로필기, 또는 iso-프로필기일 수 있으나, 이에 제한되는 것은 아니다. 본원의 일 구현예에 있어서, R1 및 R2는, 각각 독립적으로, 수소 또는 메틸기일 수 있다.
본원의 일 구현예에 있어서, R3는 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸기, 시클로노닐기, 시클로데실기, 및 이의 가능한 이성질체들 중 선택되는 것일 수 있으나, 이에 제한되는 것은 아니다. 본원의 일 구현예에 있어서, R3는 메틸기, 에틸기, n-프로필기, iso-프로필기, n-부틸기, iso-부틸기, sec-부틸기, tert-부틸기, 시클로펜틸기, 시클로헥실기, 또는 시클로헵틸기일 수 있으나, 이에 제한되는 것은 아니다. 본원의 일 구현예에 있어서, R3는 메틸기 또는 에틸기일 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀 전구체 화합물은 하기 화합물에서 선택되는 하나 이상을 포함하는 것일 수 있다:
[화학식 3]
Figure PCTKR2021009572-appb-img-000022
;
[화학식 4]
Figure PCTKR2021009572-appb-img-000023
;
[화학식 5]
Figure PCTKR2021009572-appb-img-000024
;
[화학식 6]
Figure PCTKR2021009572-appb-img-000025
.
본원의 일 구현예에 있어서, 상기 막은 니오븀 금속 막, 니오븀-함유 산화 막, 니오븀-함유 질화 막, 및 니오븀-함유 탄화 막에서 선택되는 하나 이상인 것일 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀-함유 막은 화학기상 증착법(CVD) 또는 원자층 증착법(ALD)에 의해 증착되는 것일 수 있으나, 이에 제한되지 않을 수 있다. 상기 니오븀-함유 막은 유기금속 화학기상증착법 (MOCVD) 또는 원자층 증착법(ALD)에 의해 증착되는 것일 수 있으나, 이에 제한되지 않을 수 있다. 본원의 일 구현예에 있어서, 화학기상증착법 또는 원자층 증착법은 본 기술분야에 공지된 증착 장치, 증착 조건, 및 하나 이상의 추가 반응기체 등을 이용하여 수행될 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀-함유 막 형성 방법은 증착 챔버 내에 위치한 기재에 니오븀 전구체 화합물을 포함하는 막 형성용 전구체 조성물을 기체 상태로 공급하여 기재 표면에 니오븀-함유 막을 형성하는 것을 포함하나, 이에 제한되는 것은 아니다.
본원의 일 구현예에 있어서, 상기 막 형성용 전구체 조성물은 암모니아, 질소, 히드라진, 및 디메틸 히드라진에서 선택되는 하나 이상의 질소원을 추가 포함할 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 막 형성용 전구체 조성물은 수증기, 산소, 및 오존에서 선택되는 하나 이상의 산소원을 추가 포함할 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀-함유 막은 상온 내지 약 500℃의 온도 범위에서 형성되는 것일 수 있으나, 이에 제한되지 않을 수 있다. 예를 들어, 상기 니오븀-함유 막은 상온 내지 약 500℃, 상온 내지 약 450℃, 상온 내지 약 400℃, 상온 내지 약 350℃, 상온 내지 약 300℃, 상온 내지 약 250℃, 상온 내지 약 200℃, 상온 내지 약 150℃, 상온 내지 약 100℃, 약 100℃ 내지 약 500℃, 약 100 ℃ 내지 약 450℃, 약 100℃ 내지 약 400℃, 약 100℃ 내지 약 350℃, 약 100℃ 내지 약 300℃, 약 100℃ 내지 약 250℃, 약 100℃ 내지 약 200℃, 약 100℃ 내지 약 150℃, 약 150℃ 내지 약 500℃, 약 150℃ 내지 약 450℃, 약 150℃ 내지 약 400℃, 약 150℃ 내지 약 350℃, 약 150℃ 내지 약 300℃, 약 150℃ 내지 약 250℃, 약 150℃ 내지 약 200℃, 약 200℃ 내지 약 500℃, 약 200℃ 내지 약 450℃, 약 200℃ 내지 약 400℃, 약 200℃ 내지 약 350℃, 약 200℃ 내지 약 300℃, 약 200℃ 내지 약 250℃, 약 250℃ 내지 약 500℃, 약 250℃ 내지 약 450℃, 약 250℃ 내지 약 400℃, 약 250℃ 내지 약 350℃, 약 250℃ 내지 약 300℃, 약 300℃ 내지 약 500℃, 약 300℃ 내지 약 450℃, 약 300℃ 내지 약 400℃, 약 300℃ 내지 약 350℃, 약 350℃ 내지 약 500℃, 약 350℃ 내지 약 450℃, 약 350℃ 내지 약 400℃, 약 400℃ 내지 약 500℃, 약 400℃ 내지 약 450℃, 또는 약 450℃ 내지 약 500℃의 온도 범위에서 형성되는 것일 수 있으나, 이에 제한되지 않을 수 있다. 본원의 일 구현예에 있어서, 상기 니오븀-함유 막은 약 200℃ 내지 약 400℃, 또는 약 300℃ 내지 약 400℃의 온도 범위에서 형성되는 것일 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀-함유 막은 약 0.1 nm 내지 약 500 nm의 두께 범위에서 형성되는 것일 수 있으나, 적용 용도에 따라 다양하게 응용될 수 있으며, 이에 제한되지 않을 수 있다. 예를 들어, 상기 니오븀-함유 막은 약 0.1 nm 내지 약 500 nm, 약 0.1 nm 내지 약 400 nm, 약 0.1 nm 내지 약 300 nm, 약 0.1 nm 내지 약 200 nm, 약 0.1 nm 내지 약 100 nm, 약 0.1 nm 내지 약 50 nm, 약 0.1 nm 내지 약 40 nm, 약 0.1 nm 내지 약 30 nm, 약 0.1 nm 내지 약 20 nm, 약 0.1 nm 내지 약 10 nm, 약 1 nm 내지 약 500 nm, 약 1 nm 내지 약 400 nm, 약 1 nm 내지 약 300 nm, 약 1 nm 내지 약 200 nm, 약 1 nm 내지 약 100 nm, 약 1 nm 내지 약 50 nm, 약 1 nm 내지 약 40 nm, 약 1 nm 내지 약 30 nm, 약 1 nm 내지 약 20 nm, 약 1 nm 내지 약 10 nm, 약 10 nm 내지 약 500 nm, 약 10 nm 내지 약 400 nm, 약 10 nm 내지 약 300 nm, 약 10 nm 내지 약 200 nm, 약 10 nm 내지 약 100 nm, 약 10 nm 내지 약 50 nm, 약 10 nm 내지 약 40 nm, 약 10 nm 내지 약 30 nm, 약 10 nm 내지 약 20 nm, 약 20 nm 내지 약 500 nm, 약 20 nm 내지 약 400 nm, 약 20 nm 내지 약 300 nm, 약 20 nm 내지 약 200 nm, 약 20 nm 내지 약 100 nm, 약 20 nm 내지 약 50 nm, 약 20 nm 내지 약 40 nm, 약 20 nm 내지 약 30 nm, 약 30 nm 내지 약 500 nm, 약 30 nm 내지 약 400 nm, 약 30 nm 내지 약 300 nm, 약 30 nm 내지 약 200 nm, 약 30 nm 내지 약 100 nm, 약 30 nm 내지 약 50 nm, 약 30 nm 내지 약 40 nm, 약 40 nm 내지 약 500 nm, 약 40 nm 내지 약 400 nm, 약 40 nm 내지 약 300 nm, 약 40 nm 내지 약 200 nm, 약 40 nm 내지 약 100 nm, 약 40 nm 내지 약 50 nm, 약 50 nm 내지 약 500 nm, 약 50 nm 내지 약 400 nm, 약 50 nm 내지 약 300 nm, 약 50 nm 내지 약 200 nm, 약 50 nm 내지 약 100 nm, 약 100 nm 내지 약 500 nm, 약 100 nm 내지 약 400 nm, 약 100 nm 내지 약 300 nm, 약 100 nm 내지 약 200 nm, 약 200 nm 내지 약 500 nm, 약 200 nm 내지 약 400 nm, 약 200 nm 내지 약 300 nm, 약 300 nm 내지 약 500 nm, 약 300 nm 내지 약 400 nm, 또는 약 400 nm 내지 약 500 nm의 두께 범위에서 형성되는 것일 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀-함유 막은 통상적인 실리콘 반도체 웨이퍼, 화합물 반도체 웨이퍼, 및 플라스틱 기판들(PI, PET, PES, 및 PEN)에서 선택되는 하나 이상의 기재 상에 형성될 수 있는 것이나, 이에 제한되지 않을 수 있다. 또한, 구멍이나 홈이 있는 기재를 사용할 수도 있으며, 표면적이 넓은 다공질의 기재를 사용할 수 있으나, 이에 제한되지 않을 수 있다. 또한, 서로 다른 두 종류 이상의 기재가 접촉 또는 연결되어 있는 기재에 동시에 또는 순차적으로 기재 전체 또는 일부에 대하여 상기 니오븀-함유 막이 형성될 수 있는 것이나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀-함유 막은 종횡비가 약 1 내지 약 100이고, 폭이 약 10 nm 내지 약 1 ㎛인 요철(홈)을 포함하는 기재 상에 형성되는 것일 수 있으나, 이에 제한되지 않을 수 있다. 요철(홈)은 구멍(hole) 또는 도랑(trench) 형태일 수 있다. 예를 들어, 상기 종횡비는 약 1 이상, 약 10 이상, 약 30 이상, 약 50 이상, 약 1 내지 약 100, 약 1 내지 약 90, 약 1 내지 약 80, 약 1 내지 약 70, 약 1 내지 약 60, 약 1 내지 약 50, 약 1 내지 약 40, 약 1 내지 약 30, 약 1 내지 약 20, 약 1 내지 약 10, 약 10 내지 약 100, 약 10 내지 약 90, 약 10 내지 약 80, 약 10 내지 약 70, 약 10 내지 약 60, 약 10 내지 약 50, 약 10 내지 약 40, 약 10 내지 약 30, 약 10 내지 약 20, 약 20 내지 약 100, 약 20 내지 약 90, 약 20 내지 약 80, 약 20 내지 약 70, 약 20 내지 약 60, 약 20 내지 약 50, 약 20 내지 약 40, 약 20 내지 약 30, 약 30 내지 약 100, 약 30 내지 약 90, 약 30 내지 약 80, 약 30 내지 약 70, 약 30 내지 약 60, 약 30 내지 약 50, 약 30 내지 약 40, 약 40 내지 약 100, 약 40 내지 약 90, 약 40 내지 약 80, 약 40 내지 약 70, 약 40 내지 약 60, 약 40 내지 약 50, 약 50 내지 약 100, 약 50 내지 약 90, 약 50 내지 약 80, 약 50 내지 약 70, 약 50 내지 약 60, 약 60 내지 약 100, 약 60 내지 약 90, 약 60 내지 약 80, 약 60 내지 약 70, 약 70 내지 약 100, 약 70 내지 약 90, 약 70 내지 약 80, 약 80 내지 약 100, 약 80 내지 약 90, 또는 약 90 내지 약 100일 수 있으나, 이에 제한되지 않을 수 있다. 또한, 예를 들어, 상기 폭은 약 10 nm 내지 약 1 ㎛, 약 10 nm 내지 약 900 nm, 약 10 nm 내지 약 800 nm, 약 10 nm 내지 약 700 nm, 약 10 nm 내지 약 600 nm, 약 10 nm 내지 약 500 nm, 약 10 nm 내지 약 400 nm, 약 10 nm 내지 약 300 nm, 약 10 nm 내지 약 200 nm, 약 10 nm 내지 약 100 nm, 약 10 nm 내지 약 90 nm, 약 10 nm 내지 약 80 nm, 약 10 nm 내지 약 70 nm, 약 10 nm 내지 약 60 nm, 약 10 내지 약 50 nm, 약 10 nm 내지 약 40 nm, 약 10 nm 내지 약 30 nm, 약 10 nm 내지 약 20 nm, 약 20 nm 내지 약 1 ㎛, 약 20 nm 내지 약 900 nm, 약 20 nm 내지 약 800 nm, 약 20 nm 내지 약 700 nm, 약 20 nm 내지 약 600 nm, 약 20 nm 내지 약 500 nm, 약 20 nm 내지 약 400 nm, 약 20 nm 내지 약 300 nm, 약 20 nm 내지 약 200 nm, 약 20 nm 내지 약 100 nm, 약 20 nm 내지 약 90 nm, 약 20 nm 내지 약 80 nm, 약 20 nm 내지 약 70 nm, 약 20 nm 내지 약 60 nm, 약 20 nm 내지 약 50 nm, 약 20 nm 내지 약 40 nm, 약 20 nm 내지 약 30 nm, 약 30 nm 내지 약 1 ㎛, 약 30 nm 내지 약 900 nm, 약 30 nm 내지 약 800 nm, 약 30 nm 내지 약 700 nm, 약 30 nm 내지 약 600 nm, 약 30 nm 내지 약 500 nm, 약 30 nm 내지 약 400 nm, 약 30 nm 내지 약 300 nm, 약 30 nm 내지 약 200 nm, 약 30 nm 내지 약 100 nm, 약 30 nm 내지 약 90 nm, 약 30 nm 내지 약 80 nm, 약 30 nm 내지 약 70 nm, 약 30 nm 내지 약 60 nm, 약 30 내지 약 50 nm, 약 30 nm 내지 약 40 nm, 약 40 nm 내지 약 1 ㎛, 약 40 nm 내지 약 900 nm, 약 40 nm 내지 약 800 nm, 약 40 nm 내지 약 700 nm, 약 40 nm 내지 약 600 nm, 약 40 nm 내지 약 500 nm, 약 40 nm 내지 약 400 nm, 약 40 nm 내지 약 300 nm, 약 40 nm 내지 약 200 nm, 약 40 nm 내지 약 100 nm, 약 40 nm 내지 약 90 nm, 약 40 nm 내지 약 80 nm, 약 40 nm 내지 약 70 nm, 약 40 nm 내지 약 60 nm, 약 40 내지 약 50 nm, 약 50 nm 내지 약 1 ㎛, 약 50 nm 내지 약 900 nm, 약 50 nm 내지 약 800 nm, 약 50 nm 내지 약 700 nm, 약 50 nm 내지 약 600 nm, 약 50 nm 내지 약 500 nm, 약 50 nm 내지 약 400 nm, 약 50 nm 내지 약 300 nm, 약 50 nm 내지 약 200 nm, 약 50 nm 내지 약 100 nm, 약 50 nm 내지 약 90 nm, 약 50 nm 내지 약 80 nm, 약 50 nm 내지 약 70 nm, 약 50 nm 내지 약 60 nm, 약 100 nm 내지 약 1 ㎛, 약 100 nm 내지 약 900 nm, 약 100 nm 내지 약 800 nm, 약 100 nm 내지 약 700 nm, 약 100 nm 내지 약 600 nm, 약 100 nm 내지 약 500 nm, 약 100 nm 내지 약 400 nm, 약 100 nm 내지 약 300 nm, 약 100 nm 내지 약 200 nm, 약 200 nm 내지 약 1 ㎛, 약 200 nm 내지 약 900 nm, 약 200 nm 내지 약 800 nm, 약 200 nm 내지 약 700 nm, 약 200 nm 내지 약 600 nm, 약 200 nm 내지 약 500 nm, 약 200 nm 내지 약 400 nm, 약 200 nm 내지 약 300 nm, 약 300 nm 내지 약 1 ㎛, 약 300 nm 내지 약 900 nm, 약 300 nm 내지 약 800 nm, 약 300 nm 내지 약 700 nm, 약 300 nm 내지 약 600 nm, 약 300 nm 내지 약 500 nm, 약 300 nm 내지 약 400 nm, 약 400 nm 내지 약 1 ㎛, 약 400 nm 내지 약 900 nm, 약 400 nm 내지 약 800 nm, 약 400 nm 내지 약 700 nm, 약 400 nm 내지 약 600 nm, 약 400 nm 내지 약 500 nm, 약 500 nm 내지 약 1 ㎛, 약 500 nm 내지 약 900 nm, 약 500 nm 내지 약 800 nm, 약 500 nm 내지 약 700 nm, 약 500 nm 내지 약 600 nm, 약 600 nm 내지 약 1 ㎛, 약 600 nm 내지 약 900 nm, 약 600 nm 내지 약 800 nm, 약 600 nm 내지 약 700 nm, 약 700 nm 내지 약 1 ㎛, 약 700 nm 내지 약 900 nm, 약 700 nm 내지 약 800 nm, 약 800 nm 내지 약 1 ㎛, 약 800 nm 내지 약 900 nm, 또는 약 900 nm 내지 약 1 ㎛일 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 막 증착용 전구체 조성물에 포함되는 본 발명의 니오븀 전구체 화합물은 낮은 밀도, 높은 열 안정성에 기인하여, 원자층 증착법 또는 화학기상 증착법의 전구체로서 사용하여 니오븀-함유 막을 형성할 수 있으며, 특히, 표면에 패턴(홈)이 있는 기재 또는 다공성 기재, 플라스틱 기재 상에도 상온 내지 약 500℃, 약 200℃ 내지 약 400℃, 약 300℃ 내지 약 400℃, 상온 내지 약 500℃, 약 200℃ 내지 약 500℃, 또는 약 300℃ 내지 약 500℃의 온도 범위에서 수 μm 내지 수십 nm 두께의 니오븀-함유 막을 균일하게 형성할 수 있으며, 종횡비가 약 1 내지 약 100, 또는 약 1 내지 약 50이고, 폭이 약 1 μm 내지 약 10nm 또는 그 이하까지 미세한 패턴(홈)의 가장 깊은 곳의 표면 및 상기 미세한 요철(홈)의 상부 표면을 포함한 상기 미세한 요철(홈)의 표면을 포함하여 상기 기재의 전체 표면 상에 수 μm 내지 수십 nm 이하의 두께의 니오븀-함유 산화 박막 또는 질화 박막을 균일하게 형성할 수 있는 우수한 효과를 가진다.
본원의 일 구현예에 있어서, 상기 니오븀-함유 막 형성 방법은 반응 챔버 내에 기재를 수용한 뒤, 운송 가스 또는 희석 가스를 사용하여 상기 니오븀 전구체 화합물을 기판 상으로 이송하여 상온 내지 약 500℃, 또는 약 200℃ 내지 약 400℃의 넓은 범위의 증착 온도에서 니오븀-함유 산화 박막 또는 질화 박막을 증착시키는 것이 바람직하다. 그러나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 증착 온도가 상온 내지 약 500℃, 또는 약 200℃ 내지 약 400℃인 것은 메모리 소자 및 로직 소자, 디스플레이 소자에 적용될 수 있는 공정온도가 넓기 때문에 다양한 분야에 적용 가능성이 크고 니오븀-함유 산화 박막 또는 질화 박막의 필름 특성이 다르기 때문에 넓은 온도 범위에서 사용 가능한 니오븀 전구체 화합물이 필요하기 때문에 상온 내지 약 500℃, 또는 약 200℃ 내지 약 400℃의 증착 온도 범위에서 증착이 이루어지는 것이 바람직하다. 그러나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 운송가스 또는 희석 가스로는 아르곤(Ar), 질소 (N2), 헬륨 (He) 또는 수소 (H2) 중에서 선택되는 하나 또는 그 이상의 혼합 가스를 사용하는 것이 바람직하다. 그러나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀 전구체 화합물을 기재 상으로 전달하는 방식으로는 전구체를 운송 가스를 이용하여 강제적으로 기화시키는 버블링 (Bubbling) 방식 및 상온에서 액상으로 공급하여 기화기를 통해 기화시키는 LDS (Liquid Delivery System) 방식 및 전구체의 증기압을 이용하여 직접 공급하는 VFC (Vapor Flow Controller) 방식을 포함하는 다양한 공급 방식이 적용될 수 있으나, 증기압이 높은 경우는 VFC 방식을 사용할 수 있으며, 증기압이 낮은 경우는 용기를 가열하여 기화시키는 바이패스(Bypass) 방식이 사용될 수 있다. 상기 니오븀 전구체 화합물을 버블러 용기 또는 VFC 용기에 담아 약 0.1 torr 내지 약 10 torr, 상온 내지 약 100℃의 온도 범위에서 운송 가스를 이용하 버블링 또는 높은 증기압을 이용하여 운송하여 챔버 내로 공급시키는 방식이 사용될 수 있다. 가장 바람직하게는 상기 니오븀 전구체 화합물을 상온에서 액상으로 공급하여 기화기를 통해 기화시키는 LDS 방식이 사용될 수 있다. 그러나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀 전구체 화합물을 기화시키기 위하여 아르곤 (Ar) 또는 질소 (N2) 가스로 운송하거나 열에너지 또는 플라즈마를 이용하거나, 기판상에 바이어스를 인가하는 것이 더욱 바람직하다. 그러나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀-함유 막 증착 시 니오븀-함유 산화 박막(Nb2O5)을 형성하는 경우, 반응가스로서 수증기 (H2O), 산소 (O2), 산소 플라즈마, (O2 Plasma), 산화질소 (NO, N2O), 산화질소 플라즈마 (N2O Plasma), 질화산소 (N2O2), 과산화수소수 (H2O2), 및 오존 (O3)에서 선택되는 하나 또는 둘 이상의 혼합물을 사용하는 것이 바람직하다. 그러나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀-함유 막 증착 시 니오븀-함유 질화 박막 (NbN)을 증착하기 위해서 반응가스로서 암모니아 (NH3), 암모니아 플라즈마 (HN3 Plasma), 하이드라진 (N2H4), 질소 플라즈마 (N2 Plasma)를 쓰는 것이 바람직하다. 그러나, 이에 제한되지 않을 수 있다.
본원의 제 4 측면은, 하기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물을 포함하는 막 형성용 전구체 조성물을 이용하여 제조하는, 반도체 소자를 제공한다:
[화학식 Ⅰ]
Figure PCTKR2021009572-appb-img-000026
;
상기 화학식 Ⅰ에서,
A 내지 C는, 각각 독립적으로, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고,
상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며,
단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함함.
본원의 제 1 측면 내지 제 3 측면과 중복되는 부분들에 대해서는 상세한 설명을 생략하였으나, 본원의 제 1 측면 내지 제 3 측면에 대해 설명한 내용은 본원의 제 4 측면에서 그 설명이 생략되었더라도 동일하게 적용될 수 있다.
본원의 일 구현예에 있어서, 상기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물은 하기 화학식 1 또는 하기 화학식 2로서 표시되는 것일 수 있다:
[화학식 1]
Figure PCTKR2021009572-appb-img-000027
;
[화학식 2]
Figure PCTKR2021009572-appb-img-000028
;
상기 화학식 1 또는 상기 화학식 2에서,
R1 및 R2는, 각각 독립적으로, 수소; 또는 선형 또는 분지형의 C1-3 알킬기이고,
R3는 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 또는 치환 또는 비치환된 C3-10 시클로알킬기임.
본원의 일 구현예에 있어서, R1 및 R2는, 각각 독립적으로, 수소; 또는 메틸기, 에틸기, n-프로필기, 또는 iso-프로필기일 수 있으나, 이에 제한되는 것은 아니다. 본원의 일 구현예에 있어서, R1 및 R2는, 각각 독립적으로, 수소 또는 메틸기일 수 있다.
본원의 일 구현예에 있어서, R3는 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸기, 시클로노닐기, 시클로데실기, 및 이의 가능한 이성질체들 중 선택되는 것일 수 있으나, 이에 제한되는 것은 아니다. 본원의 일 구현예에 있어서, R3는 메틸기, 에틸기, n-프로필기, iso-프로필기, n-부틸기, iso-부틸기, sec-부틸기, tert-부틸기, 시클로펜틸기, 시클로헥실기, 또는 시클로헵틸기일 수 있으나, 이에 제한되는 것은 아니다. 본원의 일 구현예에 있어서, R3는 메틸기 또는 에틸기일 수 있다.
본원의 일 구현예에 있어서, 상기 니오븀 전구체 화합물은 하기 화합물에서 선택되는 하나 이상을 포함하는 것일 수 있다:
[화학식 3]
Figure PCTKR2021009572-appb-img-000029
;
[화학식 4]
Figure PCTKR2021009572-appb-img-000030
;
[화학식 5]
Figure PCTKR2021009572-appb-img-000031
;
[화학식 6]
Figure PCTKR2021009572-appb-img-000032
.
이하, 본원에 대하여 실시예를 이용하여 좀더 구체적으로 설명하지만, 하기 실시예는 본원의 이해를 돕기 위하여 예시하는 것일 뿐, 본원의 내용이 하기 실시예에 한정되는 것은 아니다.
[실시예]
<합성예 1> (tBuN)NbCl3(NC5H5)2의 제조
불꽃 건조된 1 L 슈렝크 플라스크에서, NbCl5 60g (0.222 mol)과 디클로로메탄(CH2Cl2) 500 mL를 넣은 후 -40℃을 유지시켰다. 상기 플라스크에 터셔리뷰틸아민 (tert-butylamine, tBuNH2) 48.7g (0.666 mol)을 천천히 적가한 후 반응 용액을 3시간 동안 상온에서 교반시켰다. 반응 종료 후 용액의 온도를 0℃로 유지시켰다. 상기 플라스크에 피리딘 (Pyridine, NC5H5) 35.12g (0.444 mol)을 천천히 적가한 후 반응 용액을 12시간 동안 상온에서 교반시켰다. 상기 반응이 완료된 후 반응 중 생성된 염을 여과 과정을 통하여 제거하고 용매 및 휘발성 부반응물은 감압하에 제거하여 하기와 같이 표시되는 노란색의 고체 (tBuN)NbCl3(NC5H5)2 95g(0.22 mol)을 수득하였다:
Figure PCTKR2021009572-appb-img-000033
.
<합성예 2> (tBuN)Cp2NbCl의 제조
불꽃 건조된 1 L 슈렝크 플라스크에 사이클로펜타다이엔(C5H6, CpH) 29.3g (0.44 mol)과 n-헥산(n-hexane) 800 mL를 넣고 온도를 -10℃ 내지 -20℃로 유지시킨다. 여기에 n-부틸 리튬(nBuLi, 23%) 123g (0.44 mol)을 케뉼러를 이용하여 천천히 적가한 후 실온까지 승온 후 3 시간동안 교반시켜서 사이클로펜타디에닐리튬(LiCp) 용액(0.44 mol)을 준비하였다.
불꽃 건조된 2 L 슈렝크플라스크에 합성예 1에서 준비한 (tBuN)NbCl3(NC5H5)2 95g (0.22 mol)과 테트라하이드로퓨란 (THF) 500 mL를 넣은 후 실온을 유지시켰다. 여기에 앞에서 준비한 LiCp 0.44 mol을 천천히 적가한 후 상온에서 12시간 교반 시켰다. 상기 반응이 완료된 후 반응 중 생성된 염을 여과 과정을 통하여 제거하고 용매 및 휘발성 부반응물은 감압 하에 제거하여 하기와 같이 표시되는 중간체 화합물 (tBuN)Cp2NbCl 72g (0.22mol)을 수득하였다:
Figure PCTKR2021009572-appb-img-000034
.
<합성예 3> (tBuN)CpNbCl2의 제조
불꽃 건조된 1,000 mL 슈렝크플라스크에서, 니오븀펜타클로라이드(niobium pentachloride) 100g (0.37 mol)과 다이클로로메테인(methylene chloride) 500 mL를 넣은 후 실온으로 유지시켰다. 상기 플라스크에 n-헥산 (n-hexane) 200mL를 첨가한 트리메틸실릴사이클로펜타다이엔 (CpSiMe3) 56.3g (0.41 mol)을 10℃를 유지하면서 천천히 적가한 후, 반응 용액을 3 시간동안 가열 반응 후 상온으로 천천히 승온시켰으며, 12 시간 동안 교반시켰다.
상기 반응이 완료된 후 붉은색 고체를 따로 분리 감압하에서 용매를 제거하여 사이클로펜타다이닐니오븀(V)테트라클로라이드 (CpNbCl4) 98.2g (수율: 88.5%)를 수득하였다.
불꽃 건조된 500 mL 슈렝크플라스크에서, CpNbCl4 59.2g (0.197 mol) 과 다이클로로메테인(CH2Cl2) 300 mL를 넣은 후 실온으로 유지시켰다. 상기 플라스크에 터셔리부틸아민 (tBuNH2) 43.3g (0.592 mol)을 -40℃를 유지하면서 천천히 적가한 후 반응 용액을 상온으로 천천히 승온시켰으며, 12시간 동안 교반시켰다. 상기 반응이 완료된 후 감압하에서 용매를 제거하고 감압하에서 증류하여 하기 화학식으로서 표시되는 노란색 고체 화합물 (tBuN)CpNbCl2 58.0g (수율: 98%)을 수득하였다.
1H-NMR (400 MHz, C6D6, 25℃): δ1.06 (s, 9H, Nb-NC(CH 3)3), δ5.94 (s, 5H, Nb-C5 H 5):
Figure PCTKR2021009572-appb-img-000035
.
<실시예 1> 터셔리부틸이미노-비스(사이클로펜타다이에닐)메틸니오븀 (tBuN)Cp2NbMe의 제조
불꽃 건조된 1 L 슈렝크플라스크에서, 합성예 2에서 준비한 (tBuN)Cp2NbCl 72g (0.22 mol) 과 디에틸에테르 (diethyl Ether, Et2O) 500 mL를 넣은 후 0℃을 유지시켰다. 여기에 메틸리튬(MeLi, 1.6 M in ether) 138 mL (0.22 mol)을 케뉼러를 이용하여 천천히 적가한 후 실온까지 승온하여 12 시간 동안 교반시켰다. 상기 반응이 완료된 후, 반응 중 생성된 염을 여과 과정을 통하여 제거하고 용매 및 휘발성 부반응물은 감압하에 제거하고 감압 증류하여 하기 화학식 3으로서 표시되는 노란색의 액체 (tBuN)Cp2NbMe 44g (수율: 65%)을 수득하였다:
끓는점 (bp) 85℃ (0.3 torr);
1H-NMR (400 MHz, C6D6, 25℃): δ 0.94 (s, 3H, Nb-CH 3), δ 0.98 (s, 9H, Nb-NC(CH 3)3), δ 5.54 (s, 10H, Nb-(C5 H 5)2);
[화학식 3]
Figure PCTKR2021009572-appb-img-000036
.
<실시예 2> 터셔리부틸이미노-비스(사이클로펜타다이에닐)에틸니오븀 (tBuN)Cp2NbEt의 제조
불꽃 건조된 1 L 슈렝크플라스크에서, 합성예 2에서 준비한 (tBuN)Cp2NbCl 40g (0.121 mol)과 디에틸에테르 (diethyl ether, Et2O) 500 mL를 넣은 후 0℃을 유지시켰다. 여기에 브롬화에틸마그네슘 (EtMgBr, 3.0 M in ether) 40.3 mL (0.121 mol)을 케뉼러를 이용하여 천천히 적가한 후 실온까지 승온하여 12 시간동안 교반시켰다. 상기 반응이 완료된 후 반응 중 생성된 염을 여과 과정을 통하여 제거하고 용매 및 휘발성 부반응물은 감압 하에 제거하고 감압 증류하여 하기 화학식 4로서 표시되는 (tBuN)Cp2NbEt 20g (수율: 51%)을 수득하였다. 감압 증류한 (tBuN)Cp2NbEt은 실온에서 노란색 고체로 응고하였다:
녹는점 (mp) 33℃, 끓는점 (bp) 88℃ (0.3 torr);
1H-NMR (400 MHz, C6D6, 25℃): δ 0.99 (s, 9H, Nb-NC(CH 3)3), δ 1.85 (t, 3H, Nb-CH2CH 3), δ 1.94 (q, 2H, Nb-CH 2CH3), δ 5.55 (s, 10H, Nb-(C5 H 5)2);
[화학식 4]
Figure PCTKR2021009572-appb-img-000037
.
<실시예 3> 터셔리부틸이미노-비스(메틸사이클로펜타다이에닐)메틸니오븀 : (tBuN)(MeCp)2NbMe의 제조
불꽃 건조된 1 L 슈렝크 플라스크에 메틸사이클로펜타다이엔(C6H8) 18.27g (0.228 mol)과 n-헥산(n-hexane, C7H8) 500 mL를 넣고 온도를 -10℃ 내지 -20℃로 유지시킨다. 여기에 n-부틸 리튬(n-BuLi, 23%) 61.24g (0.228 mol)을 케뉼러를 이용하여 천천히 적가한 후 실온까지 승온 후 3 시간동안 교반시켰다. 다른 불꽃 건조된 2 L 슐렝크플라스크에, 합성예 1에서 준비한 (tBuN)NbCl3(NC5H5)2 49.0g (0.114 mol)과 테트라하이드로퓨란 (THF) 300mL을 넣은 후 실온을 유지시켰다. 여기에 미리 합성한 메틸사이클로펜타디에닐리튬 Li(MeCp) 2 당량을 천천히 적가한 후, 상온에서 12 시간동안 교반 시켰다. 상기 반응이 완료된 후 반응 중 생성된 염을 여과 과정을 통하여 제거하고 용매 및 휘발성 부반응물은 감압 하에 제거하여 중간체 화합물 (tBuN)(MeCp)2NbCl 40.7g (0.114 mol)을 수득하였다.
불꽃 건조된 1 L 슐렝크플라스크에서, (tBuN)(MeCp)2NbCl 40.7g (0.114mol)과 디에틸에테르 (diethyl ether, Et2O) 500 mL를 넣은 후 0℃을 유지시켰다. 여기에 메틸리튬(MeLi, 1.6M in ether) 71.2 mL (0.114 mol)을 케뉼러를 이용하여 천천히 적가한 후 실온까지 승온하여 12시간동안 교반시켰다. 상기 반응이 완료된 후, 반응 중 생성된 염을 여과 과정을 통하여 제거하고 용매 및 휘발성 부반응물은 감압 하에 제거하여 하기 화학식 5로서 표시되는 노란색의 액체 19.9g (수율: 51.7%)을 수득하였다:
끓는점 (bp) 95℃(0.3 torr)
1H-NMR (400 MHz, C6D6, 25℃): δ 0.83 (s, 3H, Nb-CH 3), δ 1.02 (s, 9H, Nb-NC(CH 3)3), δ 1.93 (s, 6H, Nb-(C5H4CH 3)2), δ 5.05, 5.30, 5.50, 5.75 (q, 8H, Nb-(C5 H 4CH3)2).
[화학식 5]
Figure PCTKR2021009572-appb-img-000038
.
<실시예 4> 터셔리부틸이미노-사이클로펜타다이닐-다이메틸니오븀: (tBuN)CpNbMe2의 제조
불꽃 건조된 500 mL 슈렝크플라스크에서, 합성예 3에서 준비한 (tBuN)CpCl2Nb 23.0g (0.077 mol)과 톨루엔(toluene) 300 mL를 넣은 후, 실온으로 유지시켰다. 상기 플라스크에 메틸마그네슘 클로라이드 (methylmagnesium chloride solution 3 M in Tetrahydrofuran) 56.6 mL (0.170 mol)을 -10℃를 유지하면서 천천히 적가한 후 반응 용액을 상온으로 천천히 승온시켰으며 12 시간 동안 교반시켰다. 상기 반응이 완료된 후 감압하에서 용매를 제거 및 증류하여 하기 화학식 6으로서 표시되는 노란색 액체 화합물 12.7g (0.049 mol, 수율: 64%)을 수득하였다:
끓는점 (bp) 60℃ (0.25 torr)
1H-NMR (400 MHz, C6D6, 25℃): δ 0.58 (s, 6H, Nb-(CH 3)2), δ 1.31 (s, 9H, Nb-NC(CH 3)3), δ 5.69 (s, 5H, Nb-C5 H 5).
[화학식 6]
Figure PCTKR2021009572-appb-img-000039
.
<실험예 1> 니오븀 화합물들의 열적 특성 분석
상기의 실시예들 중에서 실시예 1, 2 및 4에서 제조한 니오븀 전구체 화합물의 기초 열특성을 분석하기 위하여 열무게 분석(thermogravimetry analysis, TGA)을 실시하고, 그 결과를 기존에 알려진 니오븀 전구체 화합물인 터셔리부틸이미노-사이클로펜타다이에닐-비스(디메틸아미노)니오븀 (V) [(tert-butylimino)cyclopentadienyl bis(dimethylamino) niobium, (tBuN)CpNb(NMe2)2], 터셔리부틸이미노-사이클로펜타다이에닐-비스(디에틸아미노)니오븀 (V) [(tert-butylimino)cyclopentadienyl bis(diethylamino) niobium, (tBuN)CpNb(NEt2)2]과 비교하여 도 1에 나타내었다.
도 1에서 확인할 수 있듯이, 실시예 1 및 4에서 제조한 니오븀 전구체 화합물들은 종래에 공개된 니오븀 전구체의 휘발성에 비해 비슷하거나 높은 휘발성을 나타냄을 보여주고 있음을 알 수 있다. 또한, 본 발명의 니오븀 전구체 화합물들은 열분해 없이 깨끗이 휘발되며, 높은 휘발성을 나타내고 있어, 니오븀 함유 산화막을 형성할 수 있는 우수한 전구체임을 확인할 수 있었다.
<실험예 2> 니오븀 화합물들을 사용한 원자층 증착법으로 니오븀 산화막 형성
상기의 실시예 1 및 4에서 제조된 니오븀 전구체 화합물 (tBuN)Cp2NbMe와 (tBuN)CpNbMe2을 사용하여 원자층 증착법(ALD)으로 니오븀 산화막을 형성하였다. 반응 가스로는 오존(O3) 기체를 사용하였다. 황산(H2SO4)과 과산화수소수(H2O2)를 4:1로 혼합한 피라나 (piranha) 용액에 실리콘 웨이퍼를 10 분 동안 담갔다가 꺼낸 후 묽은 HF 수용액에 2 분 동안 담가 산화실리콘 막을 제거한 실리콘 조각 웨이퍼 기재를 사용하였다. 막 형성 온도는 각각 300℃, 315℃, 330℃, 340℃, 및 350℃로 가열하였다. 스테인레스 스틸 재질 용기에 담은 (tBuN)Cp2NbMe와 (tBuN)CpNbMe2을 각각 85℃ 및 65℃ 온도로 가열하면서 60 sccm의 유속을 갖는 아르곤 (Ar) 가스를 운반가스로 사용하여 기화시켰다. 기화된 니오븀 화합물들의 공급 시간 3초, Ar 기체 퍼지 시간 10초, O3 기체 공급 시간 5초, Ar 기체 퍼지 시간 10초로 이루어진ALD 원료 공급 주기를 100 회 반복하여 니오븀 산화막을 형성하였다.
340℃에서 형성한 니오븀 산화막의 조성을 X-선 광전자 분광법 (X-ray photoelectron spectroscopy, XPS) 깊이 분석(depth profile)으로 분석하였다. 실시예 1에서 제조된 니오븀 전구체 화합물 (tBuN)Cp2NbMe을 사용하여 형성한 니오븀 산화막의 XPS 깊이 분석 결과를 도 2에 나타냈으며, 실시예 4에서 제조된 니오븀 전구체 화합물 (tBuN)CpNbMe2을 사용하여 형성한 니오븀 산화막의 XPS 깊이 분석 결과를 도 3에 나타내었다. 도 2 및 도 3을 참조하면 막 안에 니오븀 함량이 약 30%, 산소 함량이 약 70%이어서 Nb2O5의 조성에 가까운 니오븀 산화막이 형성된 것을 확인할 수 있다.
또한, 각 온도에서 형성한 니오븀 산화막의 두께를 일립소미터(ellipsometer)로 측정하고 ALD 원료 공급 주기 당 막 성장을 도 4에 나타내었다. 비교를 위해 종래에 공개된 니오븀 전구체 화합물 (tBuN)CpNb(NMe2)2, (tBuN)CpNb(NEt2)2를 사용한 원자층 증착 결과를 도 4에 함께 표시하였다.
도 4에서 확인할 수 있듯이, 실시예 1에 따라 제조된 니오븀 전구체 화합물 (tBuN)Cp2NbMe을 사용하면 ALD 기체 공급 주기 당 니오븀 산화막 성장이 300℃부터 350℃ 구간에서 일정한 것을 알 수 있다. 반면, 종래에 공개된 니오븀 전구체 화합물(tBuN)CpNb(NMe2)2 및 (tBuN)CpNb(NEt2)을 사용하면 ALD 기체 공급 주기 당 니오븀 산화막 성장이 온도가 증가함에 따라 증가한다. 따라서, 실시예 1에 따라 제조된 니오븀 전구체 화합물을 사용하여 니오븀 산화막을 형성하면 온도 변화에도 불구하고 일정한 두께로 니오븀 산화막을 형성하는 데에 유리하다.
<실험예 3> 니오븀 화합물들을 사용한 원자층 증착법으로 형성한 니오븀 산화막의 탄소 함량 분석
도 5는, 본 발명의 실시예 1에 따라 제조된 니오븀 화합물 (tBuN)Cp2NbMe을 사용하여 원자층 증착법으로 형성한 니오븀 산화막과 종래에 공개된 니오븀 전구체 화합물 (tBuN)CpNb(NMe2)2 및 (tBuN)CpNb(NEt2)2를 사용하여 원자층 증착법으로 형성한 각각의 니오븀 산화막의 깊이에 따른 탄소 함량을 이차이온질량분석기(SIMS)로 분석한 결과를 나타낸 그래프이다.
도 5에서 확인할 수 있듯이 실시예 1의 방법에 의해 제조된 니오븀 전구체 화합물을 사용하여 형성한 니오븀 산화막은, 종래에 공개된 니오븀 전구체 화합물 (tBuN)CpNb(NMe2)2 및 (tBuN)CpNb(NEt2)2를 사용하여 형성한 니오븀 산화막보다 탄소 함량이 낮아서 반도체 소자의 유전막 또는 절연막으로 사용할 때 누설 전류가 적을 것을 기대할 수 있다.
<실험예 4> 니오븀 화합물들을 사용한 원자층 증착법으로 형성한 니오븀 산화막의 단차피복성 분석
본 발명의 실시예 1에 따라 제조된 니오븀 화합물 (tBuN)Cp2NbMe을 사용하여 원자층 증착법으로 형성한 니오븀 산화막과 종래에 공개된 니오븀 전구체 화합물 (tBuN)CpNb(NMe2)2를 사용하여 원자층 증착법으로 형성한 니오븀 산화막의 단차피복성을 확인하기 위하여 단차비 (종횡비; aspect ratio)가 40:1인 패턴 웨이퍼 기판(hole pattern wafer) 위에 두께 70Å을 목표로 하여 니오븀 산화막을 증착 하였다. 주기 조건은 니오븀 화합물들의 공급 시간 15 초, Ar 기체 퍼지 시간 10 초, O3 기체 공급 시간 8 초, Ar 기체 퍼지 시간 7.5 초로 이루어진ALD 원료 공급 주기를 대략 70 Å 두께로 증착하여 니오븀 산화막을 형성하였고 결과는 표 1에 나타내었다.
구분 단차 기판의 위치
중간 부분 (%)
(Top/Middle)
끝 부분 (%)
(Top/Bottom)
실시예 1 (70.2 Å/72.0 Å) = 97.44 (70.2 Å/67.1 Å) =104.56
비교예 1 (70.4 Å/74.1 Å) =94.97 (70.4 Å/73.1 Å) =96.33
(top: 패턴 웨이퍼 기판 내 홈 또는 홀의 외부 최상단 부분; middle: 패턴 웨이퍼 기판 내 홈 또는 홀의 내부 중간 부분; bottom: 패턴 웨이퍼 기판 내 홈 또는 홀의 내부 바닥 부분)
상기 표 1에서 확인할 수 있듯이, 실시예 1에 따라 제조된 니오븀 전구체 화합물을 사용하여 형성한 니오븀 산화막의 단차 피복성은 상기 기판의 위치에 따라 중간 부분 및 끝 부분 각각에서 97.44% 및 104.56% 이며, 종래에 공개된 니오븀 전구체 화합물 (tBuN)CpNb(NMe2)2를 사용하여 형성한 니오븀 산화막의 단차 피복성은 상기 기판의 위치에 따라 중간 부분 및 끝 부분 각각에서 94.97% 및 96.33%로 나타났다. 이에, 실시예 1에 따라 제조된 니오븀 전구체 화합물을 사용하여 형성한 니오븀 산화막의 단차 피복성이 종래에 공개된 니오븀 전구체 화합물 (tBuN)CpNb(NMe2)2를 사용하여 형성한 니오븀 산화막의 단차 피복성보다 더 우수한 것을 확인하였다.
본 발명의 니오븀 전구체 화합물 (tBuN)Cp2NbMe 은 단차 피복성이 우수하여 단차비가 큰 DRAM 캐패시터에 적용함에 있어 적합할 뿐만 아니라 top 부분에서부터 bottom 부분까지 고르게 증착시킬 수 있어 누설 전류를 최소화 할 수 있을 것으로 기대할 수 있다.
전술한 본원의 설명은 예시를 위한 것이며, 본원이 속하는 기술분야의 통상의 지식을 가진 자는 본원의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 쉽게 변형이 가능하다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. 예를 들어, 단일형으로 설명되어 있는 각 구성 요소는 분산되어 실시될 수도 있으며, 마찬가지로 분산된 것으로 설명되어 있는 구성 요소들도 결합된 형태로 실시될 수도 있다.
본원의 범위는 상기 상세한 설명보다는 후술하는 특허청구범위에 의하여 나타내어지며, 특허청구범위의 의미 및 범위, 그리고 그 균등 개념으로부터 도출되는 모든 변경 또는 변형된 형태가 본원의 범위에 포함되는 것으로 해석되어야 한다.

Claims (18)

  1. 하기 화학식 Ⅰ로서 표시되는, 니오븀 전구체 화합물:
    [화학식 Ⅰ]
    Figure PCTKR2021009572-appb-img-000040
    ;
    상기 화학식 Ⅰ에서,
    A 내지 C는, 각각 독립적으로, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고,
    상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며,
    단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함함.
  2. 제 1 항에 있어서,
    상기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물은 하기 화학식 1 또는 하기 화학식 2로서 표시되는 것인, 니오븀 전구체 화합물:
    [화학식 1]
    Figure PCTKR2021009572-appb-img-000041
    ;
    [화학식 2]
    Figure PCTKR2021009572-appb-img-000042
    ;
    상기 화학식 1 또는 상기 화학식 2에서,
    R1 및 R2는, 각각 독립적으로, 수소; 또는 선형 또는 분지형의 C1-3 알킬기이고,
    R3는 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 또는 치환 또는 비치환된 C3-10 시클로알킬기임.
  3. 제 1 항에 있어서,
    R1 및 R2는, 각각 독립적으로, 수소; 또는 메틸기, 에틸기, n-프로필기, 또는 iso-프로필기인 것인, 니오븀 전구체 화합물.
  4. 제 1 항에 있어서,
    R3는 메틸기, 에틸기, n-프로필기, iso-프로필기, n-부틸기, iso-부틸기, sec-부틸기, tert-부틸기, 시클로펜틸기, 시클로헥실기, 또는 시클로헵틸기인 것인, 니오븀 전구체 화합물.
  5. 제 1 항에 있어서,
    상기 니오븀 전구체 화합물은 하기 화합물에서 선택되는 것인, 니오븀 전구체 화합물:
    [화학식 3]
    Figure PCTKR2021009572-appb-img-000043
    ;
    [화학식 4]
    Figure PCTKR2021009572-appb-img-000044
    ;
    [화학식 5]
    Figure PCTKR2021009572-appb-img-000045
    ;
    [화학식 6]
    Figure PCTKR2021009572-appb-img-000046
    .
  6. 하기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물을 포함하는, 막 형성용 전구체 조성물:
    [화학식 Ⅰ]
    Figure PCTKR2021009572-appb-img-000047
    ;
    상기 화학식 Ⅰ에서,
    A 내지 C는, 각각 독립적으로, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고,
    상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며,
    단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함함.
  7. 제 6 항에 있어서,
    상기 니오븀 전구체 화합물은 하기 화합물에서 선택되는 하나 이상을 포함하는 것인, 막 형성용 전구체 조성물:
    [화학식 3]
    Figure PCTKR2021009572-appb-img-000048
    ;
    [화학식 4]
    Figure PCTKR2021009572-appb-img-000049
    ;
    [화학식 5]
    Figure PCTKR2021009572-appb-img-000050
    ;
    [화학식 6]
    Figure PCTKR2021009572-appb-img-000051
    .
  8. 제 6 항에 있어서,
    상기 막은 니오븀 금속 막, 니오븀-함유 산화 막, 니오븀-함유 질화 막, 및 니오븀-함유 탄화 막에서 선택되는 하나 이상인 것인, 막 형성용 전구체 조성물.
  9. 제 6 항에 있어서,
    암모니아, 질소, 히드라진, 및 디메틸 히드라진에서 선택되는 하나 이상의 질소원을 추가 포함하는, 막 형성용 전구체 조성물.
  10. 제 6 항에 있어서,
    수증기, 산소, 및 오존에서 선택되는 하나 이상의 산소원을 추가 포함하는, 막 형성용 전구체 조성물.
  11. 하기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물을 포함하는 막 형성용 전구체 조성물을 이용하여 니오븀-함유 막을 형성하는 것을 포함하는, 니오븀-함유 막 형성 방법:
    [화학식 Ⅰ]
    Figure PCTKR2021009572-appb-img-000052
    ;
    상기 화학식 Ⅰ에서,
    A 내지 C는, 각각 독립적으로, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고,
    상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며,
    단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함함.
  12. 제 11 항에 있어서,
    상기 막 형성용 전구체 조성물에 포함되는 니오븀 전구체 화합물은 하기 화합물에서 선택되는 하나 이상을 포함하는 것인, 니오븀-함유 막 형성 방법:
    [화학식 3]
    Figure PCTKR2021009572-appb-img-000053
    ;
    [화학식 4]
    Figure PCTKR2021009572-appb-img-000054
    ;
    [화학식 5]
    Figure PCTKR2021009572-appb-img-000055
    ;
    [화학식 6]
    Figure PCTKR2021009572-appb-img-000056
    .
  13. 제 11 항에 있어서,
    상기 막은 니오븀 금속 막, 니오븀-함유 산화 막, 니오븀-함유 질화 막, 및 니오븀-함유 탄화 막에서 선택되는 하나 이상인 것인, 니오븀-함유 막 형성 방법.
  14. 제 11 항에 있어서,
    상기 니오븀-함유 막은 화학기상 증착법 또는 원자층 증착법에 의해 증착되는 것인, 니오븀-함유 막 형성 방법.
  15. 제 11 항에 있어서,
    상기 니오븀-함유 막은 상온 내지 500℃의 온도 범위에서 형성되는 것인, 니오븀-함유 막 형성 방법.
  16. 제 11 항에 있어서,
    상기 니오븀-함유 막은 0.1 nm 내지 500 nm의 두께 범위에서 형성되는 것인, 니오븀-함유 막 형성 방법.
  17. 제 11 항에 있어서,
    상기 니오븀-함유 막은 종횡비가 1 내지 100이고, 폭이 10 nm 내지 1 ㎛인 요철을 포함하는 기재 상에 형성되는 것인, 니오븀-함유 막 형성 방법.
  18. 하기 화학식 Ⅰ로서 표시되는 니오븀 전구체 화합물을 포함하는 막 형성용 전구체 조성물을 이용하여 제조하는, 반도체 소자:
    [화학식 Ⅰ]
    Figure PCTKR2021009572-appb-img-000057
    ;
    상기 화학식 Ⅰ에서,
    A 내지 C는, 각각 독립적으로, 치환 또는 비치환된 선형 또는 분지형의 C1-10 알킬기; 치환 또는 비치환된 C3-10 시클로알킬기; 또는 치환 또는 비치환된 시클로펜타디에닐기이고,
    상기 알킬기, 시클로알킬기, 또는 시클로펜타디에닐기가 치환되는 경우, 선형 또는 분지형의 C1-3 알킬기로 치환되는 것이며,
    단, A 내지 C 중 치환 또는 비치환된 시클로펜타디에닐기를 하나 이상 포함함.
PCT/KR2021/009572 2020-07-24 2021-07-23 니오븀 전구체 화합물, 이를 포함하는 막 형성용 전구체 조성물, 및 니오븀-함유 막 형성 방법 WO2022019712A1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20200092383 2020-07-24
KR10-2020-0092383 2020-07-24

Publications (1)

Publication Number Publication Date
WO2022019712A1 true WO2022019712A1 (ko) 2022-01-27

Family

ID=79729888

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2021/009572 WO2022019712A1 (ko) 2020-07-24 2021-07-23 니오븀 전구체 화합물, 이를 포함하는 막 형성용 전구체 조성물, 및 니오븀-함유 막 형성 방법

Country Status (2)

Country Link
KR (1) KR102595199B1 (ko)
WO (1) WO2022019712A1 (ko)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110081181A (ko) * 2008-10-07 2011-07-13 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 박막 침착을 위한 니오븀 및 바나듐 유기금속 전구체
WO2019005433A1 (en) * 2017-06-28 2019-01-03 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude NIOBIUM-CONTAINING FILMOGENE COMPOSITIONS AND VAPOR DEPOSITION OF FILMS CONTAINING NIOBIUM
KR20190096136A (ko) * 2018-02-08 2019-08-19 주식회사 메카로 유기금속화합물 및 이를 이용한 박막

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110081181A (ko) * 2008-10-07 2011-07-13 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 박막 침착을 위한 니오븀 및 바나듐 유기금속 전구체
WO2019005433A1 (en) * 2017-06-28 2019-01-03 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude NIOBIUM-CONTAINING FILMOGENE COMPOSITIONS AND VAPOR DEPOSITION OF FILMS CONTAINING NIOBIUM
KR20190096136A (ko) * 2018-02-08 2019-08-19 주식회사 메카로 유기금속화합물 및 이를 이용한 박막

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
ANTINOLO, A. LOPEZ-SOLERA, I. OTERO, A. PRASHAR, S.: "The synthesis of alkyl niobocene imido complexes and the X-ray crystal structure of [Nb(@?O)Cp"2Me] (Cp=@h^5-C"5H"5)", JOURNAL OF ORGANOMETALLIC CHEMISTRY, ELSEVIER, AMSTERDAM, NL, vol. 631, no. 1-2, 10 August 2001 (2001-08-10), AMSTERDAM, NL , pages 151 - 156, XP004305493, ISSN: 0022-328X, DOI: 10.1016/S0022-328X(01)01056-7 *
CHERNEGA ALEXANDER N., GREEN MALCOLM L. H., SUÁREZ ALEJANDRA G.: "Bis(η-cyclopentadienyl)imido compounds of niobium", JOURNAL OF THE CHEMICAL SOCIETY. DALTON TRANSACTIONS, ROYAL SOCIETY OF CHEMISTRY, GB, vol. 303, no. 20, 21 October 1993 (1993-10-21), GB , pages 3031 - 3034, XP055889166, ISSN: 0300-9246, DOI: 10.1039/DT9930003031 *

Also Published As

Publication number Publication date
KR102595199B1 (ko) 2023-10-30
KR20220013342A (ko) 2022-02-04

Similar Documents

Publication Publication Date Title
WO2012067439A2 (ko) 다이아자다이엔계 금속 화합물, 이의 제조 방법 및 이를 이용한 박막 형성 방법
WO2012176988A1 (en) Organometallic compound, preparing method of the same, and preparing method of thin film using the same
WO2022015098A1 (ko) 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
WO2018048124A1 (ko) 5족 금속 화합물, 이의 제조 방법, 이를 포함하는 막 증착용 전구체 조성물, 및 이를 이용하는 막의 증착 방법
WO2019156451A1 (ko) 4 족 금속 원소-함유 화합물, 이의 제조 방법, 이를 포함하는 막 형성용 전구체 조성물, 및 이를 이용하는 막의 형성 방법
WO2019088722A1 (ko) 루테늄함유 박막의 제조방법 및 이로부터 제조된 루테늄함유 박막
WO2020101437A1 (ko) 실리콘 전구체 화합물, 제조 방법, 및 이를 이용하는 실리콘-함유 막 형성 방법
WO2015190871A1 (en) Liquid precursor compositions, preparation methods thereof, and methods for forming layer using the composition
WO2023200154A1 (ko) 루테늄 전구체 조성물, 이의 제조방법, 및 이를 이용한 루테늄-함유 막의 형성 방법
WO2022019712A1 (ko) 니오븀 전구체 화합물, 이를 포함하는 막 형성용 전구체 조성물, 및 니오븀-함유 막 형성 방법
WO2021153986A1 (ko) 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
WO2023195653A1 (ko) 활성화제, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2020116770A1 (ko) 4족 전이금속 화합물, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법
WO2023121383A1 (ko) 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 막의 증착 방법
WO2022055201A1 (ko) 4족 금속 원소-함유 화합물, 이를 포함하는 전구체 조성물, 및 이를 이용한 박막의 제조 방법
WO2023239152A1 (ko) 박막 전구체 화합물, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
WO2023219446A1 (ko) 4족 금속 원소-함유 전구체 화합물을 포함하는 막 증착용 조성물, 및 이를 이용한 막 형성 방법
WO2021172867A1 (ko) 알루미늄 전구체 화합물 및 이의 제조 방법, 이를 이용한 알루미늄 함유 막 형성 방법
WO2014168312A1 (ko) 4 족 전이금속-함유 전구체 화합물 및 이를 이용하는 박막의 증착 방법
WO2022250400A1 (ko) 반도체 박막 형성용 금속 전구체 화합물 및 이용하여 제조된 금속 함유 박막
WO2024049150A1 (ko) 금속 화합물을 포함하는 박막증착용 조성물, 이를 이용한 금속 함유 박막의 제조방법 및 이를 이용하여 제조된 금속 함유 박막
WO2023003398A1 (ko) 실리콘-함유 막의 형성 방법 및 이에 의해 형성된 실리콘-함유 막
WO2023096216A1 (ko) 막질 개선제, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2024058431A1 (ko) 이트륨 또는 스칸듐 함유 박막 형성용 전구체, 이를 이용한 이트륨 또는 스칸듐 함유 박막 형성 방법 및 상기 이트륨 또는 스칸듐 함유 박막을 포함하는 반도체 소자
WO2024096493A1 (ko) 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 박막의 증착 방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21845224

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 21845224

Country of ref document: EP

Kind code of ref document: A1