WO2021060860A1 - 박막 제조 방법 - Google Patents

박막 제조 방법 Download PDF

Info

Publication number
WO2021060860A1
WO2021060860A1 PCT/KR2020/012930 KR2020012930W WO2021060860A1 WO 2021060860 A1 WO2021060860 A1 WO 2021060860A1 KR 2020012930 W KR2020012930 W KR 2020012930W WO 2021060860 A1 WO2021060860 A1 WO 2021060860A1
Authority
WO
WIPO (PCT)
Prior art keywords
thin film
growth inhibitor
manufacturing
film formation
film manufacturing
Prior art date
Application number
PCT/KR2020/012930
Other languages
English (en)
French (fr)
Inventor
연창봉
정재선
변혜란
송태호
김소정
이석종
Original Assignee
솔브레인 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 솔브레인 주식회사 filed Critical 솔브레인 주식회사
Publication of WO2021060860A1 publication Critical patent/WO2021060860A1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]

Definitions

  • the present invention relates to a method of manufacturing a thin film, and more particularly, by suppressing side reactions, appropriately lowering the thin film growth rate, and removing process by-products in the thin film, thereby preventing corrosion or deterioration, and even when forming a thin film on a substrate having a complex structure, step coverage It relates to a method of manufacturing a thin film that greatly improves the step coverage and thickness uniformity of the thin film.
  • the degree of integration of memory and non-memory semiconductor devices is increasing day by day, and as the structure becomes more and more complex, the importance of step coverage is increasing in depositing various thin films on a substrate.
  • the semiconductor thin film is made of a metal nitride, a metal oxide, a metal silicide, or the like.
  • the metal nitride thin film includes titanium nitride (TiN), tantalum nitride (TaN), zirconium nitride (ZrN), and the like, and the thin film is aluminum (Al), which is generally used as a silicon layer and interlayer wiring material of a doped semiconductor, It is used as a diffusion barrier with copper (Cu) or the like.
  • tungsten (W) thin film is deposited on a substrate, it is used as an adhesion layer.
  • an ALD (atomic layer deposition) process that utilizes a surface reaction is used rather than a CVD (chemical vapor deposition) process that mainly uses a vapor phase reaction, but there is still a problem in implementing 100% step coverage.
  • TiCl 4 titanium tetrachloride
  • TiN titanium nitride
  • process by-products such as chloride remain in the prepared thin film, causing corrosion of metals such as aluminum
  • the problem of generating non-volatile by-products causes deterioration of the film quality.
  • Patent Document 1 Korean Patent Application Publication No. 2006-0037241
  • the present invention suppresses side reactions to appropriately lower the thin film growth rate and removes process by-products in the thin film, thereby preventing corrosion or deterioration, and even when forming a thin film on a substrate having a complex structure
  • An object of the present invention is to provide a method of manufacturing a thin film that greatly improves step coverage and thickness uniformity of a thin film.
  • A is carbon or silicon, B is hydrogen or alkyl having 1 to 3 carbon atoms, X is halogen, n is an integer of 1 to 15, o is an integer of 1 or more, and m is 0 to 2n+1.) adsorbing the growth inhibitor for thin film formation on the surface of the substrate; And ii) adsorbing a Ti-based thin film precursor on a surface of a substrate on which a growth inhibitor is adsorbed.
  • the present invention provides an ALD chamber, a first vaporizer for vaporizing a growth inhibitor for thin film formation, a first transport means for transporting the vaporized growth inhibitor for thin film formation into the ALD chamber, a second vaporizer for vaporizing a Ti-based thin film precursor, and vaporization. It provides a thin film manufacturing apparatus comprising a second transfer means for transferring the Ti-based thin film precursor into the ALD chamber.
  • the growth rate of the thin film is appropriately lowered, and process by-products in the thin film are removed, thereby preventing corrosion or deterioration and forming a thin film on a substrate having a complex structure.
  • 1 is a process chart for explaining a conventional ALD process.
  • FIG. 2 is a process diagram for explaining an ALD process according to an embodiment of the present invention.
  • Example 3 is a graph showing a change in thickness of a thin film according to an increase in the ALD cycle of Example 7 (SP-TiCl 4 ) and Comparative Example 1 (TiCl 4) of the present invention.
  • FIG 4 is a graph showing a change in deposition rate according to feeding time of a growth inhibitor (SP) for thin film formation per ALD cycle of Examples 7-1 to 7-3 and Comparative Example 1 of the present invention.
  • SP growth inhibitor
  • Example 5 is a TEM photograph of a TIN thin film deposited in Example 1 (SP-TiCl 4 ) and Comparative Example 1 (TiCl 4) of the present invention.
  • the present inventors believe that when a halogen-substituted compound having a predetermined structure is first adsorbed as a growth inhibitor before adsorbing the Ti-based thin film precursor onto the substrate surface loaded inside the ALD chamber, the growth rate of the thin film formed after deposition is lowered and remains as a process by-product. It was confirmed that the used halides were greatly reduced, so that step coverage and the like were greatly improved, and based on this, the present invention was completed by further focusing on research.
  • the method for manufacturing a thin film of the present invention is i) the following formula 1
  • A is carbon or silicon, B is hydrogen or alkyl having 1 to 3 carbon atoms, X is halogen, n is an integer of 1 to 15, o is an integer of 1 or more, and m is 0 to 2n+1.
  • adsorbing the growth inhibitor for thin film formation on the surface of the substrate and ii) adsorbing the Ti-based thin film precursor on the surface of the substrate on which the growth inhibitor is adsorbed, and in this case, by suppressing side reactions during thin film formation, lowering the thin film growth rate and removing process by-products in the thin film, Corrosion or deterioration is reduced, and even when a thin film is formed on a substrate having a complex structure, there is an effect of greatly improving step coverage and thickness uniformity of the thin film.
  • the feeding time of the growth inhibitor for forming a thin film on the substrate surface is preferably 1 to 10 seconds, more preferably 1 to 5 seconds, and more preferably It is 2 to 5 seconds, and even more preferably 2 to 4 seconds, and within this range, there is an advantage that the thin film growth rate is low, and the step coverage and economy are excellent.
  • the feeding time of the growth inhibitor for thin film formation is based on a volume of 15 to 20 L and a flow rate of 0.5 to 5 mg/s of the chamber, and more specifically, a volume of 18 L and a flow rate of 1 to 2 of the chamber. Based on mg/s.
  • the step i) adsorbing the growth inhibitor for thin film formation on the substrate surface may include, for example, injecting the growth inhibitor for thin film formation into the ALD chamber and adsorbing it on the loaded substrate surface.
  • a side reaction It has a great effect of reducing the growth rate of the thin film and removing process by-products in the thin film by reducing the deposition rate and reducing the deposition rate.
  • the step i) adsorbing the growth inhibitor for thin film formation on the substrate surface may include purging the growth inhibitor for forming the residual thin film, preferably not adsorbed on the substrate surface, with a purge gas, in which case side reactions during thin film formation are suppressed. Accordingly, by reducing the growth rate of the thin film and removing process by-products in the thin film, corrosion or deterioration is reduced, and even when a thin film is formed on a substrate having a complex structure, there is an advantage of greatly improving the step coverage and thickness uniformity of the thin film.
  • the step of adsorbing the Ti-based thin film precursor ii) may include purging the residual Ti-based thin film precursor that is not preferably adsorbed with a purge gas.
  • the thin film manufacturing method may preferably include supplying a reaction gas after adsorbing the Ti-based thin film precursor on the surface of the substrate, and purging the reaction by-product of the Ti-based thin film precursor and the reaction gas with a purge gas.
  • the method of manufacturing a thin film comprises: a) vaporizing the growth inhibitor for thin film formation and adsorbing it to the surface of the substrate loaded in the ALD chamber; b) first purging the inside of the ALD chamber with a purge gas; c) vaporizing the Ti-based thin film precursor and adsorbing it to the surface of the loaded substrate in the ALD chamber; d) secondary purging the inside of the ALD chamber with a purge gas; e) supplying a reaction gas into the ALD chamber; And f) tertiary purging the inside of the ALD chamber with a purge gas; in this case, the thin film growth rate is appropriately lowered, and even when the deposition temperature is increased during the formation of the thin film, the process by-products generated are effectively removed.
  • the specific resistance is reduced and step coverage is greatly improved.
  • the growth inhibitor for thin film formation and the Ti-based thin film precursor may be preferably transferred into the ALD chamber by the VFC method, the DLI method, or the LDS method, that is, to the surface of the substrate, and more preferably, the LDS method is transferred into the ALD chamber.
  • the ratio of the amount (mg/cycle) of the growth inhibitor for thin film formation and the precursor compound in the ALD chamber may be preferably 1:1.5 to 1:20, more preferably 1:2 to 1:15, and more preferably 1:2 to 1:12, more preferably 1:2.5 to 1:10, and within this range, the reduction rate of the thin film growth rate (GPC) per cycle is high, and the effect of reducing process by-products is large.
  • the Ti-based thin film precursor is not particularly limited if it is a Ti-based thin film precursor that is typically used in ALD (atomic layer deposition method), but a preferred example may be titanium tetrahalide.
  • the tetrahalogenated titanium may be preferably at least one selected from the group consisting of TiF 4 , TiCl 4 , TiBr 4 and TiI 4.
  • TiCl 4 is preferable in terms of economic efficiency, but is not limited thereto.
  • the tetrahalogenated titanium has excellent thermal stability and is not decomposed at room temperature and exists in a liquid state, it can be usefully used to deposit a thin film as a thin film precursor of an ALD (atomic layer deposition method).
  • the Ti-based thin film precursor may be mixed with a non-polar solvent and introduced into the chamber, and in this case, there is an advantage in that the viscosity or vapor pressure of the Ti-based thin film precursor can be easily adjusted.
  • the non-polar solvent may preferably be at least one selected from the group consisting of alkanes and cycloalkanes, and in this case, although it contains an organic solvent that has low reactivity and solubility and is easy to manage moisture, even if the deposition temperature increases when forming a thin film, the step coverage ( step coverage) is improved.
  • the non-polar solvent may include a C1 to C10 alkane or a C3 to C10 cycloalkane, preferably a C3 to C10 cycloalkane, in this case, reactivity and It has the advantage of low solubility and easy moisture management.
  • C1, C3, etc. mean carbon number.
  • the cycloalkane may be preferably a C3 to C10 monocycloalkane, and of the monocycloalkanes, cyclopentane is a liquid at room temperature and is preferred in a vapor phase deposition process because of its highest vapor pressure, but is not limited thereto.
  • the non-polar solvent for example, has a solubility in water (25°C) of 200 mg/L or less, preferably 50 to 200 mg/L, more preferably 135 to 175 mg/L, and within this range, a Ti-based thin film There is an advantage in that the reactivity to the precursor is low and moisture management is easy.
  • solubility is not particularly limited if it is based on a measurement method or standard commonly used in the technical field to which the present invention belongs, and as an example, a saturated solution may be measured by HPLC method.
  • the non-polar solvent may preferably contain 5 to 95% by weight based on the total weight of the Ti-based thin film precursor and the non-polar solvent, more preferably 10 to 90% by weight, more preferably 40 It may contain to 90% by weight, and most preferably may contain 70 to 90% by weight.
  • the content of the non-polar solvent exceeds the upper limit, impurities are caused to increase the resistance and impurity levels in the thin film, and when the content of the organic solvent is less than the lower limit, the step coverage is improved due to the addition of the solvent.
  • impurities such as chlorine (Cl) ions are small.
  • the reduction rate of the thin film growth rate per cycle ( ⁇ /Cycle) calculated by the following Equation 1 is -5% or less, preferably -10% or less, more preferably -20% or less, It is more preferably -30% or less, even more preferably -40% or less, most preferably -45% or less, and is excellent in step coverage and film thickness uniformity within this range.
  • Thin film growth rate reduction rate per cycle [(Thin film growth rate per cycle when using the growth inhibitor for thin film formation-Thin film growth rate per cycle when the growth inhibitor for thin film formation was not used) / When the growth inhibitor for thin film formation was not used When thin film growth rate per cycle] X 100
  • the residual halogen intensity (c/s) in the thin film formed after 200 cycles, measured based on SIMS is preferably 10,000 or less, more preferably 8,000 or less, more preferably 7,000 or less, and even more preferably 6,000 or less. It can be, and the effect of preventing corrosion and deterioration within this range is excellent.
  • purging is preferably 1,000 to 10,000 sccm, more preferably 2,000 to 7,000 sccm, more preferably 2,500 to 6,000 sccm, and within this range, the thin film growth rate per cycle is reduced to a preferred range, and the process by-products are reduced. There is.
  • the ALD (Atomic Layer Deposition Process) is very advantageous in manufacturing an integrated circuit (IC) that requires a high aspect ratio, and in particular, it has excellent conformality and uniform coverage due to a self-limiting thin film growth mechanism. There are advantages such as (uniformity) and precise thickness control.
  • the thin film manufacturing method may be carried out at a deposition temperature in the range of 50 to 900° C., for example, preferably at a deposition temperature in the range of 300 to 700° C., more preferably at a deposition temperature in the range of 350 to 600° C. , More preferably, it is carried out at a deposition temperature in the range of 400 to 550 °C, and even more preferably is carried out at a deposition temperature in the range of 400 to 500 °C.
  • the thin film manufacturing method may be carried out at a deposition pressure in the range of 0.1 to 10 Torr, for example, preferably at a deposition pressure in the range of 0.5 to 5 Torr, and most preferably at a deposition pressure in the range of 1 to 3 Torr. , There is an effect of obtaining a thin film having a uniform thickness within this range.
  • the deposition temperature and the deposition pressure may be measured as a temperature and pressure formed in the deposition chamber, or may be measured as a temperature and pressure applied to a substrate in the deposition chamber.
  • the thin film manufacturing method preferably includes the steps of raising a temperature in the chamber to a deposition temperature before introducing the growth inhibitor for thin film formation into the chamber; And/or purging by injecting an inert gas into the chamber before introducing the growth inhibitor for thin film formation into the chamber.
  • the present invention is a thin film manufacturing apparatus capable of implementing the thin film manufacturing method, an ALD chamber, a first vaporizer for vaporizing a growth inhibitor for thin film formation, a first transport means for transporting the vaporized growth inhibitor for thin film formation into the ALD chamber, It may include a thin film manufacturing apparatus including a second vaporizer for vaporizing the Ti-based thin film precursor and a second transport means for transporting the vaporized Ti-based thin film precursor into the ALD chamber.
  • the carburetor and the transfer means are not particularly limited if the carburetor and transfer means are commonly used in the technical field to which the present invention belongs.
  • a substrate on which a thin film is to be formed is placed in a deposition chamber capable of atomic layer deposition.
  • the substrate may include a semiconductor substrate such as a silicon substrate or silicon oxide.
  • the substrate may further have a conductive layer or an insulating layer formed thereon.
  • the above-described growth inhibitor for thin film formation In order to deposit a thin film on a substrate placed in the deposition chamber, the above-described growth inhibitor for thin film formation, a Ti-based thin film precursor, or a mixture of a non-polar solvent and the above-described growth inhibitor is prepared, respectively.
  • the prepared inhibitor for thin film formation is injected into a vaporizer, and then converted into a vapor phase, transferred to a deposition chamber, and adsorbed on the substrate, and the unadsorbed inhibitor for thin film formation is purged.
  • the prepared Ti-based thin film precursor or a mixture of the non-polar solvent is injected into a vaporizer, and then converted into a vapor phase, transferred to a deposition chamber, and adsorbed onto the substrate, and the composition for forming a non-adsorbed thin film is purged.
  • a method of transferring a thin film formation inhibitor and a Ti-based thin film precursor to the deposition chamber is, for example, a method of transferring the volatilized gas using a gas phase flow controller (MFC) method (Vapor Flow Control; VFC) or a liquid mass flow controller (LMFC) method may be used to transfer a liquid (Liquid Delivery System; LDS), and preferably an LDS method.
  • MFC gas phase flow controller
  • VFC Vapent Flow Control
  • LMFC liquid mass flow controller
  • one or two or more mixed gases selected from argon (Ar), nitrogen (N 2 ), and helium (He) may be used as the transport gas or dilution gas for moving the inhibitor for thin film formation and the Ti-based thin film precursor on the substrate.
  • argon (Ar), nitrogen (N 2 ), and helium (He) may be used as the transport gas or dilution gas for moving the inhibitor for thin film formation and the Ti-based thin film precursor on the substrate.
  • He helium
  • an inert gas may be used as an example as the purge gas, and preferably the transport gas or the diluting gas may be used.
  • the reaction gas is not particularly limited if it is a reaction gas commonly used in the technical field to which the present invention belongs, and may preferably include a reducing agent, a nitriding agent, or an oxidizing agent.
  • the reducing agent and the Ti-based thin film precursor adsorbed on the substrate react to form a metal thin film, a metal nitride thin film is formed by the nitriding agent, and a metal oxide thin film is formed by the oxidizing agent.
  • the reducing agent may be ammonia gas (NH 3 ) or hydrogen gas (H 2 ), the nitrifying agent may be nitrogen gas (N 2 ), and the oxidizing agent may be H 2 O, H 2 O 2 , O 2 , O 3 and N 2 O may be one or more selected from the group consisting of.
  • the residual reaction gas that has not reacted is purged using an inert gas. Accordingly, it is possible to remove not only an excessive amount of reaction gas but also generated by-products.
  • the step of adsorbing the inhibitor for thin film formation on the substrate, the step of purging the inhibitor for thin film formation that is not adsorbed, the step of adsorbing the Ti-based thin film precursor on the substrate, the step of purging the unadsorbed thin film composition , Supplying the reaction gas, and purging the residual reaction gas as a unit cycle, and in order to form a thin film having a desired thickness, the unit cycle may be repeated.
  • the unit cycle may be, for example, 100 to 1000 times, preferably 100 to 500 times, more preferably 150 to 300 times, and within this range, the desired thin film properties are well expressed.
  • FIG. 1 is a process chart for explaining a conventional ALD process
  • FIG. 2 is a process chart for explaining an ALD process according to an embodiment of the present invention.
  • the growth inhibitor for thin film formation (Inhibitor) according to the present invention is first adsorbed before adsorbing the Ti-based thin film precursor (TiCl 4 ) to prevent the substrate surface from being protected.
  • process by-products such as HCl remain in the thin film (TiN) formed by reacting with the reaction gas (NH 3 ), thereby deteriorating the performance of the substrate due to corrosion or deterioration.
  • FIG. 1 is a process chart for explaining a conventional ALD process
  • the growth inhibitor for thin film formation (Inhibitor) according to the present invention is first adsorbed before adsorbing the Ti-based thin film precursor (TiCl 4 ) to prevent the substrate surface from being protected.
  • process by-products such as HCl remain in the thin film (TiN) formed by reacting with the reaction gas (
  • the growth inhibitor for thin film formation of the present invention is preferably the following Formula 1
  • A is carbon or silicon, B is hydrogen or alkyl having 1 to 3 carbon atoms, X is halogen, n is an integer of 1 to 15, o is an integer of 1 or more, and m is 0 to 2n+1.
  • B is preferably hydrogen or methyl
  • n is preferably an integer of 2 to 15, more preferably an integer of 2 to 10, even more preferably an integer of 2 to 6, and even more preferably an integer of 4 to 6, Within this range, the effect of removing process by-products is great and the step coverage is excellent.
  • X may be one or more selected from the group consisting of F, Cl, Br, and I as an example, and may preferably be Cl (chlorine), and in this case, the effect of suppressing side reactions and effectively removing process by-products is have.
  • o may be preferably an integer of 1 to 5, more preferably an integer of 1 to 3, and more preferably 1 or 2, and within this range, the effect of reducing the deposition rate is large. There is a more effective advantage in improving the step coverage.
  • the m is preferably 1 to 2n+1, more preferably 3 to 2n+1, and within this range, the effect of removing process by-products is large and the step coverage is excellent.
  • the compound represented by Formula 1 may be preferably a branched, cyclic or aromatic compound, and specific examples of 1,1-dichloroethane, 1,2-dichloroethane, dichloromethane, 2-chloropropane, 1-chloropropane, 1,2-dichloropropane, 1,3-dichloropropane, 2,2-dichloropropane, 1-chloropentane, 2-chloropentane, 3-chloropentane, chlorocyclopentane, n-butyl chloride, tert-butyl chloride, Consisting of sec-butyl chloride, isobutyl chloride, 1,2-dichlorobenzene, 1,4-dichlorobenzene, trimethylchlorosilane, trichloropropane, 2-chloro-2-methylbutane and 2-methyl-1-pentane, etc. It is at least one selected from the group, and in this case, there is an advantage that the
  • the compound represented by Formula 1 is preferably used in an atomic layer deposition (ALD) process, and in this case, effectively protects the surface of the substrate as a growth inhibitor without interfering with the adsorption of the Ti-based thin film precursor (Surface Protection; SP) And it has the advantage of effectively removing process by-products.
  • ALD atomic layer deposition
  • the compound represented by Formula 1 is preferably a liquid at room temperature (22° C.), has a density of 0.8 to 1.5 g/cm 3 , a vapor pressure (20° C.) of 1 to 300 mmHg, and solubility in water (25° C.) May be 200 mg/L or less, and within this range, there are excellent effects of step coverage and thickness uniformity of a thin film.
  • the compound represented by Formula 1 has a density of 0.85 to 1.3 g/cm 3 , a vapor pressure (20° C.) of 1 to 260 mmHg, and a solubility in water (25° C.) of 160 mg/L or less. In this range, there are excellent effects of step coverage and thickness uniformity of a thin film.
  • the semiconductor substrate of the present invention is characterized in that it is manufactured by the method of manufacturing a thin film according to the present invention, and in this case, by suppressing side reactions, appropriately lowering the thin film growth rate, and removing process by-products in the thin film, corrosion or deterioration is prevented, and step coverage ( step coverage) and thin film thickness uniformity are very excellent.
  • the prepared thin film preferably has a thickness of 20 nm or less, a specific resistance value of 0.1 to 400 ⁇ cm, a halogen content of 10,000 ppm or less, a step coverage ratio of 90% or more, and has a performance as a diffusion barrier within this range. This is excellent, and there is an effect of reducing the corrosion of the metal wiring material, but the present invention is not limited thereto.
  • the thin film may have a thickness of, for example, 5 to 20 nm, preferably 10 to 20 nm, more preferably 15 to 18.5 nm, more preferably 17 to 18.5 nm, and has excellent thin film properties within this range. There is.
  • the thin film has a resistivity value of, for example, 0.1 to 400 ⁇ cm, preferably 50 to 400 ⁇ cm, more preferably 200 to 400 ⁇ cm, more preferably 300 to 400 ⁇ cm, even more preferably Specifically, it may be 330 to 380 ⁇ cm, most preferably 340 to 370 ⁇ cm, and there is an excellent effect of thin film properties within this range.
  • the thin film may have a halogen content of more preferably 9,000 ppm or less or 1 to 9,000 ppm, more preferably 8,500 ppm or less or 100 to 8,500 ppm, even more preferably 8,200 ppm or less or 1,000 to 8,200 ppm, It is effective in reducing corrosion of metal wiring materials while having excellent thin film properties within the range.
  • the thin film has, for example, a step coverage of 80% or more, preferably 90% or more, more preferably 92% or more, and within this range, even a thin film having a complex structure can be easily deposited on a substrate. There are applicable advantages.
  • the prepared thin film may be, for example, TiN or TiO 2 thin film.
  • TiCl 4 was prepared as a growth inhibitor for thin film formation and a Ti-based thin film precursor described in Table 1 below.
  • the prepared growth inhibitor for thin film formation was put in a canister and supplied to a vaporizer heated to 150° C. at a flow rate of 0.05 g/min using an LMFC (Liquid Mass Flow Controller) at room temperature.
  • the growth inhibitor for thin film formation vaporized in the vapor phase in the vaporizer was introduced into the deposition chamber loaded with the substrate for 3 seconds, and then argon gas was supplied at 3000 sccm for 6 seconds to perform argon purging. At this time, the pressure in the reaction chamber was controlled to 1.3 Torr.
  • the prepared TiCl 4 was put in a separate canister and supplied to a separate vaporizer heated to 150° C. at a flow rate of 0.05 g/min using an LMFC (Liquid Mass Flow Controller) at room temperature.
  • LMFC Liquid Mass Flow Controller
  • argon gas was supplied at 3000 sccm for 6 seconds to perform argon purging.
  • the pressure in the reaction chamber was controlled to 1.3 Torr.
  • ammonia as a reactive gas was added to the reaction chamber for 5 seconds, followed by purging with argon for 10 seconds.
  • the substrate on which the metal thin film is to be formed was heated to 460°C. This process was repeated 200 times to form a TiN thin film as a self-limiting atomic layer.
  • Example 1 2-chloro-2-methylbutane
  • Example 2 n-butyl chloride
  • Example 3 trimethylchlorosilane
  • Example 4 2-chloropropane
  • Example 5 1,2,3-trichloropropane
  • Example 6 2-methyl-1-pentane
  • Example 7 1,2-dichlorobenzene
  • Comparative Example 1 A TiN thin film was formed on the substrate in the same manner as in Example 1, except that the growth inhibitor for thin film formation was not used in Example 1 and the step of purging the growth inhibitor for forming an unadsorbed thin film was omitted accordingly. I did.
  • Example 1 a TIN thin film was formed on the substrate in the same manner as in Example 1, except that pentane or cyclopentane, not the growth inhibitor for thin film formation described in Table 1, was used.
  • Example 1 using chloro-2-methylbutane as a growth inhibitor for thin film formation and Comparative Example 1 not including the same were compared.
  • the deposition rate was 0.20 ⁇ /Cycle, and the deposition rate decreased by 55.5% or more when compared to Comparative Example 1.
  • the remaining Examples 2 to 7 also had a deposition rate similar to that of Example 1.
  • Comparative Examples 2 and 3 using pentane or cyclopentane instead of the growth inhibitor for thin film formation according to the present invention also had the same deposition rate as Comparative Example 1.
  • the decrease in the deposition rate means changing the CVD deposition property to the ALD deposition property, it can be used as an index of improving the step coverage property.
  • Example 1-1 was carried out in the same manner as in Example 1 except for the injection amount of the growth inhibitor for thin film formation per cycle.
  • Example 1 Example 1-1 Injection volume per ALD cycle (mg/cycle) 0 1.6 3.2 Deposition rate ( ⁇ /cycle) 0.45 0.20 0.02
  • Example 1 using the growth inhibitor for thin film formation according to the present invention was reduced to a level of 1/3 compared to Comparative Example 1 without using it.
  • Figure 3 is a graph showing the change in the thickness of the thin film according to the increase of the ALD cycle of Example 7 (SP-TiCl 4 ) and Comparative Example 1 (TiCl 4) of the present invention. It could be confirmed that it became thinner.
  • FIG. 4 is a graph showing the change in deposition rate according to the feeding time of the growth inhibitor (SP) for thin film formation per ALD cycle of Examples 7-1 to 7-3 and Comparative Example 1 of the present invention.
  • the deposition rate per cycle was approximately 0.45 ⁇ /cycle, and the inhibitor for thin film formation according to the present invention was added for 0.7 seconds, 1 second, and 2 seconds, respectively.
  • the deposition rates were significantly reduced to 0.35 ⁇ /cycle, 0.2 ⁇ /cycle, and 0.1 ⁇ /cycle, respectively.
  • Examples 7-1, 7-2, and 7-3 were carried out in the same manner as in Example 7, except for the amount of the growth inhibitor for thin film formation.
  • Step coverage of the TiN thin films deposited in Example 1 and Comparative Example 1 was confirmed using TEM, and the results are shown in Table 5 and FIG. 5 below.
  • Example 1 using the growth inhibitor for thin film formation according to the present invention had a significantly higher step coverage compared to Comparative Example 1 not using it.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 박막 제조 방법에 관한 것으로, 보다 상세하게는 i)하기 화학식 1 [화학식 1] AnBmXo (상기 A는 탄소 또는 규소이고, 상기 B는 수소 또는 탄소수 1 내지 3의 알킬이며, 상기 X는 할로겐이고, 상기 n은 1 내지 15의 정수이며, 상기 o는 1 이상의 정수이고, m은 0 내지 2n+1이다.)로 표시되는 박막 형성용 성장 억제제를 기판 표면에 흡착시키는 단계; 및 ii)성장 억제제가 흡착된 기판 표면에 Ti계 박막 전구체를 흡착시키는 단계를 포함하는 것을 특징으로 하는 박막 제조 방법에 관한 것이다. 본 발명에 따르면, 부반응을 억제하여 박막 성장률을 낮추고 또한 박막 내 공정 부산물을 제거함으로써, 복잡한 구조를 갖는 기판 위에 박막을 형성하는 경우에도 단차 피복성(step coverage) 및 박막의 두께 균일성을 크게 향상시키는 박막 제조 방법을 제공하는 효과가 있다. [대표도] 도 2

Description

박막 제조 방법
본 발명은 박막 제조 방법에 관한 것으로, 보다 상세하게는 부반응을 억제하여 박막 성장률을 적절히 낮추고 박막 내 공정 부산물을 제거함으로써, 부식이나 열화를 막고 복잡한 구조를 갖는 기판 위에 박막을 형성하는 경우에도 단차 피복성(step coverage) 및 박막의 두께 균일성을 크게 향상시키는 박막 제조 방법에 관한 것이다.
메모리 및 비메모리 반도체 소자의 집적도는 나날이 증가하고 있으며, 그 구조가 점점 복잡해짐에 따라 다양한 박막을 기판에 증착시키는데 있어서 단차 피복성(step coverage)의 중요성이 점점 증대되고 있다.
상기 반도체용 박막은 질화금속, 산화금속, 규화금속 등으로 이루어진다. 상기 질화금속 박막으로는 질화티타늄(TiN), 질화탄탈륨(TaN), 질화지르코늄(ZrN) 등이 있으며, 상기 박막은 일반적으로 도핑된 반도체의 실리콘층과 층간 배선 재료로 사용되는 알루미늄(Al), 구리(Cu) 등과의 확산 방지막(diffusion barrier)으로 사용된다. 다만, 텅스텐(W) 박막을 기판에 증착할 때에는 접착층(adhesion layer)으로 사용된다.
기판에 증착된 박막이 우수하고 균일한 물성을 얻기 위해서는 형성된 박막의 높은 단차 피복성이 필수적이다. 따라서 기상반응을 주로 활용하는 CVD(chemical vapor deposition) 공정보다 표면반응을 활용하는 ALD(atomic layer deposition) 공정이 활용되고 있지만, 100% step coverage 구현을 위해서는 여전히 문제가 존재한다.
또한, 상기 질화금속 중에서 대표적인 질화티타늄(TiN)을 증착시키기 위해서 사용되는 사염화티타늄(TiCl4)의 경우, 제조된 박막 내 염화물과 같은 공정 부산물이 잔류하게 되어 알루미늄 등과 같은 금속의 부식을 유발하며, 비휘발성 부산물이 생성되는 문제로 막질의 열화를 초래한다.
따라서 복잡한 구조의 박막 형성이 가능하고, 층간 배선재료를 부식시키지 않는 박막의 제조 방법의 개발이 필요한 실정이다.
[선행기술문헌]
[특허문헌]
(특허문헌 1) 한국 공개특허 제2006-0037241호
상기와 같은 종래기술의 문제점을 해결하고자, 본 발명은 부반응을 억제하여 박막 성장률을 적절히 낮추고 박막 내 공정 부산물을 제거함으로써, 부식이나 열화를 방지하고 복잡한 구조를 갖는 기판 위에 박막을 형성하는 경우에도 단차 피복성(step coverage) 및 박막의 두께 균일성을 크게 향상시키는 박막 제조 방법을 제공하는 것을 목적으로 한다.
본 발명의 상기 목적 및 기타 목적들은 하기 설명된 본 발명에 의하여 모두 달성될 수 있다.
상기의 목적을 달성하기 위하여, 본 발명은 i)하기 화학식 1
[화학식 1]
AnBmXo
(상기 A는 탄소 또는 규소이고, 상기 B는 수소 또는 탄소수 1 내지 3의 알킬이며, 상기 X는 할로겐이고, 상기 n은 1 내지 15의 정수이며, 상기 o는 1 이상의 정수이고, m은 0 내지 2n+1이다.)로 표시되는 박막 형성용 성장 억제제를 기판 표면에 흡착시키는 단계; 및 ii)성장 억제제가 흡착된 기판 표면에 Ti계 박막 전구체를 흡착시키는 단계를 포함하는 박막 제조 방법을 제공한다.
또한, 본 발명은 ALD 챔버, 박막 형성용 성장 억제제를 기화하는 제1 기화기, 기화된 박막 형성용 성장 억제제를 ALD 챔버 내로 이송하는 제1 이송수단, Ti계 박막 전구체를 기화하는 제2 기화기 및 기화된 Ti계 박막 전구체를 ALD 챔버 내로 이송하는 제2 이송수단을 포함하는 박막 제조 장치를 제공한다.
본 발명에 따르면 부반응을 억제하고 증착 속도를 저감시켜 박막 성장률을 적절히 낮추고 또한 박막 내 공정 부산물을 제거함으로써, 부식이나 열화를 막고 복잡한 구조를 갖는 기판 위에 박막을 형성하는 경우에도 단차 피복성(step coverage) 및 박막의 두께 균일성을 크게 향상시키는 박막 제조 방법을 제공하는 효과가 있다.
도 1은 종래의 ALD 공정을 설명하기 위한 공정도이다.
도 2는 본 발명의 일 실시예에 따른 ALD 공정을 설명하기 위한 공정도이다.
도 3은 본 발명의 실시예 7(SP-TiCl4) 및 비교예 1(TiCl4)의 ALD 사이클 증가에 따른 박막 두께 변화를 나타내는 그래프이다.
도 4는 본 발명의 실시예 7-1 내지 7-3 및 비교예 1의 ALD 사이클 당 박막 형성용 성장 억제제(SP)의 피딩(feeding) 시간에 따른 증착속도 변화를 나타내는 그래프이다.
도 5는 본 발명의 실시예 1(SP-TiCl4) 및 비교예 1(TiCl4)에서 증착된 TIN 박막에 대한 TEM 사진이다.
이하 본 기재의 박막 제조 방법을 상세하게 설명한다.
본 발명자들은 ALD 챔버 내부에 로딩된 기판 표면에 Ti계 박막 전구체를 흡착시키기 전에 소정 구조를 갖는 할로겐 치환 화합물을 성장 억제제로서 먼저 흡착시키는 경우에 증착 후 형성되는 박막의 성장률이 낮아지고 공정 부산물로 잔류하던 할로겐화물이 크게 줄어들어 단차 피복성(step coverage) 등이 크게 향상되는 것을 확인하고, 이를 토대로 더욱 연구에 매진하여 본 발명을 완성하게 되었다.
본 발명의 박막 제조 방법은 i)하기 화학식 1
[화학식 1]
AnBmXo
(상기 A는 탄소 또는 규소이고, 상기 B는 수소 또는 탄소수 1 내지 3의 알킬이며, 상기 X는 할로겐이고, 상기 n은 1 내지 15의 정수이며, 상기 o는 1 이상의 정수이고, m은 0 내지 2n+1이다.)로 표시되는 박막 형성용 성장 억제제를 기판 표면에 흡착시키는 단계; 및 ii)성장 억제제가 흡착된 기판 표면에 Ti계 박막 전구체를 흡착시키는 단계를 포함하는 것을 특징으로 하고, 이와 같은 경우 박막 형성 시 부반응을 억제하여 박막 성장률을 낮추고 또한 박막 내 공정 부산물을 제거함으로써, 부식이나 열화가 저감되고 복잡한 구조를 갖는 기판 위에 박막을 형성하는 경우에도 단차 피복성(step coverage) 및 박막의 두께 균일성을 크게 향상시키는 효과가 있다.
상기 i)박막 형성용 성장 억제제를 기판 표면에 흡착시키는 단계는 기판 표면에 박막 형성용 성장 억제제의 공급 시간(Feeding Time)이 바람직하게 1 내지 10 초, 보다 바람직하게 1 내지 5 초, 더욱 바람직하게 2 내지 5 초, 보다 더욱 바람직하게 2 내지 4 초이고, 이 범위 내에서 박막 성장률이 낮고 단차 피복성 및 경제성이 우수한 이점이 있다.
본 기재에서 박막 형성용 성장 억제제의 공급 시간(Feeding Time)은 챔버의 부피 15 내지 20 L 및 유량 0.5 내지 5 mg/s을 기준으로 하고, 보다 구체적으로는 챔버의 부피 18 L 및 유량 1 내지 2 mg/s을 기준으로 한다.
상기 i)박막 형성용 성장 억제제를 기판 표면에 흡착시키는 단계는 바람직한 예로 박막 형성용 성장 억제제를 ALD 챔버 내로 주입하여 로딩(loading)된 기판 표면에 흡착시키는 단계를 포함할 수 있고, 이와 같은 경우 부반응을 억제하고 증착 속도를 늦추어 박막 성장률을 낮추며 또한 박막 내 공정 부산물을 제거하는 효과가 크다.
상기 i)박막 형성용 성장 억제제를 기판 표면에 흡착시키는 단계는 바람직하게 기판 표면에 흡착되지 않은 잔류 박막 형성용 성장 억제제를 퍼지 가스로 퍼징하는 것을 포함할 수 있고, 이 경우 박막 형성 시 부반응을 억제하여 박막 성장률을 낮추고 또한 박막 내 공정 부산물을 제거함으로써, 부식이나 열화가 저감되고 복잡한 구조를 갖는 기판 위에 박막을 형성하는 경우에도 단차 피복성 및 박막의 두께 균일성을 크게 향상시키는 이점이 있다.
또한, 상기 ii)Ti계 박막 전구체를 흡착시키는 단계는 바람직하게 흡착되지 않은 잔류 Ti계 박막 전구체를 퍼지 가스로 퍼징하는 것을 포함할 수 있다.
상기 박막 제조 방법은 바람직하게 기판 표면에 Ti계 박막 전구체를 흡착시킨 후 반응가스를 공급하는 단계 및 Ti계 박막 전구체와 반응가스의 반응 부산물을 퍼지 가스로 퍼징하는 단계를 포함할 수 있다.
상기 박막 제조 방법은 바람직한 일 실시예로 a) 상기 박막 형성용 성장 억제제를 기화하여 ALD 챔버 내 로딩된 기판 표면에 흡착시키는 단계; b) 상기 ALD 챔버 내부를 퍼지 가스로 1차 퍼징하는 단계; c) Ti계 박막 전구체를 기화하여 ALD 챔버 내 로딩된 기판 표면에 흡착시키는 단계; d) 상기 ALD 챔버 내부를 퍼지 가스로 2차 퍼징하는 단계; e) 상기 ALD 챔버 내부에 반응가스를 공급하는 단계; 및 f) 상기 ALD 챔버 내부를 퍼지 가스로 3차 퍼징하는 단계;를 포함할 수 있고, 이러한 경우 박막 성장률이 적절히 낮아지고, 박막 형성 시 증착 온도가 높아지더라도 생성되는 공정 부산물이 효과적으로 제거되어 박막의 비저항이 감소되고 단차 피복성(step coverage)이 크게 향상되는 이점이 있다.
상기 박막 형성용 성장 억제제 및 Ti계 박막 전구체는 바람직하게 VFC 방식, DLI 방식 또는 LDS 방식으로 ALD 챔버 내, 즉 기판 표면으로 이송될 수 있고, 보다 바람직하게는 LDS 방식으로 ALD 챔버 내로 이송되는 것이다.
상기 박막 형성용 성장 억제제와 상기 전구체 화합물의 ALD 챔버 내 투입량(mg/cycle) 비는 바람직하게 1:1.5 내지 1:20일 수 있고, 보다 바람직하게 1:2 내지 1:15이며, 더욱 바람직하게 1:2 내지 1:12이고, 보다 더욱 바람직하게 1:2.5 내지 1:10이며, 이 범위 내에서 사이클당 박막 성장률(GPC)의 감소율이 높고 공정 부산물의 저감효과가 크다.
상기 Ti계 박막 전구체는 통상적으로 ALD(원자층 증착방법)에 사용되는 Ti계 박막 전구체인 경우 특별히 제한되지 않으나, 바람직한 예로 테트라할로겐화 티타늄일 수 있다.
상기 테트라할로겐화 티타늄은 바람직하게 TiF4, TiCl4, TiBr4 및 TiI4로 이루어진 군으로부터 선택되는 적어도 어느 하나일 수 있고, 예컨대 TiCl4인 것이 경제성 측면에서 바람직하나 이에 한정되는 것은 아니다.
다만, 상기 테트라할로겐화 티타늄은 열적 안정성이 우수하여 상온에서 분해되지 않고 액체 상태로 존재하기 때문에, ALD(원자층 증착 방법)의 박막 전구체로 박막을 증착시키는데 유용하게 사용될 수 있다.
상기 Ti계 박막 전구체는 일례로 비극성 용매와 혼합하여 챔버 내로 투입될 수 있고, 이 경우 Ti계 박막 전구체의 점도나 증기압을 용이하게 조절 가능한 이점이 있다.
상기 비극성 용매는 바람직하게 알칸 및 사이클로 알칸으로 이루어진 군으로부터 선택된 1종 이상일 수 있고, 이러한 경우 반응성 및 용해도가 낮고 수분 관리가 용이한 유기용매를 함유하면서도 박막 형성 시 증착 온도가 증가되더라도 단차 피복성(step coverage)이 향상되는 이점이 있다.
보다 바람직한 예로, 상기 비극성 용매는 C1 내지 C10의 알칸(alkane) 또는 C3 내지 C10의 사이클로알칸(cycloalkane)을 포함할 수 있으며, 바람직하게는 C3 내지 C10의 사이클로알칸(cycloalkane)이고, 이 경우 반응성 및 용해도가 낮고 수분 관리가 용이한 이점이 있다.
본 기재에서 C1, C3 등은 탄소수를 의미한다.
상기 사이클로알칸은 바람직하게는 C3 내지 C10의 모노사이클로알칸일 수 있으며, 상기 모노사이클로알칸 중 사이클로펜탄(cyclopentane)이 상온에서 액체이며 가장 증기압이 높아 기상 증착 공정에서 바람직하나, 이에 한정되는 것은 아니다.
상기 비극성 용매는 일례로 물에서의 용해도(25℃)가 200 mg/L 이하, 바람직하게는 50 내지 200 mg/L, 보다 바람직하게는 135 내지 175 mg/L이고, 이 범위 내에서 Ti계 박막 전구체에 대한 반응성이 낮고 수분 관리가 용이한 이점이 있다.
본 기재에서 용해도는 본 발명이 속한 기술분야에서 통상적으로 사용하는 측정 방법이나 기준에 의하는 경우 특별히 제한되지 않고, 일례로 포화용액을 HPLC법으로 측정할 수 있다.
상기 비극성 용매는 바람직하게 Ti계 박막 전구체 및 비극성 용매를 합한 총 중량에 대하여 5 내지 95 중량%를 포함할 수 있고, 보다 바람직하게는 10 내지 90 중량%를 포함할 수 있으며, 더욱 바람직하게는 40 내지 90 중량%를 포함할 수 있고, 가장 바람직하게는 70 내지 90 중량%를 포함할 수 있다.
만약, 상기 비극성 용매의 함량이 상기 상한치를 초과하여 투입되면 불순물을 유발하여 저항과 박막내 불순물 수치가 증가하고, 상기 유기용매의 함량이 상기 하한치 미만으로 투입될 경우 용매 첨가로 인한 단차 피복성의 향상 효과 및 염소(Cl) 이온과 같은 불순물의 저감효과가 적은 단점이 있다.
상기 박막 제조 방법은 일례로 하기 수학식 1로 계산되어지는 사이클당 박막 성장률(Å/Cycle) 감소율이 -5 % 이하이고, 바람직하게는 -10 % 이하, 보다 바람직하게는 -20 % 이하이고, 더욱 바람직하게는 -30 % 이하, 보다 더욱 바람직하게는 -40 % 이하, 가장 바람직하게는 -45 % 이하이며, 이 범위 내에서 단차 피복성 및 막의 두께 균일성이 우수하다.
[수학식 1]
사이클당 박막 성장률 감소율(%) = [(박막 형성용 성장 억제제를 사용했을 때 사이클당 박막 성장률 - 박막 형성용 성장 억제제를 사용하지 않았을 때 사이클당 박막 성장률) / 박막 형성용 성장 억제제를 사용하지 않았을 때 사이클당 박막 성장률] X 100
상기 박막 제조 방법은 SIMS에 의거하여 측정된, 200 사이클 후 형성된 박막 내 잔류 할로겐 세기(c/s)가 바람직하게 10,000 이하, 보다 바람직하게 8,000 이하, 더욱 바람직하게 7,000 이하, 보다 더욱 바람직하게 6,000 이하일 수 있고, 이러한 범위 내에서 부식 및 열화가 방지되는 효과가 우수하다.
본 기재에서 퍼징은 바람직하게 1,000 내지 10,000 sccm, 보다 바람직하게 2,000 내지 7,000 sccm, 더욱 바람직하게 2,500 내지 6,000 sccm이고, 이 범위 내에서 사이클당 박막 성장률이 바람직한 범위로 감소되고, 공정 부산물이 저감되는 효과가 있다.
상기 ALD(원자층 증착공정)은 높은 종횡비가 요구되는 집적회로(IC: Integrated Circuit) 제작에 있어서 매우 유리하며, 특히 자기제한적인 박막 성장 메커니즘에 의해 우수한 단차 도포성 (conformality), 균일한 피복성 (uniformity) 및 정밀한 두께 제어 등과 같은 이점이 있다.
상기 박막 제조 방법은 일례로 50 내지 900 ℃ 범위의 증착 온도에서 실시할 수 있고, 바람직하게는 300 내지 700 ℃ 범위의 증착 온도에서, 보다 바람직하게는 350 내지 600 ℃ 범위의 증착 온도에서 실시하는 것이며, 더욱 바람직하게는 400 내지 550 ℃ 범위의 증착 온도에서 실시하는 것이고, 보다 더욱 바람직하게는 400 내지 500 ℃ 범위의 증착 온도에서 실시하는 것인데, 이 범위 내에서 ALD 공정 특성을 구현하면서 우수한 막질의 박막으로 성장시키는 효과가 크다.
상기 박막 제조 방법은 일례로 0.1 내지 10 Torr 범위의 증착 압력에서 실시할 수 있고, 바람직하게는 0.5 내지 5 Torr 범위의 증착 압력에서, 가장 바람직하게는 1 내지 3 Torr 범위의 증착 압력에서 실시하는 것인데, 이 범위 내에서 균일한 두께의 박막을 얻는 효과가 있다.
본 기재에서 증착 온도 및 증착 압력은 증착 챔버 내 형성되는 온도 및 압력으로 측정되거나, 증착 챔버 내 기판에 가해지는 온도 및 압력으로 측정될 수 있다.
상기 박막 제조 방법은 바람직하게 상기 박막 형성용 성장 억제제를 챔버 내에 투입하기 전에 챔버 내 온도를 증착 온도로 승온하는 단계; 및/또는 상기 박막 형성용 성장 억제제를 챔버 내에 투입하기 전에 챔버 내에 비활성 기체를 주입하여 퍼징하는 단계를 포함할 수 있다.
또한, 본 발명은 상기 박막 제조 방법을 구현할 수 있는 박막 제조 장치로 ALD 챔버, 박막 형성용 성장 억제제를 기화하는 제1 기화기, 기화된 박막 형성용 성장 억제제를 ALD 챔버 내로 이송하는 제1 이송수단, Ti계 박막 전구체를 기화하는 제2 기화기 및 기화된 Ti계 박막 전구체를 ALD 챔버 내로 이송하는 제2 이송수단을 포함하는 박막 제조 장치를 포함할 수 있다. 여기에서 기화기 및 이송수단은 본 발명이 속한 기술분야에서 통상적으로 사용되는 기화기 및 이송수단인 경우 특별히 제한되지 않는다.
구체적인 예로서, 상기 박막 제조 방법에 대해 설명하면,
먼저 상부에 박막이 형성될 기판을 원자층 증착이 가능한 증착 챔버 내에 위치시킨다.
상기 기판은 실리콘 기판, 실리콘 옥사이드 등의 반도체 기판을 포함할 수 있다.
상기 기판은 그 상부에 도전층 또는 절연층이 더 형성되어 있을 수 있다.
상기 증착 챔버 내에 위치시킨 기판 상에 박막을 증착하기 위해서 상술한 박막 형성용 성장 억제제와, Ti계 박막 전구체 또는 이와 비극성 용매의 혼합물을, 각각 준비한다.
이후 준비된 박막 형성용 억제제를 기화기 내로 주입한 후 증기상으로 변화시켜 증착 챔버로 전달하여 기판 상에 흡착시키고, 미흡착된 박막 형성용 억제제를 퍼징(purging)시킨다.
다음으로, 준비된 Ti계 박막 전구체 또는 이와 비극성 용매의 혼합물을 기화기 내로 주입한 후 증기상으로 변화시켜 증착 챔버로 전달하여 기판 상에 흡착시키고, 미흡착된 박막 형성용 조성물을 퍼징(purging)시킨다.
본 기재에서 박막 형성용 억제제 및 Ti계 박막 전구체 등을 증착 챔버로 전달하는 방식은 일례로 기체상 유량 제어(Mass Flow Controller; MFC) 방법을 활용하여 휘발된 기체를 이송하는 방식(Vapor Flow Control; VFC) 또는 액체상 유량 제어 (Liquid Mass Flow Controller; LMFC) 방법을 활용하여 액체를 이송하는 방식(Liquid Delivery System; LDS)을 사용할 수 있고, 바람직하게는 LDS 방식을 사용하는 것이다.
이때 박막 형성용 억제제 및 Ti계 박막 전구체 등을 기판 상에 이동시키기 위한 운송 가스 또는 희석 가스로는 아르곤(Ar), 질소(N2), 헬륨(He) 중에서 선택되는 하나 또는 둘 이상의 혼합 기체를 사용할 수 있으나, 제한되는 것은 아니다.
본 기재에서 퍼지 가스로는 일례로 비활성 가스가 사용될 수 있고, 바람직하게는 상기 운송 가스 또는 희석 가스를 사용할 수 있다.
다음으로, 반응가스를 공급한다. 상기 반응가스로는 본 발명이 속한 기술분야에서 통상적으로 사용되는 반응가스인 경우 특별히 제한되지 않고, 바람직하게 환원제, 질화제 또는 산화제를 포함할 수 있다. 상기 환원제와 기판에 흡착된 Ti계 박막 전구체가 반응하여 금속 박막이 형성되고, 상기 질화제에 의해서는 금속질화물 박막이 형성되며, 상기 산화제에 의해서는 금속산화물 박막이 형성된다.
바람직하게는 상기 환원제는 암모니아 가스(NH3) 또는 수소 가스(H2)일 수 있고, 상기 질화제는 질소 가스(N2)일 수 있으며, 상기 산화제는 H2O, H2O2, O2, O3 및 N2O으로 이루어진 군으로부터 선택된 1종 이상일 수 있다.
다음으로, 비활성 가스를 이용하여 반응하지 않은 잔류 반응가스를 퍼징시킨다. 이에 따라, 과량의 반응가스뿐만 아니라 생성된 부산물도 함께 제거할 수 있다.
위와 같이, 박막 형성용 억제제를 기판 상에 흡착시키는 단계, 미흡착된 박막 형성용 억제제를 퍼징하는 단계, Ti계 박막 전구체를 기판 상에 흡착시키는 단계, 미흡착된 박막 형성용 조성물을 퍼징하는 단계, 반응가스을 공급하는 단계, 잔류 반응가스를 퍼징하는 단계를 단위 사이클로 하며, 원하는 두께의 박막을 형성하기 위해, 상기 단위 사이클을 반복할 수 있다.
상기 단위 사이클은 일례로 100 내지 1000회, 바람직하게는 100 내지 500회, 보다 바람직하게는 150 내지 300회일 수 있고, 이 범위 내에서 목적하는 박막 특성이 잘 발현되는 효과가 있다.
하기 도 1은 종래의 ALD 공정을 설명하기 위한 공정도이고, 하기 도 2는 본 발명의 일 실시예에 따른 ALD 공정을 설명하기 위한 공정도이다. 도 1을 참조하면, 종래의 ALD 공정에서와 같이 본 발명에 따른 박막 형성용 성장 억제제(Inhibitor)를 Ti계 박막 전구체(TiCl4)를 흡착시키기 전에 먼저 흡착시켜 기판 표면을 보호(protection)하지 않는 경우 반응가스(NH3)와 반응하여 형성된 박막(TiN)에 HCl과 같은 공정 부산물이 남게 되어 부식이나 열화로 기판의 성능을 저하시킨다. 그러나 도 2에서와 같이 본 발명에 따른 박막 형성용 성장 억제제(Inhibitor; TSI)를 Ti계 박막 전구체(TiCl4)을 흡착시키기 전에 먼저 흡착시켜 기판 표면을 보호(Surface Protection; SP)하는 경우 박막(TiN) 형성 시 반응가스(NH3)와 반응하여 발생된 HCl과 같은 공정 부산물이 박막 형성용 성장 억제제와 함께 제거됨으로써 기판의 부식이나 열화를 방지하고, 나아가 사이클당 박막 성장률을 적절히 낮추어 단차 피복성 및 박막 두께의 균일성 또한 개선시킨다.
본 발명의 박막 형성용 성장 억제제는 바람직하게 하기 화학식 1
[화학식 1]
AnBmXo
(상기 A는 탄소 또는 규소이고, 상기 B는 수소 또는 탄소수 1 내지 3의 알킬이며, 상기 X는 할로겐이고, 상기 n은 1 내지 15의 정수이며, 상기 o는 1 이상의 정수이고, m은 0 내지 2n+1이다.)로 표시되는 화합물인 것을 특징으로 하고, 이와 같은 경우 박막 형성 시 부반응을 억제하여 박막 성장률을 낮추고 또한 박막 내 공정 부산물을 제거함으로써, 부식이나 열화가 저감되고 복잡한 구조를 갖는 기판 위에 박막을 형성하는 경우에도 단차 피복성(step coverage) 및 박막의 두께 균일성을 크게 향상시키는 효과가 있다.
상기 B는 바람직하게 수소 또는 메틸이고, 상기 n은 바람직하게 2 내지 15의 정수, 보다 바람직하게 2 내지 10의 정수, 더욱 바람직하게 2 내지 6의 정수, 보다 더욱 바람직하게 4 내지 6의 정수이며, 이 범위 내에서 공정 부산물 제거 효과가 크고 단차 피복성이 우수하다.
상기 화학식 1에서 X는 일례로 F, Cl, Br 및 I로 이루어진 군으로부터 선택된 1종 이상일 수 있고, 바람직하게 Cl(염소)일 수 있으며, 이 경우 부반응을 억제하고 공정 부산물을 효과적으로 제거하는 효과가 있다.
상기 화학식 1에서 상기 o는 바람직하게 1 내지 5의 정수일 수 있고, 보다 바람직하게 1 내지 3의 정수일 수 있으며, 더욱 바람직하게는 1 또는 2일 수 있고, 이 범위 내에서 증착 속도의 감소효과가 커 단차 피복성 개선에 더욱 효과적인 이점이 있다.
상기 m은 바람직하게는 1 내지 2n+1이고, 보다 바람직하게는 3 내지 2n+1이며, 이 범위 내에서 공정 부산물 제거 효과가 크고 단차 피복성이 우수하다.
상기 화학식 1로 표시되는 화합물은 바람직하게 분지형, 환형 또는 방향족 화합물일 수 있고, 구체적인 예로 1,1-디클로로에탄, 1,2-디클로로에탄, 디클로로메탄, 2-클로로프로판, 1-클로로프로판, 1,2-디클로로프로판, 1,3-디클로로프로판, 2,2-디클로로프로판, 1-클로로펜탄, 2-클로로펜탄, 3-클로로펜탄, 클로로사이클로페탄, n-부틸클로라이드, tert-부틸 클로라이드, sec-부틸 클로라이드, 이소부틸 클로라이드, 1,2-디클로로벤젠, 1,4-디클로로벤젠, 트리메틸클로로실란, 트리클로로프로판, 2-클로로-2-메틸부탄 및 2-메틸-1-펜탄 등으로 이루어진 군으로부터 선택된 1종 이상이며, 이 경우 공정 부산물 제거 효과가 크고 단차 피복성이 우수한 이점이 있다.
상기 화학식 1로 표시되는 화합물은 바람직하게 원자층 증착(ALD) 공정에 사용되는 것이며, 이 경우 Ti계 박막 전구체의 흡착을 방해하지 않으면서 성장 억제제로서 기판의 표면을 효과적으로 보호(Surface Protection; SP)하고 공정 부산물을 효과적으로 제거하는 이점이 있다.
상기 화학식 1로 표시되는 화합물은 바람직하게 상온(22℃)에서 액체이고, 밀도가 0.8 내지 1.5 g/cm3이며, 증기압(20℃)이 1 내지 300 mmHg이고, 물에서의 용해도(25℃)가 200 mg/L 이하일 수 있으며, 이 범위 내에서 단차 피복성 및 박막의 두께 균일성이 우수한 효과가 있다.
보다 바람직하게는, 상기 화학식 1로 표시되는 화합물은 밀도가 0.85 내지 1.3 g/cm3이며, 증기압(20℃)이 1 내지 260 mmHg이고, 물에서의 용해도(25℃)가 160 mg/L 이하일 수 있으며, 이 범위 내에서 단차 피복성 및 박막의 두께 균일성이 우수한 효과가 있다.
본 발명의 반도체 기판은 본 기재의 박막 제조 방법으로 제조됨을 특징으로 하고, 이러한 경우 부반응을 억제하여 박막 성장률을 적절히 낮추고 또한 박막 내 공정 부산물을 제거함으로써, 부식이나 열화가 방지되고, 단차 피복성(step coverage) 및 박막의 두께 균일성이 크게 뛰어난 효과가 있다.
상기 제조된 박막은 바람직하게 두께가 20 nm 이하이고, 비저항 값이 0.1 내지 400 μΩ·cm이며, 할로겐 함량이 10,000 ppm 이하이고, 단차피복율이 90% 이상이며, 이 범위 내에서 확산 방지막으로서 성능이 뛰어나고, 금속 배선재료의 부식이 저감되는 효과가 있지만, 이에 한정하는 것은 아니다.
상기 박막은 두께가 일례로 5 내지 20 nm, 바람직하게는 10 내지 20 nm, 보다 바람직하게는 15 내지 18.5 nm, 더욱 바람직하게는 17 내지 18.5 nm일 수 있고, 이 범위 내에서 박막 특성이 우수한 효과가 있다.
상기 박막은 비저항 값이 일례로 0.1 내지 400 μΩ·cm, 바람직하게는 50 내지 400 μΩ·cm, 보다 바람직하게는 200 내지 400 μΩ·cm, 더욱 바람직하게는 300 내지 400 μΩ·cm, 보다 더욱 바람직하게는 330 내지 380 μΩ·cm, 가장 바람직하게는 340 내지 370 μΩ·cm일 수 있고, 이 범위 내에서 박막 특성이 우수한 효과가 있다.
상기 박막은 할로겐 함량이 보다 바람직하게는 9,000 ppm 이하 또는 1 내지 9,000 ppm, 더욱 바람직하게는 8,500 ppm 이하 또는 100 내지 8,500 ppm, 보다 더욱 바람직하게는 8,200 ppm 이하 또는 1,000 내지 8,200 ppm일 수 있고, 이 범위 내에서 박막 특성이 우수하면서도 금속 배선재료의 부식이 저감되는 효과가 있다.
상기 박막은 일례로 단차 피복률이 80% 이상, 바람직하게는 90% 이상, 보다 바람직하게는 92% 이상이며, 이 범위 내에서 복잡한 구조의 박막이라도 용이하게 기판에 증착시킬 수 있어 차세대 반도체 장치에 적용 가능한 이점이 있다.
상기 제조된 박막은 일례로 TiN 이거나 TiO2 박막일 수 있다.
이하, 본 발명의 이해를 돕기 위하여 바람직한 실시예 및 도면을 제시하나, 하기 실시예 및 도면은 본 발명을 예시하는 것일 뿐 본 발명의 범주 및 기술사상 범위 내에서 다양한 변경 및 수정이 가능함은 당업자에게 있어서 명백한 것이며, 이러한 변형 및 수정이 첨부된 특허청구범위에 속하는 것도 당연한 것이다.
[실시예]
실시예 1 내지 7
하기 표 1에 기재된 박막 형성용 성장 억제제와, Ti계 박막 전구체로 TiCl4를 각각 준비하였다. 준비된 박막 형성용 성장 억제제를 캐니스터에 담아 상온에서 LMFC(Liquid Mass Flow Controller)를 이용하여 0.05 g/min의 유속으로 150 ℃로 가열된 기화기로 공급하였다. 기화기에서 증기상으로 기화된 박막 형성용 성장 억제제를 3초 동안 기판이 로딩된 증착 챔버에 투입한 후 아르곤 가스를 3000 sccm으로 6초 동안 공급하여 아르곤 퍼징을 실시하였다. 이때 반응 챔버내 압력은 1.3 Torr로 제어하였다. 다음으로 준비된 TiCl4를 별도의 캐니스터에 담아 상온에서 LMFC(Liquid Mass Flow Controller)를 이용하여 0.05 g/min의 유속으로 150 ℃로 가열된 별도의 기화기로 공급하였다. 기화기에서 증기상으로 기화된 TiCl4를 3초 동안 증착 챔버에 투입한 후 아르곤 가스를 3000 sccm으로 6초 동안 공급하여 아르곤 퍼징을 실시하였다. 이때 반응 챔버내 압력은 1.3 Torr로 제어하였다. 다음으로 반응성 가스로서 암모니아를 5초 동안 상기 반응 챔버에 투입한 후, 10초 동안 아르곤 퍼징을 실시하였다. 이때 금속 박막이 형성될 기판을 460 ℃로 가열하였다. 이와 같은 공정을 200회 반복하여 자기-제한 원자층인 TiN 박막을 형성하였다.
구분 박막 형성용 성장 억제제
실시예 1 2-chloro-2-methylbutane
실시예 2 n-butyl chloride
실시예 3 trimethylchlorosilane
실시예 4 2-chloropropane
실시예 5 1,2,3-trichloropropane
실시예 6 2-methyl-1-pentane
실시예 7 1,2-dichlorobenzene
비교예 1실시예 1에서 박막 형성용 성장 억제제를 사용하지 않은 것과 이에 따라 미흡착 박막 형성용 성장 억제제를 퍼징하는 단계를 생략한 것을 제외하고는 실시예 1과 동일한 방법으로 기판 위에 TiN 박막을 형성하였다.
비교예 2 및 3
실시예 1에서 상기 표 1에 기재된 박막 형성용 성장 억제제가 아닌 펜탄(Pentane) 또는 시클로펜탄(Cyclopentane)을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 기판 위에 TIN 박막을 형성하였다.
[실험예]
1) 증착평가
하기 표 2를 참조하여, chloro-2-methylbutane을 박막 형성용 성장 억제제로 사용한 실시예 1과 이를 포함하지 않은 비교예 1을 비교하였다. 그 결과 증착 속도는 0.20 Å/Cycle이고, 비교예 1과 비교하였을 때 55.5 % 이상 증착 속도가 감소하였다. 나머지 실시예 2 내지 7도 실시예 1과 유사한 값의 증착 속도를 가지는 것을 확인할 수 있었다. 또한, 본 발명에 따른 박막 형성용 성장 억제제 대신 펜탄 또는 시클로펜탄을 사용한 비교예 2 및 3도 비교예 1과 동일한 값의 증착 속도를 가지는 것을 확인할 수 있었다. 이때 증착 속도 감소는 CVD 증착 특성을 ALD 증착 특성으로 변화시키는 것을 의미하기 때문에 단차 피복 특성 개선의 지표로 활용될 수 있다.
구분 성장 억제제 증착 속도 (Å/cycle)
실시예 1 2-chloro-2-methylbutane 0.20
실시예 2 n-butyl chloride 0.31
실시예 3 trimethylchlorosilane 0.28
실시예 4 2-chloropropane 0.20
실시예 5 1,2,3-trichloropropane 0.32
실시예 6 2-methyl-1-pentane 0.28
실시예 7 1,2-dichlorobenzene 0.30
비교예 1 X 0.45
비교예 2 Pentane 0.45
비교예 3 Cyclopentane 0.45
또한, 하기 표 3에 나타낸 바와 같이, 박막 형성용 성장 억제제인 chloro-2-methylbutane의 주입양에 따라 증착 속도는 지속적으로 감소하는 것을 확인할 수 있었다. 여기에서 실시예 1-1은 실시예 1에서 사이클당 박막 형성용 성장 억제제의 주입량을 제외하고는 동일한 방법으로 실시하였다.
구분 비교예 1 실시예 1 실시예 1-1
ALD cycle당 주입량 (mg/cycle) 0 1.6 3.2
증착 속도 (Å/cycle) 0.45 0.20 0.02
2) 불순물 저감특성
실시예 1 및 비교예 1에서 증착된 TiN 박막의 불순물 저감특성, 즉 공정 부산물 저감특성을 비교하기 위해 SIMS 분석을 진행하였고, 그 결과는 아래 표 4에 나타내었다.
구분 실시예 1 비교예 1
ALD cycle당 주입량 (mg/cycle) 1.6 0
Cl intensity (c/s) 5907.05 17270.25
상기 표 4에 나타낸 바와 같이, 본 발명에 따른 박막 형성용 성장 억제제를 사용한 실시예 1이 이를 사용하지 않은 비교예 1에 비하여 1/3 수준으로 감소함을 확인할 수 있었다.
또한, 하기 도 3은 본 발명의 실시예 7(SP-TiCl4) 및 비교예 1(TiCl4)의 ALD 사이클 증가에 따른 박막 두께 변화를 나타내는 그래프로, 실시예 7의 경우 박막의 두께가 크게 얇아진 것을 확인할 수 있었다.
또한, 도 4는 본 발명의 실시예 7-1 내지 7-3 및 비교예 1의 ALD 사이클 당 박막 형성용 성장 억제제(SP)의 피딩(feeding) 시간에 따른 증착속도 변화를 나타내는 그래프로, 비교예 1과 같이 본 발명에 따른 박막 형성용 억제제를 사용하지 않은 경우 사이클당 증착속도가 대략 0.45 Å/cycle이었던 것이, 본 발명에 따른 박막 형성용 억제제를 각각 0.7 초, 1 초, 2 초 투입한 실시예 7-1, 7-2, 7-3의 경우에는 증착속도가 각각 0.35 Å/cycle, 0.2 Å/cycle, 0.1 Å/cycle로 크게 낮아진 것을 확인할 수 있었다. 여기에서 실시예 7-1, 7-2, 7-3은 실시예 7에서 박막 형성용 성장 억제제의 투입량을 제외하고는 동일한 방법으로 실시하였다.
3) 단차 피복 특성
실시예 1 및 비교예 1에서 증착된 TiN 박막을 TEM을 이용하여 단차 피복성을 확인하였고, 그 결과는 하기 표 5 및 도 5에 나타내었다.
구분 실시예 1 비교예 1
단차 피복율(%) 92% 78%
상기 표 5에 나타낸 바와 같이, 본 발명에 따른 박막 형성용 성장 억제제를 사용한 실시예 1이 이를 사용하지 않은 비교예 1에 비하여 단차 피복율이 현저히 높은 것을 확인할 수 있었다.
또한, 하기 도 5의 TEM 사진을 참작하면, 실시예 1(SP-TiCl4)에서 증착된 TIN 박막의 Top과 bottom의 두께 균일도는 비교예 1(TiCl4)에서 증착된 TIN 박막 대비 단차 도포성(conformality)이 더 우수함을 확인할 수 있었다.

Claims (16)

  1. i)하기 화학식 1로 표시되는 박막 형성용 성장 억제제를 기판 표면에 흡착시키는 단계; 및 ii)성장 억제제가 흡착된 기판 표면에 Ti계 박막 전구체를 흡착시키는 단계를 포함하는 것을 특징으로 하는
    박막 제조 방법.
    [화학식 1]
    AnBmXo
    (상기 A는 탄소 또는 규소이고, 상기 B는 수소 또는 탄소수 1 내지 3의 알킬이며, 상기 X는 할로겐이고, 상기 n은 1 내지 15의 정수이며, 상기 o는 1 이상의 정수이고, m은 0 내지 2n+1이다.)
  2. 제 1항에 있어서,
    상기 i)박막 형성용 성장 억제제를 기판 표면에 흡착시키는 단계는 기판 표면에 박막 형성용 성장 억제제의 공급 시간(Feeding Time)이 1 내지 10 초인 것을 특징으로 하는
    박막 제조 방법.
  3. 제 1항에 있어서,
    상기 i)박막 형성용 성장 억제제를 기판 표면에 흡착시키는 단계는 박막 형성용 성장 억제제를 ALD 챔버 내로 주입하여 로딩(loading)된 기판 표면에 흡착시키는 것을 특징으로 하는
    박막 제조 방법
  4. 제 1항에 있어서,
    상기 i)박막 형성용 성장 억제제를 기판 표면에 흡착시키는 단계는 기판 표면에 흡착되지 않은 잔류 박막 형성용 성장 억제제를 퍼지 가스로 퍼징하는 것을 특징으로 하는
    박막 제조 방법.
  5. 제 1항에 있어서,
    상기 ii)Ti계 박막 전구체를 흡착시키는 단계는 흡착되지 않은 잔류 Ti계 박막 전구체를 퍼지 가스로 퍼징하는 것을 특징으로 하는
    박막 제조 방법.
  6. 제 1항에 있어서,
    상기 박막 제조 방법은 기판 표면에 Ti계 박막 전구체를 흡착시킨 후 반응가스를 공급하는 단계 및 Ti계 박막 전구체와 반응가스의 반응 부산물을 퍼지 가스로 퍼징하는 단계를 포함하는 것을 특징으로 하는
    박막 제조 방법.
  7. 제 6항에 있어서,
    상기 반응가스는 환원제, 질화제 또는 산화제인 것을 특징으로 하는
    박막 제조 방법.
  8. 제 1항에 있어서,
    상기 박막 형성용 성장 억제제 및 Ti계 박막 전구체는 VFC 방식, DLI 방식 또는 LDS 방식에 의해 기판 표면으로 이송되는 것을 특징으로 하는
    박막 제조 방법.
  9. 제 1항에 있어서,
    상기 박막 형성용 성장 억제제와 상기 Ti계 박막 전구체의 기판 표면에 투입량(mg/cycle) 비가 1 : 1.5 내지 1 : 20인 것을 특징으로 하는
    박막 제조 방법.
  10. 제 1항에 있어서,
    상기 화학식 1에서 X는 염소(Cl)인 것을 특징으로 하는
    박막 제조 방법.
  11. 제 1항에 있어서,
    상기 화학식 1에서 상기 o는 1 내지 5의 정수인 것을 특징으로 하는
    박막 제조 방법.
  12. 제 1항에 있어서,
    상기 화학식 1로 표시되는 화합물은 분지형, 환형 또는 방향족 화합물인 것을 특징으로 하는
    박막 제조 방법.
  13. 제 1항에 있어서,
    상기 화학식 1로 표시되는 화합물은 상온(22℃)에서 액체이고, 밀도가 0.8 내지 1.5 g/cm3이며, 증기압(20℃)이 1 내지 300 mmHg이고, 물에서의 용해도(25℃)가 200 mg/L 이하인 것을 특징으로 하는
    박막 제조 방법.
  14. 제 1항에 있어서,
    상기 박막 제조 방법은 하기 수학식 1로 계산되어지는 사이클당 박막 성장률(Å/Cycle) 감소율이 -5 % 이하인 것을 특징으로 하는
    박막 제조 방법
    [수학식 1]
    사이클당 박막 성장률 감소율(%) = [(박막 형성용 성장 억제제를 사용했을 때 사이클당 박막 성장률 - 박막 형성용 성장 억제제를 사용하지 않았을 때 사이클당 박막 성장률) / 박막 형성용 성장 억제제를 사용하지 않았을 때 사이클당 박막 성장률] X 100
  15. 제 1항에 있어서,
    상기 박막 제조 방법은 SIMS에 의거하여 측정된, 200 사이클 후 형성된 박막 내 잔류 할로겐 세기(c/s)가 10,000 이하인 것을 특징으로 하는
    박막 제조 방법.
  16. ALD 챔버,
    박막 형성용 성장 억제제를 기화하는 제1 기화기,
    기화된 박막 형성용 성장 억제제를 ALD 챔버 내로 이송하는 제1 이송수단,
    Ti계 박막 전구체를 기화하는 제2 기화기 및
    기화된 Ti계 박막 전구체를 ALD 챔버 내로 이송하는 제2 이송수단을 포함하는 것을 특징으로 하는
    박막 제조 장치.
PCT/KR2020/012930 2019-09-25 2020-09-24 박막 제조 방법 WO2021060860A1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020190118416A KR102141547B1 (ko) 2019-09-25 2019-09-25 박막 제조 방법
KR10-2019-0118416 2019-09-25

Publications (1)

Publication Number Publication Date
WO2021060860A1 true WO2021060860A1 (ko) 2021-04-01

Family

ID=72471356

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2020/012930 WO2021060860A1 (ko) 2019-09-25 2020-09-24 박막 제조 방법

Country Status (6)

Country Link
US (2) US11746411B2 (ko)
JP (1) JP2021050409A (ko)
KR (1) KR102141547B1 (ko)
CN (1) CN112553599A (ko)
TW (1) TWI733346B (ko)
WO (1) WO2021060860A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024090846A1 (ko) * 2022-10-26 2024-05-02 솔브레인 주식회사 진공 기반 박막 개질제, 이를 포함한 박막 개질 조성물, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102138149B1 (ko) * 2019-08-29 2020-07-27 솔브레인 주식회사 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
KR102141547B1 (ko) * 2019-09-25 2020-09-14 솔브레인 주식회사 박막 제조 방법
US11967502B2 (en) * 2020-06-30 2024-04-23 Samsung Electronics Co., Ltd. Methods of forming material layer, semiconductor devices, and methods of manufacturing the same
KR102353976B1 (ko) * 2020-07-16 2022-01-21 솔브레인 주식회사 박막 형성용 성장 조절제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
CN116888512A (zh) 2021-08-31 2023-10-13 住友大阪水泥股份有限公司 光波导元件、使用光波导元件的光调制器件及光发送装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010032726A (ko) * 1997-12-02 2001-04-25 조셉 제이. 스위니 현장 예비세정 단계를 포함하는 웨이퍼상의 티타늄화학기상증착
KR20030071226A (ko) * 2002-02-28 2003-09-03 김재정 루테늄 박막 형성 방법
KR20130105238A (ko) * 2012-03-14 2013-09-25 삼성전자주식회사 반도체 소자의 제조 방법
KR20130116173A (ko) * 2012-04-12 2013-10-23 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR102141547B1 (ko) * 2019-09-25 2020-09-14 솔브레인 주식회사 박막 제조 방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
KR19990074809A (ko) * 1998-03-14 1999-10-05 윤종용 박막 제조 방법
US6514879B2 (en) * 1999-12-17 2003-02-04 Intel Corporation Method and apparatus for dry/catalytic-wet steam oxidation of silicon
US7084080B2 (en) * 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
JP5082242B2 (ja) 2003-07-16 2012-11-28 コニカミノルタホールディングス株式会社 薄膜形成方法
US8030212B2 (en) * 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
JP5393895B2 (ja) * 2010-09-01 2014-01-22 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
KR101243331B1 (ko) * 2010-12-17 2013-03-13 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조 방법
US8871617B2 (en) * 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US10103057B2 (en) * 2014-11-11 2018-10-16 The Board Of Trustees Of The University Of Illinois Use of an inhibitor molecule in chemical vapor deposition to afford deposition of copper on a metal substrate with no deposition on adjacent SIO2 substrate
KR102527897B1 (ko) * 2015-10-23 2023-04-28 어플라이드 머티어리얼스, 인코포레이티드 표면 포이즈닝 처리에 의한 바텀 업 갭-충전
US10468264B2 (en) * 2016-07-04 2019-11-05 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
KR102375981B1 (ko) * 2016-07-04 2022-03-18 삼성전자주식회사 반도체 장치 제조 방법 및 반도체 장치 제조 설비
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) * 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
TWI762194B (zh) * 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010032726A (ko) * 1997-12-02 2001-04-25 조셉 제이. 스위니 현장 예비세정 단계를 포함하는 웨이퍼상의 티타늄화학기상증착
KR20030071226A (ko) * 2002-02-28 2003-09-03 김재정 루테늄 박막 형성 방법
KR20130105238A (ko) * 2012-03-14 2013-09-25 삼성전자주식회사 반도체 소자의 제조 방법
KR20130116173A (ko) * 2012-04-12 2013-10-23 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR102141547B1 (ko) * 2019-09-25 2020-09-14 솔브레인 주식회사 박막 제조 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024090846A1 (ko) * 2022-10-26 2024-05-02 솔브레인 주식회사 진공 기반 박막 개질제, 이를 포함한 박막 개질 조성물, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자

Also Published As

Publication number Publication date
CN112553599A (zh) 2021-03-26
US11746411B2 (en) 2023-09-05
TWI733346B (zh) 2021-07-11
US20210090892A1 (en) 2021-03-25
JP2021050409A (ja) 2021-04-01
KR102141547B1 (ko) 2020-09-14
TW202113116A (zh) 2021-04-01
US20220293423A1 (en) 2022-09-15

Similar Documents

Publication Publication Date Title
WO2021060860A1 (ko) 박막 제조 방법
WO2021060864A1 (ko) 박막 제조 방법
WO2022015098A1 (ko) 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
KR102138149B1 (ko) 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
WO2022010214A1 (ko) 펠리클 보호 박막 형성용 성장 억제제, 이를 이용한 펠리클 보호 박막 형성 방법 및 이로부터 제조된 마스크
WO2022015099A1 (ko) 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
WO2020130216A1 (ko) 희토류 전구체, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법
WO2023195653A1 (ko) 활성화제, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2021261890A1 (ko) 박막 형성용 프리커서, 이의 제조방법 및 이를 포함하는 박막 제조 방법
WO2023038484A1 (ko) 막질 개선제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
WO2024076216A1 (ko) 활성화제, 이를 사용하여 제조된 반도체 기판 및 반도체 소자
WO2023191360A1 (ko) 계단율 개선제, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
KR20210036251A (ko) 박막 제조 방법
WO2023195656A1 (ko) 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2023195654A1 (ko) 박막 개질 조성물, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2023195657A1 (ko) 박막 개질 조성물, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2024090846A1 (ko) 진공 기반 박막 개질제, 이를 포함한 박막 개질 조성물, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2023195655A1 (ko) 박막 차폐제, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2023167483A1 (ko) 박막 개질 조성물, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2021137594A1 (ko) 표면 보호 물질을 이용한 물질막 형성 방법
WO2023096270A1 (ko) 고유전율 박막용 가리움제, 이를 이용한 선택영역증착 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2023153647A1 (ko) 산화막 반응면제어제, 이를 이용한 산화막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2023090910A1 (ko) 유기 금속 화합물을 이용하여 박막을 형성하는 방법 및 이로부터 제조된 박막
WO2021137595A1 (ko) 표면 보호 물질을 이용한 물질막 형성 방법
WO2023191361A1 (ko) 박막 개질 조성물, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20869361

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20869361

Country of ref document: EP

Kind code of ref document: A1