WO2020067183A1 - 多層レジストプロセス用下層膜形成組成物及びパターン形成方法 - Google Patents

多層レジストプロセス用下層膜形成組成物及びパターン形成方法 Download PDF

Info

Publication number
WO2020067183A1
WO2020067183A1 PCT/JP2019/037643 JP2019037643W WO2020067183A1 WO 2020067183 A1 WO2020067183 A1 WO 2020067183A1 JP 2019037643 W JP2019037643 W JP 2019037643W WO 2020067183 A1 WO2020067183 A1 WO 2020067183A1
Authority
WO
WIPO (PCT)
Prior art keywords
underlayer film
group
polymer
forming composition
resist
Prior art date
Application number
PCT/JP2019/037643
Other languages
English (en)
French (fr)
Inventor
信也 峯岸
崇 片切
孝史 辻
晃太 西野
裕之 小松
裕也 大西
Original Assignee
Jsr株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jsr株式会社 filed Critical Jsr株式会社
Priority to JP2020549302A priority Critical patent/JP7355024B2/ja
Publication of WO2020067183A1 publication Critical patent/WO2020067183A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Definitions

  • the present invention relates to an underlayer film forming composition for a multilayer resist process and a pattern forming method.
  • a method is used in which a resist underlayer film is formed on a substrate using a resist underlayer film forming composition, and a resist pattern is formed on the resist underlayer film using the resist film forming composition or the like. ing.
  • the resist underlayer film is etched using the resist pattern as a mask, and the substrate can be further etched using the obtained resist underlayer film pattern as a mask.
  • the resist underlayer film is required to be able to suppress the occurrence of cracks in the silicon-containing film and to reduce the warpage of the substrate.
  • the resist underlayer film is required to be easily reworked by removing it by hydrogen-based plasma etching or the like.
  • the present invention has been made based on the above circumstances, and an object of the present invention is to suppress the occurrence of cracks in a silicon-containing film in a multilayer resist process, to reduce the warpage of a substrate, and to provide a resist excellent in removability.
  • An object of the present invention is to provide an underlayer film forming composition and a pattern forming method for a multilayer resist process capable of forming an underlayer film.
  • the invention made to solve the above-mentioned problem includes a polymer having one or more first structural units derived from an acrylate ester, and a solvent, and all the structural units constituting the polymer are contained. Wherein the content of the first structural unit is 65 mol% or more.
  • a step of directly or indirectly coating the substrate with the underlayer film forming composition for a multilayer resist process, and a step of applying the underlayer film forming composition for the multilayer resist process A step of directly or indirectly forming a silicon-containing film on the formed resist underlayer film, a step of directly or indirectly applying a resist film-forming composition to the silicon-containing film, and a step of coating the resist film-forming composition
  • a polymer having two or more types of first structural units and a solvent, wherein the content ratio of the first structural units to all structural units constituting the polymer is 65.
  • a pattern forming method is Le% or more.
  • composition for forming an underlayer film for a multilayer resist process of the present invention generation of cracks in a silicon-containing film in a multilayer resist process can be suppressed, and warpage of a substrate can be reduced. Further, according to the composition for forming an underlayer film for a multilayer resist process of the present invention, a resist underlayer film having excellent removability can be formed. ADVANTAGE OF THE INVENTION According to the pattern formation method of this invention, generation
  • the underlayer film forming composition for a multilayer resist process includes one or more first structural units (hereinafter, referred to as “structural units (hereinafter, referred to as“ structural units ”)) derived from an acrylate ester. I)) (hereinafter also referred to as “[A] polymer”) and a solvent (hereinafter also referred to as “[B] solvent”).
  • the content ratio of the structural unit (I) to all the constituent structural units is 65 mol% or more.
  • the underlayer film forming composition comprises a thermal acid generator (hereinafter, also referred to as “[C] thermal acid generator”) and / or a crosslinking agent (hereinafter, “[A]”) in addition to the polymer [A] and the solvent [B].
  • [C] thermal acid generator also referred to as “[C] thermal acid generator”
  • [A] crosslinking agent
  • D also referred to as “crosslinking agent”
  • crosslinking agent may contain other optional components as long as the effects of the present invention are not impaired.
  • the lower layer film-forming composition contains the polymer [A] having one or more structural units (I), and the content of the structural unit (I) is set to the specific value or more, It is possible to suppress the occurrence of cracks in the silicon-containing film in the multilayer resist process, to reduce the warpage of the substrate, and to form a resist underlayer film having excellent removability.
  • the polymer is a polymer having one or more structural units (I).
  • the polymer may have a structural unit derived from a vinyl aromatic compound (hereinafter, also referred to as “structural unit (II)”) in addition to the structural unit (I).
  • structural unit (II) a vinyl aromatic compound
  • the polymer may have another structural unit other than the structural unit (I) and the structural unit (II).
  • the structural unit (I) is a structural unit derived from an acrylate ester.
  • the polymer may have one type of structural unit (I), or may have two or more types of structural units (I).
  • “one or more” of the structural units (I) means the number of types of the structural units (I) of the polymer [A]. It does not mean the number of polymerization of the structural unit (I) constituting the polymer (that is, the degree of polymerization).
  • the acrylate examples include alkyl acrylates such as methyl acrylate and butyl acrylate, hydroxyalkyl acrylates such as hydroxyethyl acrylate, hydroxypropyl acrylate and hydroxybutyl acrylate, methoxyethyl acrylate, and acrylic acid Methoxypropyl, alkoxyalkyl acrylates such as ethoxyethyl acrylate, phenyl acrylate, aryl acrylates such as naphthyl acrylate, oxacyclopentylmethyl acrylate, oxacycloalkyl alkyl acrylate such as oxacyclohexylmethyl acrylate, Oxocycloalkylalkyl acrylates such as oxocyclopentylmethyl acrylate and oxacyclohexylmethyl acrylate , Acrylic acid-dioxo alkoxyalkyl esters of acrylic acid di-oxo-butoxyeth
  • structural unit (I) examples include a structural unit represented by the following formula (1) (hereinafter, also referred to as “structural unit (I-1)”).
  • R 1 is a monovalent organic group having 1 to 20 carbon atoms.
  • Organic group refers to a group containing at least one carbon atom.
  • the monovalent organic group having 1 to 20 carbon atoms includes, for example, a monovalent hydrocarbon group having 1 to 20 carbon atoms, and a group containing a divalent hetero atom-containing group between carbon and carbon of the hydrocarbon group (hereinafter referred to as a monovalent hydrocarbon group).
  • Group ( ⁇ ) a group in which part or all of the hydrogen atoms of the hydrocarbon group or the group ( ⁇ ) are substituted with a monovalent hetero atom-containing group, and the like.
  • the “hydrocarbon group” includes a chain hydrocarbon group, an alicyclic hydrocarbon group and an aromatic hydrocarbon group. This “hydrocarbon group” may be a saturated hydrocarbon group or an unsaturated hydrocarbon group.
  • chain hydrocarbon group refers to a hydrocarbon group that does not include a cyclic structure but includes only a chain structure, and includes both a linear hydrocarbon group and a branched hydrocarbon group.
  • Alicyclic hydrocarbon group refers to a hydrocarbon group containing only an alicyclic structure as a ring structure and not containing an aromatic ring structure, and includes a monocyclic alicyclic hydrocarbon group and a polycyclic alicyclic group. Contains both hydrocarbon groups.
  • “Aromatic hydrocarbon group” refers to a hydrocarbon group containing an aromatic ring structure as a ring structure. However, it is not necessary to be composed of only an aromatic ring structure, and a part thereof may include a chain structure or an alicyclic structure.
  • Examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms include alkyl groups such as methyl group, ethyl group, propyl group, butyl group and pentyl group, alkenyl groups such as ethenyl group, propenyl group and butenyl group, and ethynyl group.
  • divalent hetero atom-containing group examples include —CO—, —CS—, —NH—, —O—, —S—, and a combination thereof.
  • Examples of the monovalent hetero atom-containing group include a hydroxy group, a sulfanyl group, a cyano group, a nitro group, and a halogen atom.
  • R 1 is an alkyl group having 1 to 20 carbon atoms, a hydroxyalkyl group having 1 to 20 carbon atoms, an alkoxyalkyl group having 2 to 20 carbon atoms, an aryl group having 6 to 20 carbon atoms, a cyclo group having 3 to 18 carbon atoms.
  • a group containing —CO— or —O— between the carbon atoms of the alkyl group or a group containing at least one of —CO— and —O— between the carbon atoms of the alkyl group having 1 to 18 carbon atoms is preferable.
  • a group containing —CO— or —O— between carbon atoms, or a group containing at least one of —CO— and —O— between carbon atoms of an alkyl group having 1 to 8 carbon atoms is more preferable.
  • R 1 As the above-mentioned group, the generation of cracks in the silicon-containing film can be further suppressed, the warpage of the substrate can be further reduced, and the removability of the resist underlayer film can be further improved.
  • hydroxyalkyl group means a group in which one hydrogen atom of an alkyl group is substituted with a hydroxy group
  • alkoxyalkyl group means that one hydrogen atom of an alkyl group is substituted with an alkoxy group. Means a group substituted with a group.
  • R 1 in the above formula (1) is an alkyl group having 1 to 6 carbon atoms
  • Structural units that are hydroxyalkyl groups or alkoxyalkyl groups having 2 to 6 carbon atoms are preferable.
  • structural units (I ′) Structural units that are hydroxyalkyl groups or alkoxyalkyl groups having 2 to 6 carbon atoms
  • At least one of the structural units (I) is an alkyl group having 1 to 6 carbon atoms, wherein R 1 in the above formula (1) is It is preferably a structural unit (structural unit (I ′)) that is a hydroxyalkyl group having 1 to 6 or an alkoxyalkyl group having 2 to 6 carbon atoms.
  • structural unit (I ′) is a structural unit that is a hydroxyalkyl group having 1 to 6 or an alkoxyalkyl group having 2 to 6 carbon atoms.
  • structural unit (I) for example, structural units represented by the following formulas (1-1) to (1-9) (hereinafter, “structural units (I-1-1) to (I-1-9)”) And the like).
  • the lower limit of the content ratio of the structural unit (I) is 65 mol%, preferably 70 mol%, more preferably 75 mol%, and more preferably 80 mol%, based on all structural units constituting the polymer [A]. Is more preferable, and 90 mol% is particularly preferable.
  • the content ratio of the structural unit (I) may be 100 mol%.
  • the structural unit (II) is a structural unit derived from a vinyl aromatic compound.
  • Vinyl aromatic compound refers to a compound having an aromatic carbon ring to which a vinyl group is bonded.
  • Examples of the vinyl aromatic compound include substituted or unsubstituted styrene, substituted or unsubstituted vinyl naphthalene, substituted or unsubstituted vinyl anthracene, and substituted or unsubstituted vinyl pyrene.
  • Examples of the substituent on the aromatic ring include an alkyl group such as a methyl group and a t-butyl group, a hydroxyalkyl group such as a hydroxymethyl group and a hydroxyethyl group, a hydroxy group, and a halogen atom.
  • structural unit (II) examples include a structural unit represented by the following formula (2) (hereinafter, also referred to as “structural unit (II-1)”).
  • Ar 1 is a group obtained by removing (n + 1) hydrogen atoms from an arene having 6 to 20 ring members.
  • R 2 is a hydroxy group, a halogen atom or a monovalent organic group having 1 to 20 carbon atoms.
  • n is an integer of 0 to 11. When n is 2 or more, a plurality of R 2 are the same or different from each other.
  • Examples of the arene having 6 to 20 ring members that provide Ar 1 include benzene, naphthalene, anthracene, pyrene, and tetracene. Of these, benzene or naphthalene is preferred.
  • Examples of the monovalent organic group having 1 to 20 carbon atoms represented by R 2 include the same groups as the monovalent organic group having 1 to 20 carbon atoms exemplified as R 1 in the above formula (1). Can be
  • R 2 is preferably a monovalent organic group, more preferably a hydroxyalkyl group, and further preferably a hydroxymethyl group.
  • ⁇ n is preferably from 0 to 3, more preferably from 0 to 2, and even more preferably 0 or 1.
  • the lower limit of the content ratio of the structural unit (II) is preferably 1 mol% with respect to all the structural units constituting the polymer [A]. Mole% is more preferable, 10 mol% is further preferable, and 25 mol% is particularly preferable. As a maximum of the above-mentioned content rate, 50 mol% is preferred, 40 mol% is more preferred, and 35 mol% is still more preferred.
  • Examples of other structural units include a structural unit derived from a methacrylic acid ester, a structural unit derived from (meth) acrylic acid, a structural unit derived from an acenaphthylene compound, and the like.
  • the upper limit of the content of the other structural units is preferably 20 mol%, more preferably 5 mol%.
  • the content of other structural units in the polymer may be 0 mol%.
  • the polymer may have a crosslinkable functional group.
  • the crosslinkage of the polymer [A] is promoted by using the following [C] thermal acid generator and / or [D] crosslinker together, and as a result, In addition, the solvent resistance of the resist underlayer film can be further improved.
  • the crosslinkable functional group include a hydroxyalkyl group and an epoxy group. Among these, a hydroxyalkyl group is preferred.
  • the polymer may have a crosslinkable functional group in the structural unit (I) or in a structural unit other than the structural unit (I).
  • the lower limit of the content of oxygen atoms in the polymer is preferably 10% by mass, more preferably 15% by mass, still more preferably 20% by mass, and particularly preferably 23% by mass.
  • the upper limit of the oxygen atom content in the polymer is preferably 80% by mass, more preferably 70% by mass, still more preferably 60% by mass, and particularly preferably 50% by mass.
  • the content of oxygen atoms in the polymer is in the above range, the generation of cracks in the silicon-containing film can be further suppressed, the warpage of the substrate can be further reduced, and the removability of the resist underlayer film can be further improved. be able to.
  • the lower limit of the weight average molecular weight (Mw) of the polymer [A] is preferably 2,000, more preferably 10,000, even more preferably 20,000, and particularly preferably 30,000.
  • the upper limit of Mw is preferably 300,000, more preferably 200,000, further preferably 100,000, and particularly preferably 70,000.
  • the upper limit of the ratio of Mw of the polymer to the number average molecular weight (Mn) is preferably 5, more preferably 3, and even more preferably 2.
  • the Mw and Mn of the polymer were measured using a GPC column (2 pieces of “G2000HXL” and 1 piece of “G3000HXL”) manufactured by Tosoh Corporation, flow rate: 1.0 mL / min, elution solvent: tetrahydrofuran, column Temperature: A value measured by gel permeation chromatography (detector: differential refractometer) using monodisperse polystyrene as a standard under analysis conditions of 40 ° C.
  • the lower limit of the content of the polymer [A] is preferably 50% by mass, more preferably 70% by mass, and still more preferably 80% by mass, based on the solid content of the underlayer film forming composition.
  • the upper limit of the content is preferably 99% by mass, more preferably 95% by mass, and still more preferably 90% by mass.
  • Solid content refers to all components other than the [B] solvent in the underlayer film forming composition.
  • the polymer is composed of an acrylate ester that provides the structural unit (I) and, if necessary, a compound that provides a structural unit other than the structural unit (I), in such amounts that the respective content ratios become predetermined. It can be synthesized by polymerization using a known method.
  • the solvent is not particularly limited as long as it can dissolve or disperse the polymer [A] and optional components contained as necessary.
  • Solvents include, for example, alcohol solvents, ketone solvents, ether solvents, ester solvents, and nitrogen-containing solvents.
  • the solvent can be used alone or in combination of two or more.
  • alcohol-based solvent examples include monoalcohol-based solvents such as methanol, ethanol, and n-propanol, and polyhydric alcohol-based solvents such as ethylene glycol and 1,2-propylene glycol.
  • ketone solvents examples include chain ketone solvents such as methyl ethyl ketone and methyl isobutyl ketone, and cyclic ketone solvents such as cyclohexanone.
  • ether solvent examples include chain ether solvents such as n-butyl ether, polyhydric alcohol ether solvents such as cyclic ether solvents such as tetrahydrofuran and 1,4-dioxane, and polyhydric alcohol partial ethers such as diethylene glycol monomethyl ether. System solvents and the like.
  • ester solvents examples include carbonate solvents such as diethyl carbonate, monoacetate solvents such as methyl acetate and ethyl acetate, lactone solvents such as ⁇ -butyrolactone, and diethylene glycol monomethyl ether acetate and propylene glycol monomethyl ether acetate.
  • carbonate solvents such as diethyl carbonate
  • monoacetate solvents such as methyl acetate and ethyl acetate
  • lactone solvents such as ⁇ -butyrolactone
  • diethylene glycol monomethyl ether acetate and propylene glycol monomethyl ether acetate examples include a hydric alcohol partial ether carboxylate-based solvent and a lactic acid ester-based solvent such as methyl lactate and ethyl lactate.
  • nitrogen-containing solvent examples include a chain nitrogen-containing solvent such as N, N-dimethylacetamide and a cyclic nitrogen-containing solvent such as N-methylpyrrolidone.
  • the solvent is preferably an ester solvent and / or a ketone solvent, more preferably a polyhydric alcohol partial ether carboxylate solvent and / or a cyclic ketone solvent, and further preferably propylene glycol monomethyl ether acetate and / or cyclohexanone. preferable.
  • the thermal acid generator is a component that generates an acid by the action of heat and promotes the crosslinking of the polymer [A].
  • the underlayer film forming composition contains the thermal acid generator [C]
  • the crosslinking reaction of the polymer [A] is promoted, and the solvent resistance of the formed resist underlayer film can be further improved.
  • thermal acid generator examples include onium salt compounds and N-sulfonyloxyimide compounds.
  • Examples of the onium salt compound include triphenylsulfonium trifluoromethanesulfonate, triphenylsulfonium 2- (adamantan-1-ylcarbonyloxy) -1,1,3,3,3-pentafluoropropane-1-sulfonate, triphenylsulfonium Norbornanesulfon-2-yloxycarbonyldifluoromethanesulfonate, triphenylsulfoniumpiperidin-1-ylsulfonyl-1,1,2,2,3,3-hexafluoropropane-1-sulfonate, triphenylsulfonium adamantane-1-yl Oxycarbonyldifluoromethanesulfonate, 4-cyclohexylphenyldiphenylsulfonium camphorsulfonate, 4-methanesulfonylphenyldiphenylsulfonium nonaf Sulf
  • N-sulfonyloxyimide compound examples include N- (trifluoromethanesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboximide, N- (camphorsulfonyloxy) bicyclo [2 2.2.1] hept-5-ene-2,3-dicarboximide and the like.
  • the thermal acid generator is preferably an onium salt compound, more preferably an iodonium salt, and further preferably bis (4-t-butylphenyl) iodonium trifluoromethanesulfonate.
  • the lower limit of the content of the [C] thermal acid generator is 0.1 mass per 100 parts by mass of the polymer [A]. Is preferably 0.5 part by mass, more preferably 1 part by mass, and particularly preferably 2 parts by mass.
  • the upper limit of the content is preferably 30 parts by mass, more preferably 20 parts by mass, still more preferably 10 parts by mass, and particularly preferably 8 parts by mass. [C] By setting the content of the thermal acid generator in the above range, the solvent resistance of the resist underlayer film can be further improved.
  • the cross-linking agent is a component that forms a cross-linking between components such as the polymer [A] in the underlayer film forming composition by the action of heat or an acid, or forms a cross-linked structure by itself.
  • the underlayer film forming composition contains the crosslinking agent [D]
  • the solvent resistance of the formed resist underlayer film can be further improved.
  • crosslinking agent examples include a polyfunctional (meth) acrylate compound, an epoxy compound, a phenol compound substituted with a hydroxymethyl group, a phenol compound containing an alkoxyalkyl group, and a compound having an alkoxyalkylated amino group.
  • polyfunctional (meth) acrylate compound examples include trimethylolpropane tri (meth) acrylate, ditrimethylolpropanetetra (meth) acrylate, pentaerythritol tri (meth) acrylate, pentaerythritol tetra (meth) acrylate, dipentaerythritol penta ( (Meth) acrylate, dipentaerythritol hexa (meth) acrylate, glycerin tri (meth) acrylate, tris (2-hydroxyethyl) isocyanurate tri (meth) acrylate, ethylene glycol di (meth) acrylate, 1,3-butanediol di (Meth) acrylate, 1,4-butanediol di (meth) acrylate, 1,6-hexanediol di (meth) acrylate, neopentyl glycol di (meth) Acrylate
  • Examples of the epoxy compound include a novolak epoxy resin, a bisphenol epoxy resin, an alicyclic epoxy resin, and an aliphatic epoxy resin.
  • hydroxymethyl group-substituted phenol compound examples include 2-hydroxymethyl-4,6-dimethylphenol, 1,3,5-trihydroxymethylbenzene, 3,5-dihydroxymethyl-4-methoxytoluene [2,6-bis (Hydroxymethyl) -p-cresol].
  • alkoxyalkyl group-containing phenol compound examples include a methoxymethyl group-containing phenol compound and an ethoxymethyl group-containing phenol compound.
  • Examples of the compound having an alkoxyalkylated amino group include a plurality of compounds in one molecule such as (poly) methylolated melamine, (poly) methylolated glycoluril, (poly) methylolated benzoguanamine, (poly) methylolated urea, and the like.
  • the compound having an alkoxyalkylated amino group may be a mixture of a plurality of substituted compounds, or may contain an oligomer component obtained by partially self-condensing.
  • crosslinking agent a compound having an alkoxyalkylated amino group is preferable, (poly) methylolated glycoluril is more preferable, and 1,3,4,6-tetrakis (methoxymethyl) glycoluril is more preferable.
  • the lower limit of the content of the [D] crosslinking agent is preferably 0.1 part by mass with respect to 100 parts by mass of the polymer [A]. 1 part by mass is more preferable, 3 parts by mass is more preferable, and 5 parts by mass is particularly preferable.
  • the upper limit of the content is preferably 500 parts by mass, more preferably 30 parts by mass, further preferably 20 parts by mass, and particularly preferably 15 parts by mass. [D] By setting the content of the crosslinking agent in the above range, the solvent resistance of the resist underlayer film can be further improved.
  • Other optional ingredients include, for example, surfactants and adhesion aids.
  • the underlayer film forming composition is prepared by, for example, mixing the polymer [A], the solvent [B], and optional components used as necessary at a predetermined ratio, and preferably mixing the obtained mixed solution with a pore size of 0.2 ⁇ m. It can be prepared by filtering with the following filter.
  • the lower limit of the solid content of the underlayer film forming composition is preferably 0.1% by mass, more preferably 1% by mass, still more preferably 5% by mass, and particularly preferably 10% by mass.
  • the upper limit of the solid content concentration is preferably 50% by mass, more preferably 40% by mass, still more preferably 30% by mass, and particularly preferably 20% by mass.
  • the “solid content concentration” means the concentration (% by mass) of all components other than the [B] solvent in the underlayer film forming composition.
  • the pattern forming method includes a step of directly or indirectly applying a lower-layer film forming composition for a multilayer resist process to a substrate (hereinafter, also referred to as a “lower-layer film forming composition coating step for a multi-layer resist process”) and the above-described multilayer method.
  • a step of directly or indirectly forming a silicon-containing film on the resist underlayer film formed by the resist process underlayer film-forming composition coating step (hereinafter, also referred to as a “silicon-containing film forming step”);
  • a step of directly or indirectly applying a composition for forming a resist film (hereinafter, also referred to as a “step of applying a composition for forming a resist film”) and a resist film formed by the step of applying a composition for forming a resist film (Hereinafter, also referred to as an “exposure step”), and a step of developing the exposed resist film (hereinafter, also referred to as a “development step”).
  • the above-described underlayer film forming composition is used as the underlayer film forming composition for a multilayer resist process.
  • the pattern forming method may further include, after the developing step, a step of performing etching using the resist pattern formed by the developing step as a mask (hereinafter, also referred to as an “etching step”).
  • the pattern forming method generation of cracks in the silicon-containing film in the multilayer resist process can be suppressed, the warpage of the substrate can be reduced, and a good pattern can be formed.
  • the underlayer film forming composition is applied directly or indirectly to the substrate.
  • the substrate examples include a silicon wafer and a wafer coated with aluminum.
  • the method of applying the underlayer film forming composition is not particularly limited, and can be carried out by an appropriate method such as spin coating, cast coating, roll coating, etc., thereby forming a coated film. can do.
  • the coating film may be heated.
  • the heating of the coating film is usually performed in the air, but may be performed in a nitrogen atmosphere.
  • the lower limit of the heating temperature is preferably 200 ° C., more preferably 250 ° C., and even more preferably 280 ° C.
  • the upper limit of the temperature is preferably 600 ° C., more preferably 500 ° C., and even more preferably 400 ° C.
  • the lower limit of the heating time is preferably 15 seconds, and more preferably 30 seconds. As an upper limit of the above-mentioned time, 1,200 seconds are preferred and 600 seconds are more preferred.
  • the lower limit of the average thickness of the formed resist underlayer film is preferably 30 nm, more preferably 50 nm, further preferably 100 nm, and particularly preferably 500 nm.
  • the upper limit of the average thickness is preferably 10 ⁇ m, more preferably 5 ⁇ m, still more preferably 3 ⁇ m, and particularly preferably 2 ⁇ m.
  • the “average thickness” is a value measured using a spectroscopic ellipsometer (“M2000D” manufactured by JA WOLLAM).
  • Silicon-containing film forming step In this step, a silicon-containing film is formed directly or indirectly on the resist underlayer film formed in the underlayer film forming composition application step for a multilayer resist process.
  • the silicon-containing film is formed by curing a coating film formed by directly or indirectly applying the composition for forming a silicon-containing film to the resist underlayer film, usually by exposing and / or heating. Is done.
  • a coating film formed by directly or indirectly applying the composition for forming a silicon-containing film to the resist underlayer film, usually by exposing and / or heating. Is done.
  • As a commercially available product of the composition for forming a silicon-containing film for example, "NFC @ SOG01", “NFC @ SOG04", “NFC @ SOG080", etc. of JSR Corporation can be used.
  • Examples of the radiation used for the exposure include visible light, ultraviolet light, far ultraviolet light, electromagnetic waves such as X-rays and ⁇ -rays, electron beams, molecular beams, and particle beams such as ion beams.
  • the lower limit of the temperature at which the coating film is heated is preferably 90 ° C., more preferably 150 ° C., and even more preferably 250 ° C.
  • 550 ° C is preferred, 450 ° C is more preferred, and 350 ° C is still more preferred.
  • the lower limit of the average thickness of the formed silicon-containing film is preferably 1 nm, more preferably 10 nm, and still more preferably 30 nm.
  • the upper limit is preferably 20,000 nm, more preferably 1,000 nm, and even more preferably 100 nm.
  • resist film forming composition coating process In this step, the composition for forming a resist film is applied directly or indirectly to the silicon-containing film.
  • a resist film forming composition is applied so that the obtained resist film has a predetermined thickness, and then the solvent in the coating film is volatilized by heating, thereby forming the resist film.
  • composition for forming a resist film for example, a positive or negative chemically amplified resist composition containing a radiation-sensitive acid generator, a positive resist composition containing an alkali-soluble resin and a quinonediazide-based photosensitizer, Negative resist compositions containing an alkali-soluble resin and a crosslinking agent are exemplified.
  • the lower limit of the solid content concentration of the composition for forming a resist film is preferably 0.3% by mass, more preferably 1% by mass.
  • the upper limit of the solid content concentration is preferably 50% by mass, and more preferably 30% by mass.
  • the composition for forming a resist film is generally subjected to filtration with a filter having a pore size of 0.2 ⁇ m or less, for example, to be used for forming a resist film. In this step, a commercially available resist composition can be used as it is.
  • a spin coating method and the like can be mentioned.
  • Conditions such as heating temperature and time can be appropriately adjusted according to the type of the composition for forming a resist film to be used.
  • As a minimum of heating temperature 30 ° C is preferred and 50 ° C is more preferred.
  • the upper limit of the temperature is preferably 200 ° C, more preferably 150 ° C.
  • the lower limit of the heating time is preferably 10 seconds, and more preferably 30 seconds.
  • 600 seconds are preferred and 300 seconds are more preferred.
  • the radiation used for the exposure may be, for example, visible light, ultraviolet light, far ultraviolet light, X-ray, ⁇ -ray or other electromagnetic wave, electron beam, depending on the type of the radiation-sensitive acid generator used in the composition for forming a resist film. , A molecular beam, a particle beam such as an ion beam, or the like. Among these, far ultraviolet rays or electron beams are preferable, and KrF excimer laser light (248 nm), ArF excimer laser light (193 nm), extreme ultraviolet rays (13.5 nm wavelength, EUV, etc.) or electron beams are more preferable.
  • post-exposure baking can be performed to improve the resolution, pattern profile, developability, and the like.
  • the temperature of the post-exposure baking is appropriately adjusted depending on the type of the resist film forming composition to be used, etc., and the lower limit of the post-exposure baking temperature is preferably 50 ° C., more preferably 70 ° C. .
  • the upper limit of the temperature is preferably 200 ° C, more preferably 150 ° C.
  • the lower limit of the heating time after exposure is preferably 10 seconds, more preferably 30 seconds. As an upper limit of the above-mentioned time, 600 seconds are preferred and 300 seconds are more preferred.
  • the exposed resist film is developed.
  • This development may be an alkali development or an organic solvent development.
  • the developing solution include, in the case of alkali development, for example, sodium hydroxide, potassium hydroxide, sodium carbonate, sodium silicate, sodium metasilicate, ammonia, ethylamine, n-propylamine, diethylamine, di-n-propylamine, triethylamine, methyl Diethylamine, dimethylethanolamine, triethanolamine, tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide, pyrrole, piperidine, choline, 1,8-diazabicyclo [5.4.0] -7-undecene, 1,5 And basic aqueous solutions such as -diazabicyclo [4.3.0] -5-nonene.
  • TMAH tetramethylammonium hydroxide
  • a water-soluble organic solvent such as an alcohol such as methanol or ethanol, a surfactant, or the like
  • examples of the developer include various solvents exemplified as the solvent [B] of the underlayer film forming composition described above.
  • etching is performed using the resist pattern formed in the developing step as a mask. Thereby, a pattern is formed on the substrate.
  • the number of times of etching may be one or more, that is, etching may be sequentially performed using a pattern obtained by etching as a mask. However, from the viewpoint of obtaining a pattern having a better shape, plural times are preferable.
  • etching is performed a plurality of times, etching is sequentially performed in the order of the silicon-containing film, the resist underlayer film, and the substrate. Examples of the etching method include dry etching and wet etching. Of these, dry etching is preferred from the viewpoint of improving the shape of the pattern on the substrate. After the above etching, a patterned substrate having a predetermined pattern is obtained.
  • the dry etching can be performed using, for example, a known dry etching apparatus.
  • An etching gas used for dry etching can be appropriately selected depending on a mask pattern, an element composition of a film to be etched, and the like.
  • CHF 3 , CF 4 , C 2 F 6 , C 3 F 8 , SF 6 and the like can be used.
  • Fluorine-based gas chlorine-based gas such as Cl 2 and BCl 3
  • oxygen-based gas such as O 2 , O 3 , H 2 O, H 2 , NH 3 , CO, CO 2 , CH 4 , C 2 H 2 , C 2 H 4, C 2 H 6 , C 3 H 4, C 3 H 6, C 3 H 8, HF, HI, HBr, HCl, NO, NH 3, BCl 3 or the like of a reducing gas, He, N 2, And an inert gas such as Ar.
  • gases can be used as a mixture.
  • the weight-average molecular weight (Mw) of the polymer was measured using GPC columns (Two “G2000HXL” and one “G3000HXL”) manufactured by Tosoh Corporation, flow rate: 1.0 mL / min, elution solvent: tetrahydrofuran, column temperature: The measurement was performed by gel permeation chromatography (detector: differential refractometer) using monodisperse polystyrene as a standard under the analysis conditions of 40 ° C.
  • the average thickness of the resist underlayer film was measured using a spectroscopic ellipsometer ("M2000D” manufactured by JA WOLLAM).
  • the cooled polymerization solution was poured into 2,000 parts by mass of hexane, and the deposited precipitate was separated by filtration. The precipitate separated by filtration was washed with hexane, filtered and dried to obtain a polymer (A-1).
  • Example 1 [A] 18 parts by mass of (A-1) as a polymer, [B] 40 parts by mass of (B-1) and 39.3 parts by mass of (B-2) as a solvent, and [C] thermal acid generation 0.9 parts by mass of (C-1) as an agent and 1.8 parts by mass of (D-1) as a cross-linking agent are mixed, and the obtained solution is filtered through a filter having a pore size of 0.2 ⁇ m. Thus, an underlayer film forming composition (J-1) for a multilayer resist process was prepared.
  • Examples 2 to 13 and Comparative Examples 1 to 5 Except for using the components and contents shown in Table 2 below, the same operation as in Example 1 was carried out to form the underlayer film forming compositions (J-2) to (J-13) and (J-13) for the multilayer resist process. CJ-1) to (CJ-5) were prepared.
  • the removal rate (nm / min) was calculated from the average thickness of the resist underlayer film before and after.
  • the removability was evaluated as “A” (good) when the removal rate was 100 nm / min or more, and “B” (poor) when the removal rate was less than 100 nm / min.
  • the underlayer film forming composition for a multilayer resist process of the example it is possible to suppress the occurrence of cracks in the silicon-containing film in the multilayer resist process, reduce the warpage of the substrate, and improve the removability.
  • An excellent resist underlayer film can be formed.
  • the underlayer film forming composition for a multilayer resist process of the comparative example the generation of cracks in the silicon-containing film cannot be suppressed, the warpage of the substrate cannot be reduced, and the removability of the resist underlayer film is also low. Some were bad.
  • the underlayer film forming composition for a multilayer resist process of the present invention it is possible to suppress the occurrence of cracks in a silicon-containing film in a multilayer resist process, to form a resist underlayer film that can reduce the warpage of a substrate and has excellent removability. it can.
  • ADVANTAGE OF THE INVENTION According to the pattern formation method of this invention, generation

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

多層レジストプロセスにおけるケイ素含有膜のクラックの発生を抑制でき、基板の反りを低減でき、かつ除去性に優れるレジスト下層膜を形成することができる多層レジストプロセス用下層膜形成組成物及びパターン形成方法の提供を目的とする。本発明は、アクリル酸エステルに由来する1種又は2種以上の第1構造単位を有する重合体と、溶媒とを含有し、上記重合体を構成する全構造単位に対する上記第1構造単位の含有割合が65モル%以上である多層レジストプロセス用下層膜形成組成物である。

Description

多層レジストプロセス用下層膜形成組成物及びパターン形成方法
 本発明は、多層レジストプロセス用下層膜形成組成物及びパターン形成方法に関する。
 半導体デバイスの製造にあたっては、基板にレジスト下層膜形成用組成物により、レジスト下層膜を形成し、このレジスト下層膜に、レジスト膜形成用組成物等を用いてレジストパターンを形成する方法が用いられている。このレジストパターンをマスクとしてレジスト下層膜をエッチングし、得られたレジスト下層膜パターンをマスクとしてさらに基板をエッチングすることができる。
 このようなレジスト下層膜形成用組成物に用いられる材料について、種々の検討が行われている(特開2013-83833号公報参照)。
特開2013-83833号公報
 最近では、レジスト下層膜上に中間層としてケイ素含有膜を形成する多層レジストプロセスが検討されている。このような多層レジストプロセスにおいては、レジスト下層膜はケイ素含有膜におけるクラックの発生を抑制できることや基板の反りを低減できることが求められる。加えて最近では、レジスト下層膜には、塗工時の不具合等により欠陥が発生した場合などにおいて、水素系プラズマエッチング等により除去して容易にリワークできることが求められている。
 本発明は、以上のような事情に基づいてなされたものであり、その目的は、多層レジストプロセスにおけるケイ素含有膜のクラックの発生を抑制でき、基板の反りを低減でき、かつ除去性に優れるレジスト下層膜を形成することができる多層レジストプロセス用下層膜形成組成物及びパターン形成方法を提供することにある。
 上記課題を解決するためになされた発明は、アクリル酸エステルに由来する1種又は2種以上の第1構造単位を有する重合体と、溶媒とを含有し、上記重合体を構成する全構造単位に対する上記第1構造単位の含有割合が65モル%以上である多層レジストプロセス用下層膜形成組成物である。
 上記課題を解決するためになされた別の発明は、基板に直接又は間接に多層レジストプロセス用下層膜形成組成物を塗工する工程と、上記多層レジストプロセス用下層膜形成組成物塗工工程により形成されたレジスト下層膜に直接又は間接にケイ素含有膜を形成する工程と、上記ケイ素含有膜に直接又は間接にレジスト膜形成用組成物を塗工する工程と、上記レジスト膜形成用組成物塗工工程により形成されたレジスト膜を放射線により露光する工程と、上記露光されたレジスト膜を現像する工程とを備え、上記多層レジストプロセス用下層膜形成組成物が、アクリル酸エステルに由来する1種又は2種以上の第1構造単位を有する重合体と、溶媒とを含有し、上記重合体を構成する全構造単位に対する上記第1構造単位の含有割合が65モル%以上であるパターン形成方法である。
 本発明の多層レジストプロセス用下層膜形成組成物によれば、多層レジストプロセスにおけるケイ素含有膜のクラックの発生を抑制でき、基板の反りを低減できる。さらに、本発明の多層レジストプロセス用下層膜形成組成物によれば、除去性に優れるレジスト下層膜を形成することができる。本発明のパターン形成方法によれば、多層レジストプロセスにおけるケイ素含有膜のクラックの発生を抑制でき、基板の反りを低減することができ、ひいては良好なパターンを形成することができる。従って、これらは、今後さらに微細化が進行すると予想される半導体デバイスの製造等に好適に用いることができる。
<多層レジストプロセス用下層膜形成組成物>
 当該多層レジストプロセス用下層膜形成組成物(以下、単に「下層膜形成組成物」ともいう)は、アクリル酸エステルに由来する1種又は2種以上の第1構造単位(以下、「構造単位(I)」ともいう)を有する重合体(以下、「[A]重合体」ともいう)と、溶媒(以下、「[B]溶媒」ともいう)とを含有し、上記[A]重合体を構成する全構造単位に対する上記構造単位(I)の含有割合が65モル%以上である。
 当該下層膜形成組成物は、[A]重合体及び[B]溶媒以外に、熱酸発生剤(以下、「[C]熱酸発生剤」ともいう)及び/又は架橋剤(以下、「[D]架橋剤」ともいう)を含有することが好ましく、本発明の効果を損なわない範囲において、その他の任意成分を含有していてもよい。
 当該下層膜形成組成物は、1種又は2種以上の構造単位(I)を有する[A]重合体を含有し、この構造単位(I)の含有割合を上記特定値以上とすることで、多層レジストプロセスにおけるケイ素含有膜のクラックの発生を抑制でき、基板の反りを低減でき、かつ除去性に優れるレジスト下層膜を形成することができる。
 以下、当該下層膜形成組成物が含有する各成分について説明する。
<[A]重合体>
 [A]重合体は、1種又は2種以上の構造単位(I)を有する重合体である。[A]重合体は、構造単位(I)以外にビニル芳香族化合物に由来する構造単位(以下、「構造単位(II)」ともいう)を有していてもよい。[A]重合体は、構造単位(I)及び構造単位(II)以外のその他の構造単位を有していてもよい。
 以下、[A]重合体が有する各構造単位について説明する。
[構造単位(I)]
 構造単位(I)は、アクリル酸エステルに由来する構造単位である。[A]重合体は、1種の構造単位(I)を有していてもよいし、2種以上の構造単位(I)を有していてもよい。なお、本明細書において、「1種又は2種以上」の構造単位(I)とは、[A]重合体が有する構造単位(I)の種類の数を意味するものであって、[A]重合体を構成する構造単位(I)の重合数(すなわち、重合度)を意味するものではない。
 アクリル酸エステルとしては、例えばアクリル酸メチル、アクリル酸ブチル等のアクリル酸アルキルエステル、アクリル酸ヒドロキシエチル、アクリル酸ヒドロキシプロピル、アクリル酸ヒドロキシブチル等のアクリル酸ヒドロキシアルキルエステル、アクリル酸メトキシエチル、アクリル酸メトキシプロピル、アクリル酸エトキシエチル等のアクリル酸アルコキシアルキルエステル、アクリル酸フェニル、アクリル酸ナフチル等のアクリル酸アリールエステル、アクリル酸オキサシクロペンチルメチル、アクリル酸オキサシクロヘキシルメチル等のアクリル酸オキサシクロアルキルアルキルエステル、アクリル酸オキソシクロペンチルメチル、アクリル酸オキサシクロヘキシルメチル等のアクリル酸オキソシクロアルキルアルキルエステル、アクリル酸ジオキソブトキシエチル等のアクリル酸ジオキソアルコキシアルキルエステル、アクリル酸エテニルカルボニルオキシエチル等のアクリル酸アルケニルカルボニルオキシアルキルエステルなどが挙げられる。
 構造単位(I)としては、例えば下記式(1)で表される構造単位(以下、「構造単位(I-1)」ともいう)等が挙げられる。
Figure JPOXMLDOC01-appb-C000002
 上記式(1)中、Rは、炭素数1~20の1価の有機基である。
 「有機基」とは、少なくとも1個の炭素原子を含む基をいう。炭素数1~20の1価の有機基としては、例えば炭素数1~20の1価の炭化水素基、この炭化水素基の炭素-炭素間に2価のヘテロ原子含有基を含む基(以下、「基(α)」ともいう)、上記炭化水素基又は上記基(α)が有する水素原子の一部又は全部を1価のヘテロ原子含有基で置換した基等が挙げられる。
 「炭化水素基」には、鎖状炭化水素基、脂環式炭化水素基及び芳香族炭化水素基が含まれる。この「炭化水素基」は、飽和炭化水素基でも不飽和炭化水素基でもよい。「鎖状炭化水素基」とは、環状構造を含まず、鎖状構造のみで構成された炭化水素基をいい、直鎖状炭化水素基及び分岐状炭化水素基の両方を含む。「脂環式炭化水素基」とは、環構造としては脂環構造のみを含み、芳香環構造を含まない炭化水素基をいい、単環の脂環式炭化水素基及び多環の脂環式炭化水素基の両方を含む。但し、脂環構造のみで構成されている必要はなく、その一部に鎖状構造を含んでいてもよい。「芳香族炭化水素基」とは、環構造として芳香環構造を含む炭化水素基をいう。但し、芳香環構造のみで構成されている必要はなく、その一部に鎖状構造や脂環構造を含んでいてもよい。
 炭素数1~20の1価の炭化水素基としては、例えばメチル基、エチル基、プロピル基、ブチル基、ペンチル基等のアルキル基、エテニル基、プロペニル基、ブテニル基等のアルケニル基、エチニル基、プロピニル基、ブチニル基等のアルキニル基などの鎖状炭化水素基、シクロペンチル基、シクロヘキシル基等のシクロアルキル基、シクロプロペニル基、シクロペンテニル基、シクロヘキセニル基等のシクロアルケニル基、ノルボルニル基、アダマンチル基等の橋かけ環炭化水素基などの脂環式炭化水素基、フェニル基、トリル基、キシリル基、ナフチル基等のアリール基、ベンジル基、フェネチル基、ナフチルメチル基等のアラルキル基などの芳香族炭化水素基などが挙げられる。
 2価のヘテロ原子含有基としては、例えば-CO-、-CS-、-NH-、-O-、-S-、これらを組み合わせた基等が挙げられる。
 1価のヘテロ原子含有基としては、例えばヒドロキシ基、スルファニル基、シアノ基、ニトロ基、ハロゲン原子等が挙げられる。
 Rとしては、炭素数1~20のアルキル基、炭素数1~20のヒドロキシアルキル基、炭素数2~20のアルコキシアルキル基、炭素数6~20のアリール基、炭素数3~18のシクロアルキル基の炭素-炭素間に-CO-若しくは-O-を含む基、又は炭素数1~18のアルキル基の炭素-炭素間に-CO-及び-O-の少なくとも一方を含む基が好ましく、炭素数1~10のアルキル基、炭素数1~10のヒドロキシアルキル基、炭素数2~10のアルコキシアルキル基、炭素数6~10のアリール基、炭素数3~8のシクロアルキル基の炭素-炭素間に-CO-若しくは-O-を含む基、又は炭素数1~8のアルキル基の炭素-炭素間に-CO-及び-O-の少なくとも一方を含む基がより好ましく、炭素数1~6のアルキル基、炭素数1~6のヒドロキシアルキル基又は炭素数2~6のアルコキシアルキル基がさらに好ましく、炭素数1~4のアルキル基、炭素数1~4のヒドロキシアルキル基又は炭素数2~4のアルコキシアルキル基が特に好ましい。Rを上記基とすることで、ケイ素含有膜のクラックの発生をより抑制でき、基板の反りをより低減でき、かつレジスト下層膜の除去性をより向上させることができる。
 本明細書において、「ヒドロキシアルキル基」とは、アルキル基の1個の水素原子をヒドロキシ基で置換した基を意味し、「アルコキシアルキル基」とは、アルキル基の1個の水素原子をアルコキシ基で置換した基を意味する。
 [A]重合体が1種の構造単位(I)を有する場合、構造単位(I)としては、上記式(1)におけるRが炭素数1~6のアルキル基、炭素数1~6のヒドロキシアルキル基又は炭素数2~6のアルコキシアルキル基である構造単位(以下、「構造単位(I’)ともいう」)が好ましい。[A]重合体が構造単位(I’)を有することで、ケイ素含有膜のクラックの発生をより抑制でき、基板の反りをより低減でき、かつレジスト下層膜の除去性をより向上させることができる。
 [A]重合体が2種以上の構造単位(I)を有する場合、構造単位(I)のうち少なくとも1種が、上記式(1)におけるRが炭素数1~6のアルキル基、炭素数1~6のヒドロキシアルキル基又は炭素数2~6のアルコキシアルキル基である構造単位(構造単位(I’))であることが好ましい。少なくとも1種の構造単位(I)を構造単位(I’)とすることで、ケイ素含有膜のクラックの発生をより抑制でき、基板の反りをより低減でき、かつレジスト下層膜の除去性をより向上させることができる。
 構造単位(I)としては、例えば下記式(1-1)~(1-9)で表される構造単位(以下、「構造単位(I-1-1)~(I-1-9)」ともいう)等が挙げられる。
Figure JPOXMLDOC01-appb-C000003
 これらの中で、構造単位(I-1-1)、構造単位(I-1-2)、構造単位(I-1-4)、構造単位(I-1-5)、構造単位(I-1-6)、構造単位(I-1-7)、構造単位(I-1-8)又は構造単位(I-1-9)が好ましい。
 構造単位(I)の含有割合の下限としては、[A]重合体を構成する全構造単位に対して、65モル%であり、70モル%が好ましく、75モル%がより好ましく、80モル%がさらに好ましく、90モル%が特に好ましい。構造単位(I)の含有割合は、100モル%であってもよい。構造単位(I)の含有割合を上記範囲とすることで、ケイ素含有膜のクラックの発生をより抑制でき、基板の反りをより低減でき、かつレジスト下層膜の除去性をより向上させることができる。
[構造単位(II)]
 構造単位(II)は、ビニル芳香族化合物に由来する構造単位である。「ビニル芳香族化合物」とは、ビニル基が結合した芳香族炭素環を有する化合物をいう。
 ビニル芳香族化合物としては、例えば置換又は非置換のスチレン、置換又は非置換のビニルナフタレン、置換又は非置換のビニルアントラセン、置換又は非置換のビニルピレン等が挙げられる。芳香環における置換基としては、メチル基、t-ブチル基等のアルキル基、ヒドロキシメチル基、ヒドロキシエチル基等のヒドロキシアルキル基、ヒドロキシ基、ハロゲン原子などが挙げられる。
 構造単位(II)としては、例えば下記式(2)で表される構造単位(以下、「構造単位(II-1)」ともいう)等が挙げられる。
Figure JPOXMLDOC01-appb-C000004
 上記式(2)中、Arは、環員数6~20のアレーンから(n+1)個の水素原子を除いた基である。Rは、ヒドロキシ基、ハロゲン原子又は炭素数1~20の1価の有機基である。nは、0~11の整数である。nが2以上の場合、複数のRは互いに同一又は異なる。
 Arを与える環員数6~20のアレーンとしては、例えばベンゼン、ナフタレン、アントラセン、ピレン、テトラセン等が挙げられる。これらの中で、ベンゼン又はナフタレンが好ましい。
 Rで表される炭素数1~20の1価の有機基としては、例えば上記式(1)におけるRとして例示した炭素数1~20の1価の有機基と同様の基等が挙げられる。
 Rとしては、1価の有機基が好ましく、ヒドロキシアルキル基がより好ましく、ヒドロキシメチル基がさらに好ましい。
 nとしては、0~3が好ましく、0~2がより好ましく、0又は1がさらに好ましい。
 [A]重合体が構造単位(II)を有する場合、構造単位(II)の含有割合の下限としては、[A]重合体を構成する全構造単位に対して、1モル%が好ましく、5モル%がより好ましく、10モル%がさらに好ましく、25モル%が特に好ましい。上記含有割合の上限としては、50モル%が好ましく、40モル%がより好ましく、35モル%がさらに好ましい。
[その他の構造単位]
 その他の構造単位としては、メタクリル酸エステルに由来する構造単位、(メタ)アクリル酸に由来する構造単位、アセナフチレン化合物に由来する構造単位等が挙げられる。
 [A]重合体がその他の構造単位を有する場合、その他の構造単位の含有割合の上限としては、20モル%が好ましく、5モル%がより好ましい。[A]重合体におけるその他の構造単位の含有割合は0モル%であってもよい。
 [A]重合体は、架橋性官能基を有することができる。[A]重合体が架橋性官能基を有する場合、後述する[C]熱酸発生剤及び/又は[D]架橋剤を共に用いることにより、[A]重合体の架橋が促進され、その結果、レジスト下層膜の溶媒耐性をより向上させることができる。架橋性官能基としては、例えばヒドロキシアルキル基、エポキシ基等が挙げられる。これらの中で、ヒドロキシアルキル基が好ましい。[A]重合体は架橋性官能基を、構造単位(I)中に有していてもよく、構造単位(I)以外の構造単位中に有していてもよい。
 [A]重合体における酸素原子の含有率の下限としては、10質量%が好ましく、15質量%がより好ましく、20質量%がさらに好ましく、23質量%が特に好ましい。[A]重合体における酸素原子の含有率の上限としては、80質量%が好ましく、70質量%がより好ましく、60質量%がさらに好ましく、50質量%が特に好ましい。[A]重合体における酸素原子の含有率を上記範囲とすることで、ケイ素含有膜のクラックの発生をより抑制でき、基板の反りをより低減でき、かつレジスト下層膜の除去性をより向上させることができる。
 [A]重合体の重量平均分子量(Mw)の下限としては、2,000が好ましく、10,000がより好ましく、20,000がさらに好ましく、30,000が特に好ましい。上記Mwの上限としては、300,000が好ましく、200,000がより好ましく、100,000がさらに好ましく、70,000が特に好ましい。[A]重合体のMwを上記範囲とすることで、レジスト下層膜の溶媒耐性をより向上させることができる。
 [A]重合体のMwの数平均分子量(Mn)に対する比の上限としては、5が好ましく
3がより好ましく、2がさらに好ましい。
 本明細書において、重合体のMw及びMnは、東ソー(株)のGPCカラム(「G2000HXL」2本及び「G3000HXL」1本)を用い、流量:1.0mL/分、溶出溶媒:テトラヒドロフラン、カラム温度:40℃の分析条件で、単分散ポリスチレンを標準とするゲルパーミエーションクロマトグラフィー(検出器:示差屈折計)により測定した値である。
 [A]重合体の含有割合の下限としては、当該下層膜形成組成物の固形分に対して、50質量%が好ましく、70質量%がより好ましく、80質量%がさらに好ましい。上記含有量の上限は、99質量%が好ましく、95質量%がより好ましく、90質量%がさらに好ましい。「固形分」とは当該下層膜形成組成物における[B]溶媒以外の全成分をいう。
[[A]重合体の合成方法]
 [A]重合体は、構造単位(I)を与えるアクリル酸エステルと、必要に応じて構造単位(I)以外の構造単位を与える化合物とを、それぞれ所定の含有割合になるような使用量で用い、公知の方法により重合させることによって合成することができる。
<[B]溶媒>
 [B]溶媒は、[A]重合体及び必要に応じて含有する任意成分を溶解又は分散することができれば特に限定されない。
 [B]溶媒としては、例えばアルコール系溶媒、ケトン系溶媒、エーテル系溶媒、エステル系溶媒、含窒素系溶媒等が挙げられる。[B]溶媒は、1種単独で又は2種以上を組み合わせて用いることができる。
 アルコール系溶媒としては、例えばメタノール、エタノール、n-プロパノール等のモノアルコール系溶媒、エチレングリコール、1,2-プロピレングリコール等の多価アルコール系溶媒などが挙げられる。
 ケトン系溶媒としては、例えばメチルエチルケトン、メチルイソブチルケトン等の鎖状ケトン系溶媒、シクロヘキサノン等の環状ケトン系溶媒などが挙げられる。
 エーテル系溶媒としては、例えばn-ブチルエーテル等の鎖状エーテル系溶媒、テトラヒドロフラン、1,4-ジオキサン等の環状エーテル系溶媒などの多価アルコールエーテル系溶媒、ジエチレングリコールモノメチルエーテル等の多価アルコール部分エーテル系溶媒などが挙げられる。
 エステル系溶媒としては、例えばジエチルカーボネート等のカーボネート系溶媒、酢酸メチル、酢酸エチル等の酢酸モノエステル系溶媒、γ-ブチロラクトン等のラクトン系溶媒、酢酸ジエチレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテルアセテート等の多価アルコール部分エーテルカルボキシレート系溶媒、乳酸メチル、乳酸エチル等の乳酸エステル系溶媒などが挙げられる。
 含窒素系溶媒としては、例えばN,N-ジメチルアセトアミド等の鎖状含窒素系溶媒、N-メチルピロリドン等の環状含窒素系溶媒などが挙げられる。
 [B]溶媒としては、エステル系溶媒及び/又はケトン系溶媒が好ましく、多価アルコール部分エーテルカルボキシレート系溶媒及び/又は環状ケトン系溶媒がより好ましく、プロピレングリコールモノメチルエーテルアセテート及び/又はシクロヘキサノンがさらに好ましい。
[[C]熱酸発生剤]
 [C]熱酸発生剤は、熱の作用により酸を発生し、[A]重合体の架橋を促進する成分である。当該下層膜形成組成物が[C]熱酸発生剤を含有すると、[A]重合体の架橋反応が促進され、形成されるレジスト下層膜の溶媒耐性をより向上させることができる。
 [C]熱酸発生剤としては、例えばオニウム塩化合物、N-スルホニルオキシイミド化合物等が挙げられる。
 オニウム塩化合物としては、例えばトリフェニルスルホニウムトリフルオロメタンスルホネート、トリフェニルスルホニウム2-(アダマンタン-1-イルカルボニルオキシ)-1,1,3,3,3-ペンタフルオロプロパン-1-スルホネート、トリフェニルスルホニウムノルボルナンスルトン-2-イルオキシカルボニルジフルオロメタンスルホネート、トリフェニルスルホニウムピペリジン-1-イルスルホニル-1,1,2,2,3,3-ヘキサフルオロプロパン-1-スルホネート、トリフェニルスルホニウムアダマンタン-1-イルオキシカルボニルジフルオロメタンスルホネート、4-シクロヘキシルフェニルジフェニルスルホニウムカンファースルホネート、4-メタンスルホニルフェニルジフェニルスルホニウムノナフルオロ-n-ブタンスルホネート等のスルホニウム塩、1-(4-n-ブトキシナフタレン-1-イル)テトラヒドロチオフェニウムトリフルオロメタンスルホネート、1-(6-n-ブトキシナフタレン-1-イル)テトラヒドロチオフェニウム2-ビシクロ[2.2.1]ヘプト-2-イル-1,1,2,2-テトラフルオロエタン-1-スルホネート、1-(3,5-ジメチル-4-ヒドロキシフェニル)テトラヒドロチオフェニウムカンファースルホネート等のテトラヒドロチオフェニウム塩、ジフェニルヨードニウムトリフルオロメタンスルホネート、ビス(4-t-ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート、ビス(4-t-ブチルフェニル)ヨードニウムノナフルオロ-n-ブタンスルホネート、4-メトキシフェニルフェニルヨードニウムカンファースルホネート等のヨードニウム塩などが挙げられる。
 N-スルホニルオキシイミド化合物としては、例えばN-(トリフルオロメタンスルホニルオキシ)ビシクロ[2.2.1]ヘプト-5-エン-2,3-ジカルボキシイミド、N-(カンファースルホニルオキシ)ビシクロ[2.2.1]ヘプト-5-エン-2,3-ジカルボキシイミド等が挙げられる。
 [C]熱酸発生剤としては、オニウム塩化合物が好ましく、ヨードニウム塩がより好ましく、ビス(4-t-ブチルフェニル)ヨードニウムトリフルオロメタンスルホネートがさらに好ましい。
 当該下層膜形成組成物が[C]熱酸発生剤を含有する場合、[C]熱酸発生剤の含有量の下限としては、[A]重合体100質量部に対して、0.1質量部が好ましく、0.5質量部がより好ましく、1質量部がさらに好ましく、2質量部が特に好ましい。上記含有量の上限としては、30質量部が好ましく、20質量部がより好ましく、10質量部がさらに好ましく、8質量部が特に好ましい。[C]熱酸発生剤の含有量を上記範囲とすることで、レジスト下層膜の溶媒耐性をさらに向上させることができる。
[[D]架橋剤]
 [D]架橋剤は、熱や酸の作用により、当該下層膜形成組成物中の[A]重合体等の成分同士の架橋結合を形成するか、又は自らが架橋構造を形成する成分である。当該下層膜形成組成物が[D]架橋剤を含有すると、形成されるレジスト下層膜の溶媒耐性をより向上させることができる。
 架橋剤としては、例えば多官能(メタ)アクリレート化合物、エポキシ化合物、ヒドロキシメチル基置換フェノール化合物、アルコキシアルキル基含有フェノール化合物、アルコキシアルキル化されたアミノ基を有する化合物等が挙げられる。
 多官能(メタ)アクリレート化合物としては、例えばトリメチロールプロパントリ(メタ)アクリレート、ジトリメチロールプロパンテトラ(メタ)アクリレート、ペンタエリスリトールトリ(メタ)アクリレート、ペンタエリスリトールテトラ(メタ)アクリレート、ジペンタエリスリトールペンタ(メタ)アクリレート、ジペンタエリスリトールヘキサ(メタ)アクリレート、グリセリントリ(メタ)アクリレート、トリス(2-ヒドロキシエチル)イソシアヌレートトリ(メタ)アクリレート、エチレングリコールジ(メタ)アクリレート、1,3-ブタンジオールジ(メタ)アクリレート、1,4-ブタンジオールジ(メタ)アクリレート、1,6-ヘキサンジオールジ(メタ)アクリレート、ネオペンチルグリコールジ(メタ)アクリレート、ジエチレングリコールジ(メタ)アクリレート、トリエチレングリコールジ(メタ)アクリレート、ジプロピレングリコールジ(メタ)アクリレート、ビス(2-ヒドロキシエチル)イソシアヌレートジ(メタ)アクリレート等が挙げられる。
 エポキシ化合物としては、例えばノボラック型エポキシ樹脂、ビスフェノール型エポキシ樹脂、脂環式エポキシ樹脂、脂肪族エポキシ樹脂等が挙げられる。
 ヒドロキシメチル基置換フェノール化合物としては、例えば2-ヒドロキシメチル-4,6-ジメチルフェノール、1,3,5-トリヒドロキシメチルベンゼン、3,5-ジヒドロキシメチル-4-メトキシトルエン[2,6-ビス(ヒドロキシメチル)-p-クレゾール]等が挙げられる。
 アルコキシアルキル基含有フェノール化合物としては、例えばメトキシメチル基含有フェノール化合物、エトキシメチル基含有フェノール化合物等が挙げられる。
 上記アルコキシアルキル化されたアミノ基を有する化合物としては、例えば(ポリ)メチロール化メラミン、(ポリ)メチロール化グリコールウリル、(ポリ)メチロール化ベンゾグアナミン、(ポリ)メチロール化ウレア等の一分子内に複数個の活性メチロール基を有する含窒素化合物であって、そのメチロール基の水酸基の水素原子の少なくとも一つが、メチル基やブチル基等のアルキル基によって置換された化合物等が挙げられる。なお、アルコキシアルキル化されたアミノ基を有する化合物は、複数の置換化合物を混合した混合物でもよく、一部自己縮合してなるオリゴマー成分を含むものであってもよい。
 [D]架橋剤としては、アルコキシアルキル化されたアミノ基を有する化合物が好ましく、(ポリ)メチロール化グリコールウリルがより好ましく、1,3,4,6-テトラキス(メトキシメチル)グリコールウリルがさらに好ましい。
 当該下層膜形成組成物が[D]架橋剤を含有する場合、[D]架橋剤の含有量の下限としては、[A]重合体100質量部に対して、0.1質量部が好ましく、1質量部がより好ましく、3質量部がさらに好ましく、5質量部が特に好ましい。上記含有量の上限としては、500質量部が好ましく、30質量部がより好ましく、20質量部がさらに好ましく、15質量部が特に好ましい。[D]架橋剤の含有量を上記範囲とすることで、レジスト下層膜の溶媒耐性をさらに向上させることができる。
[その他の任意成分]
 その他の任意成分としては、例えば界面活性剤、密着助剤等が挙げられる。
<下層膜形成組成物の調製方法>
 当該下層膜形成組成物は、例えば[A]重合体、[B]溶媒、及び必要に応じて使用される任意成分を所定の割合で混合し、好ましくは得られた混合溶液を孔径0.2μm以下のフィルターでろ過することにより調製することができる。
 当該下層膜形成組成物の固形分濃度の下限としては、0.1質量%が好ましく、1質量%がより好ましく、5質量%がさらに好ましく、10質量%が特に好ましい。上記固形分濃度の上限としては、50質量%が好ましく、40質量%がより好ましく、30質量%がさらに好ましく、20質量%が特に好ましい。「固形分濃度」とは、当該下層膜形成組成物における[B]溶媒以外の全成分の濃度(質量%)を意味する。
<パターン形成方法>
 当該パターン形成方法は、基板に直接又は間接に多層レジストプロセス用下層膜形成組成物を塗工する工程(以下、「多層レジストプロセス用下層膜形成組成物塗工工程」ともいう)と、上記多層レジストプロセス用下層膜形成組成物塗工工程により形成されたレジスト下層膜に直接又は間接にケイ素含有膜を形成する工程(以下、「ケイ素含有膜形成工程」ともいう)と、上記ケイ素含有膜に直接又は間接にレジスト膜形成用組成物を塗工する工程(以下、「レジスト膜形成用組成物塗工工程」ともいう)と、上記レジスト膜形成用組成物塗工工程により形成されたレジスト膜を放射線により露光する工程(以下、「露光工程」ともいう)と、上記露光されたレジスト膜を現像する工程(以下、「現像工程」ともいう)とを備える。当該パターン形成方法は、上記多層レジストプロセス用下層膜形成組成物として、上述の当該下層膜形成組成物を用いる。
 当該パターン形成方法は、上記現像工程後に、上記現像工程により形成されたレジストパターンをマスクとしたエッチングを行う工程(以下、「エッチング工程」ともいう)をさらに備えることができる。
 当該パターン形成方法によれば、多層レジストプロセスにおけるケイ素含有膜のクラックの発生を抑制でき、基板の反りを低減することができ、ひいては良好なパターンを形成することができる。
 以下、当該パターン形成方法が備える各工程について説明する。
[多層レジストプロセス用下層膜形成組成物塗工工程]
 本工程では、基板に直接又は間接に当該下層膜形成組成物を塗工する。
 基板としては、例えばシリコンウエハ、アルミニウムで被覆したウエハ等が挙げられる。また、当該下層膜形成組成物の塗工方法は特に限定されず、例えば回転塗工、流延塗工、ロール塗工等の適宜の方法で実施することができ、これにより塗工膜を形成することができる。
 上記塗工膜を加熱してもよい。上記塗工膜の加熱は、通常、大気下で行われるが、窒素雰囲気下で行ってもよい。加熱における温度の下限としては、200℃が好ましく、250℃がより好ましく、280℃がさらに好ましい。上記温度の上限としては、600℃が好ましく、500℃がより好ましく、400℃がさらに好ましい。加熱における時間の下限としては、15秒が好ましく、30秒がより好ましい。上記時間の上限としては、1,200秒が好ましく、600秒がより好ましい。
 形成されるレジスト下層膜の平均厚みとの下限としては、30nmが好ましく、50nmがより好ましく、100nmがさらに好ましく、500nmが特に好ましい。上記平均厚みの上限としては、10μmが好ましく、5μmがより好ましく、3μmがさらに好ましく、2μmが特に好ましい。本明細書において「平均厚み」は、分光エリプソメータ(J.A.WOOLLAM社の「M2000D」)を用いて測定した値である。
[ケイ素含有膜形成工程]
 本工程では、上記多層レジストプロセス用下層膜形成組成物塗工工程により形成されたレジスト下層膜に直接又は間接にケイ素含有膜を形成する。
 上記ケイ素含有膜は、ケイ素含有膜形成用組成物を上記レジスト下層膜に直接又は間接に塗工して形成された塗膜を、通常、露光及び/又は加熱することにより硬化等させることにより形成される。上記ケイ素含有膜形成用組成物の市販品としては、例えば、JSR(株)の「NFC SOG01」、「NFC SOG04」、「NFC SOG080」等を用いることができる。
 上記露光に用いられる放射線としては、例えば可視光線、紫外線、遠紫外線、X線、γ線等の電磁波、電子線、分子線、イオンビーム等の粒子線などが挙げられる。
 塗膜を加熱する際の温度の下限としては、90℃が好ましく、150℃がより好ましく、250℃がさらに好ましい。上記温度の上限としては、550℃が好ましく、450℃がより好ましく、350℃がさらに好ましい。
 形成されるケイ素含有膜の平均厚みの下限としては、1nmが好ましく、10nmがより好ましく、30nmがさらに好ましい。上記上限としては、20,000nmが好ましく、1,000nmがより好ましく、100nmがさらに好ましい。
[レジスト膜形成用組成物塗工工程]
 本工程では、上記ケイ素含有膜に直接又は間接にレジスト膜形成用組成物を塗工する。
 本工程では、具体的には、得られるレジスト膜が所定の厚みとなるようにレジスト膜形成用組成物を塗工した後、加熱することによって塗膜中の溶媒を揮発させることにより、レジスト膜を形成する。
 レジスト膜形成用組成物としては、例えば感放射線性酸発生剤を含有するポジ型又はネガ型の化学増幅型レジスト組成物、アルカリ可溶性樹脂とキノンジアジド系感光剤とを含有するポジ型レジスト組成物、アルカリ可溶性樹脂と架橋剤とを含有するネガ型レジスト組成物等が挙げられる。
 レジスト膜形成用組成物の固形分濃度の下限としては、0.3質量%が好ましく、1質量%がより好ましい。上記固形分濃度の上限としては、50質量%が好ましく、30質量%がより好ましい。また、レジスト膜形成用組成物は、一般に、例えば孔径0.2μm以下のフィルターでろ過して、レジスト膜の形成に供される。なお、本工程では、市販のレジスト組成物をそのまま使用することもできる。
 レジスト膜形成用組成物の塗工方法としては、例えば回転塗工法等が挙げられる。また、加熱の温度や時間等の条件としては、使用されるレジスト膜形成用組成物の種類等に応じて適宜調整することができる。加熱の温度の下限としては、30℃が好ましく、50℃がより好ましい。上記温度の上限としては、200℃が好ましく、150℃がより好ましい。加熱の時間の下限としては、10秒が好ましく、30秒がより好ましい。上記時間の上限としては、600秒が好ましく、300秒がより好ましい。
[露光工程]
 本工程では、上記レジスト膜形成用組成物塗工工程により形成されたレジスト膜を放射線により露光する。
 露光に用いられる放射線としては、レジスト膜形成用組成物に使用される感放射線性酸発生剤の種類に応じて、例えば可視光線、紫外線、遠紫外線、X線、γ線等の電磁波、電子線、分子線、イオンビーム等の粒子線から適切に選択される。これらの中で、遠紫外線又は電子線が好ましく、KrFエキシマレーザー光(248nm)、ArFエキシマレーザー光(193nm)、極端紫外線(波長13.5nm等、EUV)又は電子線がより好ましい。
 上記露光後、解像度、パターンプロファイル、現像性等を向上させるため露光後加熱を行うことができる。この露光後加熱の温度としては、使用されるレジスト膜形成用組成物の種類等に応じて適宜調整されるが、露光後加熱の温度の下限としては、50℃が好ましく、70℃がより好ましい。上記温度の上限としては、200℃が好ましく、150℃がより好ましい。露光後加熱の時間の下限としては、10秒が好ましく、30秒がより好ましい。上記時間の上限としては、600秒が好ましく、300秒がより好ましい。
[現像工程]
 本工程では、上記露光されたレジスト膜を現像する。この現像は、アルカリ現像であっても有機溶媒現像であってもよい。現像液としては、アルカリ現像の場合、例えば水酸化ナトリウム、水酸化カリウム、炭酸ナトリウム、珪酸ナトリウム、メタ珪酸ナトリウム、アンモニア、エチルアミン、n-プロピルアミン、ジエチルアミン、ジ-n-プロピルアミン、トリエチルアミン、メチルジエチルアミン、ジメチルエタノールアミン、トリエタノールアミン、テトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド、ピロール、ピペリジン、コリン、1,8-ジアザビシクロ[5.4.0]-7-ウンデセン、1,5-ジアザビシクロ[4.3.0]-5-ノネン等の塩基性水溶液が挙げられる。これらの塩基性水溶液には、例えばメタノール、エタノール等のアルコール類などの水溶性有機溶媒、界面活性剤等を適量添加することもできる。また、有機溶媒現像の場合、現像液としては、例えば上述の当該下層膜形成組成物の[B]溶媒として例示した種々の溶媒等が挙げられる。
 上記現像液での現像後、洗浄し、乾燥することによって、所定のレジストパターンが形成される。
[エッチング工程]
 本工程では、上記現像工程により形成されたレジストパターンをマスクとしたエッチングを行う。これにより、基板にパターンが形成される。エッチングの回数としては1回でも、複数回、すなわちエッチングにより得られるパターンをマスクとして順次エッチングを行ってもよいが、より良好な形状のパターンを得る観点からは、複数回が好ましい。複数回のエッチングを行う場合、ケイ素含有膜、レジスト下層膜、基板の順に順次エッチングを行う。エッチングの方法としては、例えばドライエッチング、ウエットエッチング等が挙げられる。これらの中で、基板のパターンの形状をより良好なものとする観点から、ドライエッチングが好ましい。上記エッチングの後、所定のパターンを有するパターニングされた基板が得られる。
 ドライエッチングとしては、例えば公知のドライエッチング装置を用いて行うことができる。ドライエッチングに使用するエッチングガスとしては、マスクパターン、エッチングされる膜の元素組成等により適宜選択することができ、例えばCHF、CF、C、C、SF等のフッ素系ガス、Cl、BCl等の塩素系ガス、O、O、HO等の酸素系ガス、H、NH、CO、CO、CH、C、C、C、C、C、C、HF、HI、HBr、HCl、NO、NH、BCl等の還元性ガス、He、N、Ar等の不活性ガスなどが挙げられる。これらのガスは混合して用いることもできる。
 以下、本発明を実施例によりさらに具体的に説明するが、本発明はこれらの実施例に限定されるものではない。各種物性値の測定方法を以下に示す。
[重量平均分子量]
 重合体の重量平均分子量(Mw)は、東ソー(株)のGPCカラム(「G2000HXL」2本及び「G3000HXL」1本)を用い、流量:1.0mL/分、溶出溶媒:テトラヒドロフラン、カラム温度:40℃の分析条件で、単分散ポリスチレンを標準とするゲルパーミエーションクロマトグラフィー(検出器:示差屈折計)により測定した。
[平均厚み]
 レジスト下層膜の平均厚みは、分光エリプソメータ(J.A.WOOLLAM社の「M2000D」)を用いて測定した。
<[A]重合体の合成>
 [A]重合体の合成に用いた化合物を以下に示す。なお、以下の合成例においては特に断りのない限り、「質量部」の数値は重合体の合成に使用した化合物の合計質量を100質量部とした場合の値を意味する。
Figure JPOXMLDOC01-appb-C000005
[合成例1](重合体(A-1)の合成)
 上記化合物(a-1)、化合物(a-2)及び化合物(a-7)をモル比率が30/40/30(モル%)となるよう2-ヘプタノン100質量部に溶解させ、2,2’-アゾビス(2-メチルプロピオン酸)ジメチル2.1質量部を添加し、単量体溶液を調製した。反応容器に、窒素雰囲気下、2-ヘプタノン100質量部を入れ、80℃に加熱し、攪拌しながら、上記単量体溶液を3時間かけて滴下した。滴下開始を重合反応の開始時間とし、重合反応を6時間実施した後、30℃以下に冷却した。冷却した重合溶液をヘキサン2,000質量部中に投入し、析出した沈殿物を濾別した。濾別した沈殿物をヘキサンで洗浄した後、濾別し、乾燥させて重合体(A-1)を得た。
[合成例2~13並びに比較合成例1及び3~5](重合体(A-2)~(A-13)並びに(CA-1)及び(CA-3)~(CA-5)の合成)
 下記表1に示す種類及び使用量の化合物を用いた以外は合成例1と同様に操作して、重合体(A-2)~(A-13)並びに(CA-1)及び(CA-3)~(CA-5)を得た。
[比較合成例2](重合体(CA-2)の合成)
 反応容器に、窒素雰囲気下、フェノール(上記化合物(a-13))120g、37質量%ホルムアルデヒド水溶液103.5g及びメチルイソブチルケトン360gを加えて溶解させた。得られた溶液を40℃に加熱した後、パラトルエンスルホン酸2.2gを加え、80℃で4時間反応させた後、30℃以下に冷却し、この反応液をメタノール/水(50/50(質量比))の混合溶液に投入し、析出した沈殿物を濾別し、乾燥させて重合体(CA-2)を得た。
Figure JPOXMLDOC01-appb-T000006
<多層レジストプロセス用下層膜形成組成物の調製>
 多層レジストプロセス用下層膜形成組成物の調製に用いた[B]溶媒、[C]熱酸発生剤及び[D]架橋剤について以下に示す。
[[B]溶媒]
 B-1:プロピレングリコールモノメチルエーテルアセテート
 B-2:シクロヘキサノン
[[C]熱酸発生剤]
 C-1:ビス(4-t-ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート(下記式(C-1)で表される化合物)
Figure JPOXMLDOC01-appb-C000007
[[D]架橋剤]
 D-1:1,3,4,6-テトラキス(メトキシメチル)グリコールウリル(下記式(D-1)で表される化合物)
Figure JPOXMLDOC01-appb-C000008
[実施例1]
 [A]重合体としての(A-1)18質量部と、[B]溶媒としての(B-1)40質量部及び(B-2)39.3質量部と、[C]熱酸発生剤としての(C-1)0.9質量部と、[D]架橋剤としての(D-1)1.8質量部とを混合し、得られた溶液を孔径0.2μmのフィルターでろ過して、多層レジストプロセス用下層膜形成組成物(J-1)を調製した。
[実施例2~13及び比較例1~5]
 下記表2に示す種類及び含有量の各成分を用いた以外は、実施例1と同様に操作して、多層レジストプロセス用下層膜形成組成物(J-2)~(J-13)及び(CJ-1)~(CJ-5)を調製した。
<レジスト下層膜の形成>
[実施例1~13及び比較例1~5]
 上記調製した多層レジストプロセス用下層膜形成組成物を、シリコンウエハ(基板)上に、スピンコーター(東京エレクトロン(株)の「CLEAN TRACK ACT12」)を用い、回転塗工法により塗工した。次に、大気雰囲気下にて、300℃で120秒間加熱(焼成)した後、23℃で60秒間冷却することにより、平均厚み1.0μmのレジスト下層膜を形成して、基板上にレジスト下層膜が形成されたレジスト下層膜付き基板を得た。
<評価>
 上記得られたレジスト下層膜付き基板を用い、ケイ素含有膜のクラックの発生、基板の反り及びレジスト下層膜の除去性について、下記方法により評価した。評価結果を下記表2に合わせて示す。
[クラックの発生]
 上記得られたレジスト下層膜付き基板におけるレジスト下層膜上に、スピンコーター(東京エレクトロン(株)の「CLEAN TRACK ACT12」)を用い、ケイ素含有膜形成用組成物(JSR(株)の「NFC SOG080」)を回転塗工法により塗工した後、大気雰囲気下、300℃で60秒間加熱(焼成)し、平均厚み50nmのケイ素含有膜を形成した。形成したケイ素含有膜の表面を光学顕微鏡で観察した。クラックの発生について、ケイ素含有膜のひび割れ又は剥がれが見られなかった場合は「A」(良好)と、ケイ素含有膜のひび割れ又は剥がれが見られた場合は「B」(不良)と評価した。
[基板の反り]
 薄膜ストレス測定装置(KLAテンコール社の「FLEX-2320型」)を用いて、上記得られたレジスト下層膜付き基板の膜応力を測定した。基板の反りについて、膜応力が30MPa未満の場合は「A」(良好)と、膜応力が30MPa以上の場合は「B」(不良)と評価した。
[除去性]
 上記得られたレジスト下層膜付き基板におけるレジスト下層膜を、エッチング装置(東京エレクトロン(株)の「TACTRAS」)を用いて、N/H=300/300sccm、PRESS.=15mT、HF RF(プラズマ生成用高周波電力)=300W、LF RF(バイアス用高周波電力)=0W、DCS=-0V、RDC(ガスセンタ流量比)=80%、30secの条件にて処理し、処理前後のレジスト下層膜の平均厚みから除去速度(nm/分)を算出した。除去性は、除去速度が100nm/分以上の場合は「A」(良好)と、100nm/分未満の場合は「B」(不良)と評価した。
Figure JPOXMLDOC01-appb-T000009
 表2の結果から分かるように、実施例の多層レジストプロセス用下層膜形成組成物によれば、多層レジストプロセスにおけるケイ素含有膜のクラックの発生を抑制でき、基板の反りを低減できかつ除去性に優れるレジスト下層膜を形成することができる。これに対し、比較例の多層レジストプロセス用下層膜形成組成物では、ケイ素含有膜のクラックの発生を抑制できず、基板の反りを低減することができず、また、レジスト下層膜の除去性も不良のものがあった。
 本発明の多層レジストプロセス用下層膜形成組成物によれば、多層レジストプロセスにおけるケイ素含有膜のクラックの発生を抑制でき、基板の反りを低減できかつ除去性に優れるレジスト下層膜を形成することができる。本発明のパターン形成方法によれば、多層レジストプロセスにおけるケイ素含有膜のクラックの発生を抑制でき、基板の反りを低減することができ、ひいては良好なパターンを形成することができる。従って、これらは、今後さらに微細化が進行すると予想される半導体デバイスの製造等に好適に用いることができる。

Claims (7)

  1.  アクリル酸エステルに由来する1種又は2種以上の第1構造単位を有する重合体と、
     溶媒と
     を含有し、
     上記重合体を構成する全構造単位に対する上記第1構造単位の含有割合が65モル%以上である多層レジストプロセス用下層膜形成組成物。
  2.  上記第1構造単位が下記式(1)で表される請求項1に記載の多層レジストプロセス用下層膜形成組成物。
    Figure JPOXMLDOC01-appb-C000001
    (式(1)中、Rは、炭素数1~20の1価の有機基である。)
  3.  上記式(1)におけるRが、炭素数1~6のアルキル基、炭素数1~6のヒドロキシアルキル基又は炭素数2~6のアルコキシアルキル基である請求項2に記載の多層レジストプロセス用下層膜形成組成物。
  4.  上記重合体が2種以上の上記第1構造単位を有し、
     上記第1構造単位のうち少なくとも1種が、上記式(1)におけるRが炭素数1~6のアルキル基、炭素数1~6のヒドロキシアルキル基又は炭素数2~6のアルコキシアルキル基である構造単位である請求項3に記載の多層レジストプロセス用下層膜形成組成物。
  5.  熱酸発生剤をさらに含有する請求項1から請求項4のいずれか1項に記載の多層レジストプロセス用下層膜形成組成物。
  6.  架橋剤をさらに含有する請求項1から請求項5のいずれか1項に記載の多層レジストプロセス用下層膜形成組成物。
  7.  基板に直接又は間接に多層レジストプロセス用下層膜形成組成物を塗工する工程と、
     上記多層レジストプロセス用下層膜形成組成物塗工工程により形成されたレジスト下層膜に直接又は間接にケイ素含有膜を形成する工程と、
     上記ケイ素含有膜に直接又は間接にレジスト膜形成用組成物を塗工する工程と、
     上記レジスト膜形成用組成物塗工工程により形成されたレジスト膜を放射線により露光する工程と、
     上記露光されたレジスト膜を現像する工程と
     を備え、
     上記多層レジストプロセス用下層膜形成組成物が、アクリル酸エステルに由来する1種又は2種以上の第1構造単位を有する重合体と、溶媒とを含有し、上記重合体を構成する全構造単位に対する上記第1構造単位の含有割合が65モル%以上であるパターン形成方法。
PCT/JP2019/037643 2018-09-28 2019-09-25 多層レジストプロセス用下層膜形成組成物及びパターン形成方法 WO2020067183A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2020549302A JP7355024B2 (ja) 2018-09-28 2019-09-25 多層レジストプロセス用下層膜形成組成物及びパターン形成方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-184146 2018-09-28
JP2018184146 2018-09-28

Publications (1)

Publication Number Publication Date
WO2020067183A1 true WO2020067183A1 (ja) 2020-04-02

Family

ID=69953531

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2019/037643 WO2020067183A1 (ja) 2018-09-28 2019-09-25 多層レジストプロセス用下層膜形成組成物及びパターン形成方法

Country Status (3)

Country Link
JP (1) JP7355024B2 (ja)
TW (1) TWI815981B (ja)
WO (1) WO2020067183A1 (ja)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009128369A (ja) * 2007-11-19 2009-06-11 Tokyo Ohka Kogyo Co Ltd 下地材及び多層レジストパターン形成方法
JP2015200796A (ja) * 2014-04-08 2015-11-12 メルクパフォーマンスマテリアルズマニュファクチャリング合同会社 下層膜形成用組成物
WO2016013344A1 (ja) * 2014-07-24 2016-01-28 日産化学工業株式会社 カラーフィルター下層膜形成用樹脂組成物
WO2017191767A1 (ja) * 2016-05-02 2017-11-09 日産化学工業株式会社 特定の架橋剤を含む保護膜形成組成物及びそれを用いたパターン形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009128369A (ja) * 2007-11-19 2009-06-11 Tokyo Ohka Kogyo Co Ltd 下地材及び多層レジストパターン形成方法
JP2015200796A (ja) * 2014-04-08 2015-11-12 メルクパフォーマンスマテリアルズマニュファクチャリング合同会社 下層膜形成用組成物
WO2016013344A1 (ja) * 2014-07-24 2016-01-28 日産化学工業株式会社 カラーフィルター下層膜形成用樹脂組成物
WO2017191767A1 (ja) * 2016-05-02 2017-11-09 日産化学工業株式会社 特定の架橋剤を含む保護膜形成組成物及びそれを用いたパターン形成方法

Also Published As

Publication number Publication date
TWI815981B (zh) 2023-09-21
JPWO2020067183A1 (ja) 2021-09-24
JP7355024B2 (ja) 2023-10-03
TW202014440A (zh) 2020-04-16

Similar Documents

Publication Publication Date Title
JP6641879B2 (ja) レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
JPWO2013080929A1 (ja) 多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法
JP6907522B2 (ja) レジスト下層膜形成用組成物及びその製造方法、レジスト下層膜並びにパターニングされた基板の製造方法
KR20180134863A (ko) 막밀도가 향상된 레지스트 하층막을 형성하기 위한 조성물
KR20100014377A (ko) 레지스트 하층막 형성 조성물
JPWO2018164267A1 (ja) レジスト下層膜形成用組成物、レジスト下層膜及びその形成方法並びにパターニングされた基板の製造方法
KR20180087331A (ko) 인돌로카바졸노볼락 수지를 포함하는 레지스트 하층막 형성 조성물
US11003079B2 (en) Composition for film formation, film, resist underlayer film-forming method, production method of patterned substrate, and compound
JP2010237491A (ja) レジスト下層膜形成組成物及びそれを用いたレジストパターンの形成方法
JP5780091B2 (ja) レジスト下層膜形成用組成物及びパターン形成方法
WO2017141612A1 (ja) レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
KR20150126968A (ko) 상층막 형성용 조성물 및 이를 사용한 레지스트 패턴 형성 방법
JP7196389B2 (ja) 半導体用レジスト下層膜形成組成物、レジスト下層膜、レジスト下層膜の形成方法及びパターニング基板の製造方法
JP7355024B2 (ja) 多層レジストプロセス用下層膜形成組成物及びパターン形成方法
WO2021187599A1 (ja) 組成物、レジスト下層膜の形成方法及びレジストパターン形成方法
JP7272364B2 (ja) レジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、パターン形成方法並びに化合物及びその製造方法
JP2012203393A (ja) レジスト下層膜形成用組成物、レジスト下層膜及びパターン形成方法
JP6741957B2 (ja) レジストプロセス用膜形成材料及びパターン形成方法
JP2020166043A (ja) レジスト下層膜形成用組成物、レジスト下層膜、レジスト下層膜の形成方法及びパターニングされた基板の製造方法
WO2019151153A1 (ja) 半導体リソグラフィープロセス用膜形成組成物、ケイ素含有膜及びレジストパターン形成方法
WO2018155377A1 (ja) レジストプロセス用膜形成材料、パターン形成方法及びポリシロキサン
KR20130034617A (ko) 레지스트 하층막 형성용 조성물, 패턴 형성 방법 및 중합체
JP7439823B2 (ja) レジスト下層膜形成用組成物、レジスト下層膜、レジスト下層膜の形成方法及びパターニングされた基板の製造方法
WO2023021971A1 (ja) レジスト下層膜の形成方法、半導体基板の製造方法、レジスト下層膜形成用組成物及びレジスト下層膜
WO2023204078A1 (ja) 半導体基板の製造方法及びケイ素含有組成物

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19866620

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2020549302

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19866620

Country of ref document: EP

Kind code of ref document: A1