WO2019239872A1 - 成膜装置及び成膜装置におけるクリーニング方法 - Google Patents

成膜装置及び成膜装置におけるクリーニング方法 Download PDF

Info

Publication number
WO2019239872A1
WO2019239872A1 PCT/JP2019/020980 JP2019020980W WO2019239872A1 WO 2019239872 A1 WO2019239872 A1 WO 2019239872A1 JP 2019020980 W JP2019020980 W JP 2019020980W WO 2019239872 A1 WO2019239872 A1 WO 2019239872A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
susceptor
lower electrode
cleaning
electrode
Prior art date
Application number
PCT/JP2019/020980
Other languages
English (en)
French (fr)
Inventor
田中 恵一
大輔 大場
龍夫 松土
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Publication of WO2019239872A1 publication Critical patent/WO2019239872A1/ja

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Definitions

  • the present disclosure relates to a film forming apparatus and a cleaning method in the film forming apparatus.
  • reaction products attached to the inner wall of a chamber (processing vessel), a susceptor on which the semiconductor wafer is placed, and the like As the number of processing increases, reaction products attached to the inner wall of a chamber (processing vessel), a susceptor on which the semiconductor wafer is placed, and the like.
  • the amount of adhesion increases.
  • the processing environment changes, so that the uniformity of the processing between the semiconductor wafers may deteriorate.
  • an increase in the amount of the reaction product attached becomes a cause of generation of particles. Therefore, the inside of the chamber is cleaned with plasma obtained by converting the cleaning gas into plasma.
  • cleaning with plasma may be performed with a dummy wafer placed on the susceptor.
  • the cost of the dummy wafer is high, if the cleaning using the dummy wafer is performed, the manufacturing cost of the semiconductor device is increased.
  • cleaning may be performed without using a dummy wafer.
  • the surface of the susceptor is exposed to plasma, so that the surface of the susceptor becomes rough.
  • the degree of roughness of the susceptor surface increases, the state of heat transfer between the susceptor and the semiconductor wafer changes, and the process temperature of the semiconductor wafer may deviate from the set temperature.
  • the susceptor replacement frequency increases in order to maintain the process temperature of the semiconductor wafer at the set temperature. Since the susceptor is an expensive member, if the frequency of replacement of the susceptor increases, the manufacturing cost of the semiconductor device increases.
  • This disclosure provides a technique capable of suppressing damage to a susceptor used as a lower electrode in cleaning without using a dummy wafer.
  • the film formation apparatus of one embodiment of the present disclosure includes a processing container that can be evacuated, a lower electrode, an upper electrode, a gas supply unit, and a voltage application unit.
  • a substrate to be processed can be placed on the lower electrode.
  • the upper electrode is disposed to face the lower electrode in the processing container.
  • the gas supply unit supplies plasma to the processing space with a cleaning gas that cleans reaction products adhering to the inside of the processing container during film formation by being converted into plasma in the processing space between the upper electrode and the lower electrode.
  • the voltage application unit applies a DC pulse voltage to the upper electrode when the cleaning gas is supplied to the processing space in a state where the substrate to be processed is not placed on the lower electrode.
  • FIG. 1 is a diagram illustrating a configuration example of a film forming apparatus according to the embodiment.
  • FIG. 2 is a diagram illustrating an example of the high-frequency voltage according to the embodiment.
  • FIG. 3 is a diagram illustrating an example of a DC pulse voltage according to the embodiment.
  • FIG. 4 is a diagram illustrating an example of the superimposed voltage according to the embodiment.
  • FIG. 5 is a diagram illustrating an example of the experimental result 1 in the comparative example.
  • FIG. 6 is a diagram illustrating an example of the experimental result 1 in the comparative example.
  • FIG. 7 is a diagram illustrating an example of the experimental result 2 in the comparative example.
  • FIG. 8 is a diagram illustrating an example of the experimental result 2 in the comparative example.
  • FIG. 1 is a diagram illustrating a configuration example of a film forming apparatus according to the embodiment.
  • FIG. 2 is a diagram illustrating an example of the high-frequency voltage according to the embodiment.
  • FIG. 3 is a diagram illustrating
  • FIG. 9 is a diagram illustrating an example of the experimental result 1 in the embodiment.
  • FIG. 10 is a diagram illustrating an example of the experimental result 1 in the embodiment.
  • FIG. 11 is a diagram illustrating an example of the experimental result 2 in the embodiment.
  • FIG. 12 is a diagram illustrating an example of the experimental result 2 in the embodiment.
  • FIG. 1 is a diagram illustrating a configuration example of a film forming apparatus according to the embodiment.
  • a film forming apparatus 1 shown in FIG. 1 is configured as a capacitively coupled parallel plate film forming apparatus.
  • a film forming apparatus 1 has a chamber 10 which is a metal processing vessel made of, for example, aluminum or stainless steel.
  • the chamber 10 is grounded for safety.
  • a disk-shaped susceptor 12 is horizontally disposed. On the susceptor 12, a semiconductor wafer W as a substrate to be processed can be placed. The susceptor 12 also functions as a lower electrode. A gate valve 28 for opening and closing the loading / unloading port for the semiconductor wafer W is attached to the side wall of the chamber 10.
  • the susceptor 12 is made of, for example, AlN ceramic and is supported by an insulating cylindrical support portion 14 that extends vertically upward from the bottom of the chamber 10.
  • An annular exhaust path 18 is formed between the conductive cylindrical support portion (inner wall portion) 16 extending vertically upward from the bottom of the chamber 10 along the outer periphery of the cylindrical support portion 14 and the side wall of the chamber 10. Yes.
  • An exhaust port 22 is provided at the bottom of the exhaust path 18.
  • An exhaust device 26 is connected to the exhaust port 22 via an exhaust pipe 24.
  • the exhaust device 26 has a vacuum pump such as a turbo molecular pump, for example, and depressurizes the processing space in the chamber 10 to a desired degree of vacuum.
  • the inside of the chamber 10 is preferably maintained at a constant pressure in the range of 200 mTorr to 2500 mTorr, for example.
  • an impedance adjustment circuit 100 having a coil 101 and a variable capacitor 102 is electrically connected via a connecting rod 36.
  • a semiconductor wafer W to be deposited is placed, and a ring 38 is provided so as to surround the semiconductor wafer W.
  • the ring 38 is made of a conductive material (for example, Ni, Al, etc.) and is detachably attached to the upper surface of the susceptor 12.
  • an electrostatic chuck 40 for attracting wafers is provided on the upper surface of the susceptor 12.
  • the electrostatic chuck 40 is formed by sandwiching a sheet-like or mesh-like conductor between film-like or plate-like dielectrics.
  • a DC power source 42 disposed outside the chamber 10 is electrically connected to the conductor in the electrostatic chuck 40 via an on / off switch 44 and a power supply line 46.
  • the semiconductor wafer W is attracted and held on the electrostatic chuck 40 by the Coulomb force generated in the electrostatic chuck 40 by the DC voltage applied from the DC power source 42.
  • An annular refrigerant chamber 48 extending in the circumferential direction is provided inside the susceptor 12.
  • a refrigerant for example, cooling water
  • the temperature of the semiconductor wafer W on the electrostatic chuck 40 is controlled by controlling the temperature of the coolant.
  • a heat transfer gas for example, He gas
  • a heat transfer gas supply unit passes through the gas supply pipe 51 and the gas passage 56 in the susceptor 12. Then, it is supplied between the electrostatic chuck 40 and the semiconductor wafer W.
  • a disk-shaped inner upper electrode 60 and a ring-shaped outer upper electrode 62 are concentrically provided on the ceiling of the chamber 10 so as to face (that is, face each other) in parallel with the susceptor 12.
  • the inner upper electrode 60 has the same diameter (diameter) as the semiconductor wafer W
  • the outer upper electrode 62 has the same diameter (inner diameter / outer diameter) as the ring 38. Yes.
  • the inner upper electrode 60 and the outer upper electrode 62 are electrically insulated from each other.
  • a ring-shaped insulator 63 made of ceramic, for example, is inserted between the electrodes 60 and 62.
  • the inner upper electrode 60 has an electrode plate 64 that faces the susceptor 12 directly in front, and an electrode support 66 that detachably supports the electrode plate 64 from behind (upper) thereof.
  • a conductive material such as Ni or Al is preferable.
  • the electrode support 66 is made of alumite-treated aluminum, for example.
  • the outer upper electrode 62 also has an electrode plate 68 facing the susceptor 12 and an electrode support 70 that detachably supports the electrode plate 68 from behind (upper) thereof.
  • the electrode plate 68 and the electrode support 70 are preferably made of the same material as the electrode plate 64 and the electrode support 66, respectively.
  • the inner upper electrode 60 and the outer upper electrode 62 may be collectively referred to as “upper electrodes 60, 62”.
  • the disk-shaped susceptor 12 that is, the lower electrode
  • the disk-shaped upper electrodes 60 and 62 face each other in parallel.
  • the case where the upper electrodes 60 and 62 are composed of two members, that is, the inner upper electrode 60 and the outer upper electrode 62 has been described as an example.
  • the upper electrode may be composed of one member.
  • the inner upper electrode 60 is also used as a shower head. More specifically, a gas diffusion chamber 72 is provided inside the electrode support 66, and a number of gas discharge holes 74 penetrating from the gas diffusion chamber 72 toward the susceptor 12 are formed in the electrode support 66 and the electrode plate 64. A gas supply pipe 78 extending from the gas supply unit 76 is connected to the gas introduction port 72 a provided in the upper part of the gas diffusion chamber 72. Note that a shower head may be provided not only on the inner upper electrode 60 but also on the outer upper electrode 62.
  • the voltage application unit 5 that outputs an applied voltage is disposed outside the chamber 10.
  • the voltage application unit 5 is connected to the upper electrodes 60 and 62 via the power supply line 88.
  • the voltage application unit 5 includes a high frequency power source 30, a matching unit 34, a variable DC power source 80, a pulse generator 84, a filter 86, a superimposing unit 91, and an on / off switch 92.
  • the high-frequency power supply 30 generates a high-frequency AC voltage (hereinafter sometimes referred to as “high-frequency voltage”), and supplies the generated high-frequency voltage to the superimposer 91 via the matching unit 34 and the on / off switch 92. To do.
  • high-frequency voltage a high-frequency AC voltage
  • the on / off switch 92 When the on / off switch 92 is turned on, the high frequency voltage is supplied to the superimposing unit 91, while when the on / off switching switch 92 is off, the high frequency voltage is supplied to the superimposing unit 91. Not supplied.
  • the frequency of the high frequency voltage generated by the high frequency power supply 30 is preferably, for example, 13 MHz or more.
  • FIG. 2 is a diagram illustrating an example of the high-frequency voltage according to the embodiment.
  • the high frequency power supply 30 generates a high frequency voltage V1 of ⁇ 250 V to 250 V with 0 V as a reference potential RP, for example.
  • the matching unit 34 matches the impedance on the high frequency power supply 30 side and the impedance on the load (mainly electrodes, plasma, chamber) side.
  • the output terminal of the variable DC power supply 80 is connected to the pulse generator 84, and the variable DC power supply 80 outputs a negative DC voltage (that is, a negative DC voltage) to the pulse generator 84.
  • the pulse generator 84 uses a negative DC voltage input from the variable DC power supply 80 to generate a rectangular wave DC pulse voltage (that is, a DC pulse voltage), and superimposes the generated DC pulse voltage via a filter 86.
  • the frequency of the DC pulse voltage generated by the pulse generator 84 is preferably 10 kHz to 1 MHz, for example.
  • the duty ratio of the DC pulse voltage generated by the pulse generator 84 is preferably 10% to 90%.
  • FIG. 3 is a diagram illustrating an example of a DC pulse voltage according to the embodiment.
  • the pulse generator 84 generates a rectangular pulse DC pulse voltage V2 of, for example, 0V to ⁇ 500V.
  • the filter 86 outputs the direct-current pulse voltage output from the pulse generator 84 to the superimposer 91 through, while passing the high-frequency voltage output from the high-frequency power supply 30 to the ground line and to the pulse generator 84 side. Is configured to not.
  • the superimposing unit 91 superimposes the high-frequency voltage output from the high-frequency power supply 30 and the direct-current pulse voltage output from the pulse generator 84, thereby superimposing the high-frequency voltage and the direct-current pulse voltage (hereinafter “ (Sometimes referred to as "superimposed voltage”).
  • the generated superimposed voltage is applied to the upper electrodes 60 and 62 via the power supply line 88.
  • the superimposer 91 is an example of a voltage superimposing unit.
  • FIG. 4 is a diagram illustrating an example of the superimposed voltage according to the embodiment.
  • a superimposed voltage V3 shown in FIG. 4 is generated.
  • the high-frequency voltage V1 (FIG. 2) is matched to the waveform of the rectangular DC pulse voltage V2 (FIG. 3).
  • the reference potential RP periodically and alternately changes with time. That is, when the on / off switch 92 is turned on, the voltage application unit 5 outputs a high-frequency voltage that changes in a pulse shape (that is, a rectangular wave shape).
  • the on / off switch 92 when the on / off switch 92 is turned on, the high frequency voltage output from the matching unit 34 is supplied to the superimposer 91, so that the superimposition voltage is output from the superimposer 91.
  • the on / off switch 92 when the on / off switch 92 is off, the high-frequency voltage output from the matching unit 34 is not supplied to the superimposer 91, so the DC pulse voltage output from the filter 86 is used as it is. Is output from.
  • a ring-shaped ground part 96 made of a conductive member such as Ni or Al is attached to an appropriate location facing the processing space PS in the chamber 10 (for example, the outside in the radial direction of the outer upper electrode 62). .
  • the ground part 96 is attached to a ring-shaped insulator 98 made of, for example, ceramic, is connected to the ceiling wall of the chamber 10, and is grounded through the chamber 10.
  • a superimposed voltage or a DC pulse voltage is applied from the voltage application unit 5 to the upper electrodes 60 and 62 during the plasma processing, an electron current flows between the upper electrodes 60 and 62 and the ground part 96 via the plasma. It has become.
  • control unit The individual operation of each component in the film forming apparatus 1 and the operation (sequence) of the entire film forming apparatus 1 are controlled by a control unit (not shown).
  • a control unit for example, the operations of the exhaust device 26, the high frequency power supply 30, the on / off switch 44, 92, the gas supply unit 76, the chiller unit (not shown), the heat transfer gas supply unit (not shown), etc. (Not shown).
  • An example of the control unit is a microcomputer.
  • the on / off switch 44 is turned on, and the semiconductor wafer W is attracted and held on the electrostatic chuck 40 by electrostatic attraction force.
  • the film forming raw material gas is introduced into the chamber 10 as a processing gas from the gas supply unit 76 at a predetermined flow rate, and the pressure in the chamber 10 is adjusted to a set value by the exhaust device 26.
  • the high-frequency power source 30, the variable DC power source 80, and the on / off switch 92 are turned on, and a superimposed voltage is applied to the upper electrodes 60 and 62. Further, a heat transfer gas is supplied between the electrostatic chuck 40 and the semiconductor wafer W.
  • the film-forming source gas discharged from the inner upper electrode 60 is turned into plasma in the processing space PS by discharge between the upper electrodes 60 and 62 and the susceptor 12 used as the lower electrode, and radicals and ions contained in this plasma. As a result, a film is formed on the surface of the semiconductor wafer W.
  • TEOS Si (OC 2 H 5 )
  • Ar gas Ar gas
  • O 2 gas an insulating film such as a SiO 2 film is formed on the surface of the semiconductor wafer W.
  • the cleaning process in the chamber 10 is performed.
  • the gas supply unit 76 introduces a cleaning gas as a processing gas into the chamber 10 at a predetermined flow rate, and the exhaust device 26 sets the pressure in the chamber 10 to a set value. Adjust to.
  • the on / off switch 44 is turned off.
  • NF 3 gas is used as the cleaning gas.
  • the cleaning gas for example, CF4 gas, C2F6 gas, CIF3 gas, or the like may be used in addition to the NF3 gas.
  • Ar gas is introduced into the chamber 10 at a predetermined flow rate and mixed with the cleaning gas.
  • Ar gas having a flow rate of 3 slm is introduced into the chamber 10 to ignite the plasma. After the plasma is ignited, the flow rate of Ar gas is increased from 3 slm to 9 slm over about 15 seconds. Then, the flow rate of NF3 gas is increased from 0 slm to 2 slm over about 15 seconds.
  • variable DC power supply 80 is turned on, while the on / off switch 92 is turned off, and a DC pulse voltage is applied to the upper electrodes 60 and 62.
  • the cleaning gas (for example, NF3 gas) discharged from the inner upper electrode 60 is turned into plasma in the processing space PS by discharge between the upper electrodes 60 and 62 and the susceptor 12 used as the lower electrode. Then, the reaction product adhering in the chamber 10 becomes a fluoride having a high vapor pressure and is discharged out of the chamber 10 by radicals and ions contained in the plasma.
  • the cleaning process is performed for 1 minute, for example.
  • FIGS. 5 to 8 show experimental results when a high frequency voltage of 40 MHz is applied to the upper electrodes 60 and 62
  • FIGS. 9 to 12 show that the upper electrodes 60 and 62 have a duty ratio of 50% and a frequency of 500 kHz.
  • flow pulse voltage of is shown.
  • FIG. 7, FIG. 9 and FIG. 11 show the relationship between the distance d in the z direction (FIG. 1) and the plasma density
  • the relationship with temperature is shown.
  • the distance d indicates a distance from the upper surface of the susceptor 12 to the lower surfaces of the upper electrodes 60 and 62 with the upper surface of the susceptor 12 (that is, the upper surface of the electrostatic chuck 40) as a base point in the z direction (FIG. 1).
  • FIGS. 5 to 12 show, as an example, experimental results in the case where the distance d between the upper surface of the susceptor 12 and the lower surfaces of the upper electrodes 60 and 62 (that is, the interelectrode gap) is 0.014 m. .
  • the density of electrons e, the density of O2 + ions, the density of O ⁇ ions, and the density of Ar + ions are used as indices representing the plasma density.
  • the electron temperature near the upper surface of the susceptor 12 is greatly lowered while the electron temperature near the lower surface of the upper electrodes 60 and 62 is maintained at a high temperature. That is, in the processing space PS, a region having a high electron temperature is unevenly distributed near the lower surfaces of the upper electrodes 60 and 62.
  • the dissociation of the processing gas is promoted as the electron temperature is higher, the generation amount of plasma is increased, so that radicals contained in the plasma are increased.
  • the upper electrodes 60 and 62 are less expensive members than the susceptor 12. Therefore, the increase in the manufacturing cost of the semiconductor device is only slight.
  • a large amount of radicals can be generated near the upper electrodes 60 and 62. Since a large amount of radicals are generated in the vicinity of the upper electrodes 60 and 62, a part of the large amount of radicals can reach the susceptor 12, so that the susceptor 12 is also cleaned.
  • the film forming apparatus 1 includes the chamber 10 that can be evacuated, the susceptor 12 that is used as the lower electrode, the upper electrodes 60 and 62, the gas supply unit 76, and the voltage application unit 5.
  • the upper electrodes 60 and 62 are disposed in the chamber 10 so as to face the susceptor 12.
  • the gas supply unit 76 is turned into plasma in the processing space PS between the upper electrodes 60 and 62 and the susceptor 12, thereby cleaning gas for cleaning reaction products adhering to the chamber 10 during film formation.
  • the voltage application unit 5 applies a DC pulse voltage to the upper electrodes 60 and 62 when the cleaning gas is supplied to the processing space PS without the semiconductor wafer W being placed on the susceptor 12.
  • the susceptor 12 can be cleaned while suppressing damage to the susceptor 12 used as the lower electrode.
  • the gas supply unit 76 supplies a film forming source gas for forming an insulating film on the surface of the semiconductor wafer W to the processing space PS by being converted into plasma in the processing space PS during film formation.
  • reaction product adhering in the chamber 10 when the insulating film is formed on the surface of the semiconductor wafer W in the film forming process can be ashed by the cleaning process.
  • the voltage application unit 5 may apply a superimposed voltage to the upper electrodes 60 and 62.
  • the superimposed voltage includes a high-frequency AC component, the ignitability of the processing gas during the cleaning process can be improved.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本開示の成膜装置は、真空排気可能な処理容器と、下部電極と、上部電極と、ガス供給部と、電圧印加部とを有する。下部電極には被処理基板が載置可能である。上部電極は、処理容器内で下部電極に対向して配置される。ガス供給部は、上部電極と下部電極との間の処理空間でプラズマ化されることにより、処理容器内に成膜時に付着した反応生成物をクリーニングするクリーニングガスを処理空間に供給する。電圧印加部は、下部電極に被処理基板が載置されていない状態でクリーニングガスが処理空間に供給されているときに、直流パルス電圧を上部電極に印加する。

Description

成膜装置及び成膜装置におけるクリーニング方法
 本開示は、成膜装置及び成膜装置におけるクリーニング方法に関する。
 半導体デバイスの製造工程において半導体ウエハの表面に対して行われるプラズマ処理では、処理の回数が増加するにつれて、チャンバ(処理容器)の内壁や半導体ウエハが載置されるサセプタ等に付着する反応生成物の付着量が増加する。反応生成物の付着量が増加すると処理環境が変わるため、半導体ウエハ間での処理の均一性が悪化することがある。また、反応生成物の付着量の増加は、パーティクルの発生要因になる。そこで、クリーニングガスをプラズマ化させたプラズマによりチャンバ内をクリーニングすることが行われる。
特開2012-204644号公報
 プラズマによるチャンバ内のクリーニングに際し、サセプタの表面の損傷を防止するために、サセプタにダミーウエハを載置した状態でプラズマによるクリーニングが行われることがある。しかし、ダミーウエハを用いたクリーニングでは、チャンバ内へのダミーウエハの搬入、及び、チャンバからのダミーウエハの搬出が必要になるので、スループットが低下する。また、ダミーウエハのコストが高いため、ダミーウエハを用いたクリーニングを行うと、半導体デバイスの製造コストが高くなってしまう。
 そこで、ダミーウエハを用いずにクリーニングを行う場合がある。しかし、ダミーウエハを用いないクリーニングでは、サセプタの表面がプラズマに曝されるため、サセプタの表面が荒れてしまう。サセプタの表面の荒れの度合が大きくなると、サセプタと半導体ウエハとの間での熱伝達の状態が変化するため、半導体ウエハのプロセス温度が設定温度から外れてしまうことがある。このため、ダミーウエハを用いないクリーニングが行われる場合には、半導体ウエハのプロセス温度を設定温度に維持するために、サセプタの交換頻度が増加してしまう。サセプタは高価な部材であるため、サセプタの交換頻度が増加すると、半導体デバイスの製造コストが高くなってしまう。
 本開示は、ダミーウエハを用いないクリーニングにおいて、下部電極として用いられるサセプタの損傷を抑制できる技術を提供する。
 本開示の一態様の成膜装置は、真空排気可能な処理容器と、下部電極と、上部電極と、ガス供給部と、電圧印加部とを有する。下部電極には被処理基板が載置可能である。上部電極は、処理容器内で下部電極に対向して配置される。ガス供給部は、上部電極と下部電極との間の処理空間でプラズマ化されることにより、処理容器内に成膜時に付着した反応生成物をクリーニングするクリーニングガスを処理空間に供給する。電圧印加部は、下部電極に被処理基板が載置されていない状態でクリーニングガスが処理空間に供給されているときに、直流パルス電圧を上部電極に印加する。
 本開示の技術によれば、ダミーウエハを用いないクリーニングにおいて、下部電極として用いられるサセプタの損傷を抑制できる。
図1は、実施形態に係る成膜装置の構成例を示す図である。 図2は、実施形態に係る高周波電圧の一例を示す図である。 図3は、実施形態に係る直流パルス電圧の一例を示す図である。 図4は、実施形態に係る重畳電圧の一例を示す図である。 図5は、比較例における実験結果1の一例を示す図である。 図6は、比較例における実験結果1の一例を示す図である。 図7は、比較例における実験結果2の一例を示す図である。 図8は、比較例における実験結果2の一例を示す図である。 図9は、実施形態における実験結果1の一例を示す図である。 図10は、実施形態における実験結果1の一例を示す図である。 図11は、実施形態における実験結果2の一例を示す図である。 図12は、実施形態における実験結果2の一例を示す図である。
 以下に、本開示の技術の実施形態を図面に基づいて説明する。
 <成膜装置の構成>
 図1は、実施形態に係る成膜装置の構成例を示す図である。図1に示す成膜装置1は、容量結合型の平行平板成膜装置として構成されている。
 図1において、成膜装置1は、例えばアルミニウムまたはステンレス鋼等からなる金属製の処理容器であるチャンバ10を有する。チャンバ10は保安接地されている。
 チャンバ10内には、円盤状のサセプタ12が水平に配置されている。サセプタ12には、成膜処理の被処理基板としての半導体ウエハWが載置可能である。サセプタ12は、下部電極としても機能する。チャンバ10の側壁には、半導体ウエハWの搬入出口を開閉するゲートバルブ28が取り付けられている。サセプタ12は、例えばAlNセラミック等からなり、チャンバ10の底から鉛直上方に延びる絶縁性の筒状支持部14に支持されている。
 筒状支持部14の外周に沿ってチャンバ10の底から鉛直上方に延びる導電性の筒状支持部(内壁部)16とチャンバ10の側壁との間に、環状の排気路18が形成されている。排気路18の底には排気口22が設けられている。
 排気口22には排気管24を介して排気装置26が接続されている。排気装置26は、例えばターボ分子ポンプ等の真空ポンプを有しており、チャンバ10内の処理空間を所望の真空度まで減圧する。チャンバ10内は、例えば、200mTorr~2500mTorrの範囲の一定の圧力に保たれるのが好ましい。
 下部電極として用いられるサセプタ12と接地との間には、コイル101と可変コンデンサ102とを有するインピーダンス調整回路100が接続棒36を介して電気的に接続されている。
 サセプタ12の上には成膜処理対象の半導体ウエハWが載置され、半導体ウエハWを囲むようにリング38が設けられている。リング38は、導電材(例えばNi,Al等)からなり、サセプタ12の上面に着脱可能に取り付けられる。
 また、サセプタ12の上面には、ウエハ吸着用の静電チャック40が設けられている。静電チャック40は、膜状または板状の誘電体の間にシート状またはメッシュ状の導電体を挟んで形成される。静電チャック40内の導電体には、チャンバ10の外に配置される直流電源42がオン/オフ切替スイッチ44及び給電線46を介して電気的に接続されている。直流電源42より印加される直流電圧によって静電チャック40に発生したクーロン力により、半導体ウエハWが静電チャック40上に吸着保持される。
 サセプタ12の内部には、円周方向に延びる環状の冷媒室48が設けられている。冷媒室48には、チラーユニット(図示せず)より配管50,52を介して、所定温度の冷媒(例えば冷却水)が循環供給される。冷媒の温度を制御することによって静電チャック40上の半導体ウエハWの温度が制御される。さらに、半導体ウエハWの温度の精度を高めるために、伝熱ガス供給部(図示せず)からの伝熱ガス(例えばHeガス)が、ガス供給管51及びサセプタ12内のガス通路56を介して、静電チャック40と半導体ウエハWとの間に供給される。
 チャンバ10の天井には、サセプタ12と平行に向かい合って(つまり、対向して)、円盤状の内側上部電極60及びリング状の外側上部電極62が同心状に設けられている。径方向の好適なサイズとして、内側上部電極60は半導体ウエハWと同程度の口径(直径)を有し、外側上部電極62はリング38と同程度の口径(内径・外径)を有している。但し、内側上部電極60と外側上部電極62とは互いに電気的に絶縁されている。両電極60,62の間には、例えばセラミックからなるリング状の絶縁体63が挿入されている。
 内側上部電極60は、サセプタ12と真正面に向かい合う電極板64と、電極板64をその背後(上)から着脱可能に支持する電極支持体66とを有している。電極板64の材質として、NiまたはAl等の導電材が好ましい。電極支持体66は、例えばアルマイト処理されたアルミニウムで構成される。外側上部電極62も、サセプタ12と向かい合う電極板68と、電極板68をその背後(上)から着脱可能に支持する電極支持体70とを有している。電極板68及び電極支持体70は、電極板64及び電極支持体66とそれぞれ同じ材質で構成されるのが好ましい。以下では、内側上部電極60と外側上部電極62とを「上部電極60,62」と総称することがある。このように、成膜装置1では、円盤状のサセプタ12(つまり、下部電極)と、円盤状の上部電極60,62とが互いに平行に対向している。
 なお、本実施形態では、上部電極60,62が、内側上部電極60と外側上部電極62との2つの部材で構成される場合を一例として挙げた。しかし、上部電極は1つの部材で構成されても良い。
 上部電極60,62とサセプタ12との間に設定される処理空間PSに処理ガスを供給するために、内側上部電極60がシャワーヘッドとして兼用される。より詳細には、電極支持体66の内部にガス拡散室72が設けられ、ガス拡散室72からサセプタ12側に貫ける多数のガス吐出孔74が電極支持体66及び電極板64に形成される。ガス拡散室72の上部に設けられるガス導入口72aには、ガス供給部76から延びるガス供給管78が接続されている。なお、内側上部電極60だけでなく外側上部電極62にもシャワーヘッドを設ける構成としても良い。
 チャンバ10の外には、印加電圧を出力する電圧印加部5が配置されている。電圧印加部5は、給電ライン88を介して上部電極60,62に接続されている。電圧印加部5は、高周波電源30と、マッチングユニット34と、可変直流電源80と、パルス発生器84と、フィルタ86と、重畳器91と、オン/オフ切替スイッチ92とを有する。
 高周波電源30は、高周波数の交流電圧(以下では「高周波電圧」と呼ぶことがある)を生成し、生成した高周波電圧をマッチングユニット34及びオン/オフ切替スイッチ92を介して重畳器91に供給する。オン/オフ切替スイッチ92がオンになっているときは、高周波電圧が重畳器91に供給される一方で、オン/オフ切替スイッチ92がオフになっているときは、高周波電圧が重畳器91に供給されない。高周波電源30が生成する高周波電圧の周波数は、例えば13MHz以上であることが好ましい。
 図2は、実施形態に係る高周波電圧の一例を示す図である。図2に示すように、高周波電源30は、例えば、0Vを基準電位RPとする-250V~250Vの高周波電圧V1を生成する。マッチングユニット34は、高周波電源30側のインピーダンスと負荷(主に電極、プラズマ、チャンバ)側のインピーダンスとの間の整合をとる。
 可変直流電源80の出力端子はパルス発生器84に接続され、可変直流電源80は、負の直流電圧(つまり負のDC電圧)をパルス発生器84に出力する。パルス発生器84は、可変直流電源80から入力される負の直流電圧を用いて、矩形波の直流パルス電圧(つまりDCパルス電圧)を発生し、発生した直流パルス電圧をフィルタ86を介して重畳器91に供給する。パルス発生器84が発生する直流パルス電圧の周波数は、例えば、10kHz~1MHzであることが好ましい。また、パルス発生器84が発生する直流パルス電圧のデューティ比は、10%~90%であることが好ましい。
 図3は、実施形態に係る直流パルス電圧の一例を示す図である。図3に示すように、パルス発生器84は、例えば、0V~-500Vの矩形波の直流パルス電圧V2を生成する。フィルタ86は、パルス発生器84から出力される直流パルス電圧をスルーで重畳器91へ出力する一方で、高周波電源30から出力される高周波電圧を接地ラインへ流してパルス発生器84側へは流さないように構成されている。
 重畳器91は、高周波電源30から出力される高周波電圧と、パルス発生器84から出力される直流パルス電圧とを重畳することにより、高周波電圧と直流パルス電圧とが重畳された電圧(以下では「重畳電圧」と呼ぶことがある)を生成する。生成された重畳電圧は、給電ライン88を介して上部電極60,62に印加される。重畳器91は、電圧重畳部の一例である。
 図4は、実施形態に係る重畳電圧の一例を示す図である。図2示す高周波電圧V1と図3に示す直流パルス電圧V2とが重畳された場合、図4に示す重畳電圧V3が生成される。高周波電圧に直流パルス電圧が重畳されることにより、図4に示すように、重畳電圧V3においては、矩形波の直流パルス電圧V2(図3)の波形に合わせて、高周波電圧V1(図2)の基準電位RPが時間の経過に伴って上下に交互に周期的に変化する。つまり、電圧印加部5は、オン/オフ切替スイッチ92がオンになっている場合には、パルス状(つまり、矩形波状)に変化する高周波電圧を出力する。
 このように、オン/オフ切替スイッチ92がオンになっているときは、マッチングユニット34から出力される高周波電圧が重畳器91に供給されるので、重畳器91からは重畳電圧が出力される。一方で、オン/オフ切替スイッチ92がオフになっているときは、マッチングユニット34から出力される高周波電圧が重畳器91に供給されないので、フィルタ86から出力された直流パルス電圧がそのまま重畳器91から出力される。
 チャンバ10内で処理空間PSに面する適当な箇所(例えば、外側上部電極62の半径方向外側)には、例えばNi,Al等の導電性部材からなるリング状のグランドパーツ96が取り付けられている。グランドパーツ96は、例えばセラミックからなるリング状の絶縁体98に取り付けられるとともに、チャンバ10の天井壁に接続されており、チャンバ10を介して接地されている。プラズマ処理中に電圧印加部5から上部電極60,62に重畳電圧または直流パルス電圧が印加されると、プラズマを介して上部電極60,62とグランドパーツ96との間で電子電流が流れるようになっている。
 成膜装置1内の各構成の個々の動作、及び、成膜装置1全体の動作(シーケンス)は、制御部(図示せず)によって制御される。例えば、排気装置26、高周波電源30、オン/オフ切替スイッチ44,92、ガス供給部76、チラーユニット(図示せず)、伝熱ガス供給部(図示せず)等の動作は、制御部(図示せず)によって制御される。制御部の一例として、マイクロコンピュータが挙げられる。
 <成膜装置での成膜処理>
 成膜装置1において、成膜を行なうには、まずゲートバルブ28を開状態にして加工対象の半導体ウエハWをチャンバ10内に搬入して、静電チャック40の上に載置する。
 次に、オン/オフ切替スイッチ44をオンにし、静電吸着力によって静電チャック40上に半導体ウエハWを吸着保持する。
 そして、ガス供給部76より成膜原料ガスを処理ガスとして所定の流量でチャンバ10内に導入し、排気装置26によりチャンバ10内の圧力を設定値に調節する。
 さらに、高周波電源30、可変直流電源80及びオン/オフ切替スイッチ92をオンにして、重畳電圧を上部電極60,62に印加する。また、静電チャック40と半導体ウエハWとの間に伝熱ガスを供給する。
 内側上部電極60より吐出された成膜原料ガスは、上部電極60,62と、下部電極として用いられるサセプタ12との間での放電によって処理空間PSでプラズマ化し、このプラズマに含まれるラジカルやイオンによって半導体ウエハWの表面に被膜が形成される。成膜原料ガスとして例えば、TEOS(Si(OC))ガス、Arガス、O2ガスを用いることにより、半導体ウエハWの表面に、SiO2膜等の絶縁膜が形成される。
 成膜処理が施される半導体ウエハWの枚数が所定の枚数に達する毎に、チャンバ10内のクリーニング処理が行われる。
 <成膜装置でのクリーニング処理>
 実施形態に係るクリーニング処理ではダミーウエハが用いられないため、クリーニング処理の開始時点では、サセプタ12の上面(つまり、静電チャック40の上面)が処理空間PSに対して露出した状態にある。また、チャンバ10の内壁及びリング38等の、サセプタ12における半導体ウエハWの載置領域周辺には、成膜処理により生成された反応生成物が付着している。
 サセプタ12の上面が処理空間PSに対して露出した状態で、ガス供給部76よりクリーニングガスを処理ガスとして所定の流量でチャンバ10内に導入し、排気装置26によりチャンバ10内の圧力を設定値に調節する。オン/オフ切替スイッチ44はオフにする。クリーニングガスとして、例えば、NF3ガスが用いられる。クリーニングガスとしては、NF3ガスの他に、例えば、CF4ガス、C2F6ガス、CIF3ガス等が用いられても良い。また、プラズマの安定化のために、Arガスを所定の流量でチャンバ10内に導入し、クリーニングガスと混合して用いる。
 例えば、チャンバ10内にNF3ガスを導入する前に、流量3slmのArガスをチャンバ10内に導入してプラズマを着火する。プラズマが着火した後、15秒程度かけてArガスの流量を3slmから9slmに増加する。そしてさらに15秒程度かけてNF3ガスの流量を0slmから2slmまで増加させる。
 さらに、チャンバ10内にArガスを導入する時点で、可変直流電源80をオンにする一方で、オン/オフ切替スイッチ92をオフにして、直流パルス電圧を上部電極60,62に印加する。
 内側上部電極60より吐出されたクリーニングガス(例えば、NF3ガス)は、上部電極60,62と、下部電極として用いられるサセプタ12との間での放電によって処理空間PSでプラズマ化する。そして、このプラズマに含まれるラジカルやイオンによって、チャンバ10内に付着した反応生成物が蒸気圧の高いフッ化物となってチャンバ10の外に排出される。クリーニング処理は例えば1分間行われる。
 <実験結果>
 以下に、一例として、処理ガスとしてAr/O2ガスをチャンバ10内に導入した場合の実験結果を示す。図5及び図6は、比較例における実験結果1の一例を示す図である。図7及び図8は、比較例における実験結果2の一例を示す図である。図9及び図10は、実施形態おける実験結果1の一例を示す図である。図11及び図12は、実施形態における実験結果2の一例を示す図である。
 図5、図6、図9及び図10は、チャンバ10内の圧力を500mTorrにした状態の実験結果を示し、図7、図8、図11及び図12は、チャンバ10内の圧力を1000mTorrにした状態の実験結果を示す。また、図5~図8は、上部電極60,62に周波数40MHzの高周波電圧を印加した場合の実験結果を示し、図9~図12は、上部電極60,62にデューティ比50%、周波数500kHzの直流パルス電圧を印加した場合の実験結果を示す。また、図5、図7、図9及び図11は、z方向(図1)の距離dとプラズマ密度との関係を示し、図6、図8、図10及び図12は、距離dと電子温度との関係を示す。距離dは、z方向(図1)において、サセプタ12の上面(つまり、静電チャック40の上面)を基点とし、サセプタ12の上面から上部電極60,62の下面までの距離を示す。図5~図12では、一例として、サセプタ12の上面と上部電極60,62の下面との間の距離d(つまり、電極間ギャップ)が0.014mである場合の実験結果が示されている。また、図5、図7、図9及び図11では、プラズマ密度を表す指標として、電子eの密度、O2+イオンの密度、O-イオンの密度、Ar+イオンの密度を用いる。
 <比較例の実験結果1(図5,図6)>
 チャンバ10内の圧力を500mTorrにした状態で上部電極60,62に40MHzの周波数の高周波電圧を印加した場合は、図5に示すように、サセプタ12の上面と上部電極60,62の下面との間の中間付近で、負イオン(O-イオン)の密度が高くなる。また、図6に示すように、サセプタ12の上面付近、及び、上部電極60,62の下面付近の双方で、電子温度が高くなる。
 <比較例の実験結果2(図7,図8)>
 チャンバ10内の圧力を1000mTorrにした状態で上部電極60,62に40MHzの周波数の高周波電圧を印加した場合も、図5と同様に、サセプタ12の上面と上部電極60,62の下面との間の中間付近で、負イオン(O-イオン)の密度が高くなる(図7)。また、図6と同様に、サセプタ12の上面付近、及び、上部電極60,62の下面付近の双方で、電子温度が高くなる(図8)。
 <実施形態の実験結果1(図9,図10)>
 チャンバ10内の圧力を500mTorrにした状態で上部電極60,62に500kHzの周波数の直流パルス電圧を印加した場合は、図9に示すように、図5と比較して、負イオン(O-イオン)の密度が大きく低下する。
 また、図10に示すように、図6と比較して、上部電極60,62の下面付近での電子温度が高温に維持されたまま、サセプタ12の上面付近での電子温度が大きく低下する。つまり、処理空間PSにおいて、電子温度が高い領域が、上部電極60,62の下面付近に偏在する。ここで、電子温度が高いほど、処理ガスの解離が促進されるため、プラズマの生成量が増加するため、プラズマに含まれるラジカルが増加する。つまり、チャンバ10内の圧力を500mTorrにした状態で上部電極60,62に500kHzの周波数の直流パルス電圧を印加すると、図10に示すように、プラズマの存在領域が上部電極60,62の下面付近に局在化する。
 <実施形態の実験結果2(図11,図12)>
 チャンバ10内の圧力を1000mTorrにした状態で上部電極60,62に500kHzの周波数の直流パルス電圧を印加した場合も、図9及び図10に示す実験結果と同様になる。すなわち、図11に示すように、図7と比較して、負イオン(O-イオン)の密度が大きく低下する。また、図12に示すように、図8と比較して、上部電極60,62の下面付近での電子温度が高温に維持されたまま、サセプタ12の上面付近での電子温度が大きく低下する。つまり、処理空間PSにおいて、電子温度が高い領域が上部電極60,62の下面付近に偏在するため、プラズマの存在領域が上部電極60,62の下面付近に局在化する。
 上記の実験結果のように、上部電極60,62に直流パルス電圧を印加することにより、上部電極60,62に高周波電圧を印加する場合に比べ、負イオンを激減させることができるため、負イオンによる部材の損傷の度合を低下させることができる。
 また、上部電極60,62に直流パルス電圧を印加すると、上部電極60,62側では電子温度が高くなる一方で、下部電極としてのサセプタ12側では、電子温度が低くなる。電子温度が低くなるほど、電極に対する損傷の度合が小さくなる。よって、上部電極60,62への直流パルス電圧の印加によってサセプタ12側での電子温度を低下させることにより、サセプタ12に対する損傷を抑制できる。つまり、本実施形態によれば、ダミーウエハを用いないクリーニングにおいて、下部電極として用いられるサセプタ12の損傷を抑制できる。なお、上部電極60,62側での電子温度が高温になることにより上部電極60,62に対する損傷の度合が大きくなったとしても、サセプタ12に比べ、上部電極60,62は安価な部材であるので、半導体デバイスの製造コストの増加は僅かに過ぎない。
 一方で、上部電極60,62に直流パルス電圧を印加した場合には、上記の実験結果のように、下部電極としてのサセプタ12の損傷を抑制できる一方で、プラズマの存在領域が上部電極60,62の下面付近に局在化する。このため、生成されるプラズマが少量の場合には、プラズマに含まれるラジカルがサセプタ12まで到達せず、サセプタ12のクリーニングの度合が低下してしまう可能性がある。
 しかし、電子温度が高いほど、処理ガスの解離が促進されるため、プラズマの生成量が増加し、その結果、プラズマに含まれるラジカルが増加する。上記の実験結果によれば、直流パルス電圧を上部電極60,62に印加した場合(図10,図11)は、高周波電圧を上部電極60,62に印加した場合(図6,図8)に比べて、サセプタ12側の電子温度が低下する一方で、上部電極60,62側の電子温度が上昇する。よって、直流パルス電圧を上部電極60,62に印加することにより、上部電極60,62の直下において、処理ガスの解離が促進されてラジカルの生成量が増加する。つまり、直流パルス電圧を上部電極60,62に印加することにより、上部電極60,62付近に多量のラジカルを生成することができる。上部電極60,62付近で生成されるラジカルが多量であることにより、その多量のラジカルの一部がサセプタ12にも到達できるため、サセプタ12に対するクリーニングも施される。
 このように、NF3ガス等のクリーニングガスをチャンバ10内に導入するクリーニング処理において直流パルス電圧を上部電極60,62に印加することにより、下部電極として用いられるサセプタ12の損傷を抑制した上で、サセプタ12に対するクリーニングを行うことができる。
 以上のように、実施形態では、成膜装置1は、真空排気可能なチャンバ10と、下部電極として用いられるサセプタ12と、上部電極60,62と、ガス供給部76と、電圧印加部5とを有する。サセプタ12には半導体ウエハWが載置可能である。上部電極60,62は、チャンバ10内でサセプタ12に対向して配置される。ガス供給部76は、上部電極60,62とサセプタ12との間の処理空間PSでプラズマ化されることにより、チャンバ10内に成膜時に付着した反応生成物をクリーニングするクリーニングガスを処理空間PSに供給する。電圧印加部5は、サセプタ12に半導体ウエハWが載置されていない状態でクリーニングガスが処理空間PSに供給されているときに、直流パルス電圧を上部電極60,62に印加する。
 こうすることで、下部電極として用いられるサセプタ12の損傷を抑制した上で、サセプタ12に対するクリーニングを行うことができる。
 また、実施形態では、ガス供給部76は、成膜時に、処理空間PSでプラズマ化されることにより半導体ウエハWの表面に絶縁膜を形成する成膜原料ガスを処理空間PSに供給する。
 こうすることで、成膜処理において半導体ウエハWの表面に絶縁膜が形成されるときにチャンバ10内に付着する反応生成物をクリーニング処理によりアッシングすることができる。
 なお、本開示の実施形態はすべての点で例示であって制限的なものではないと考えられるべきである。実に、上記の実施形態は多様な形態で具現され得る。また、上記の実施形態は、特許請求の範囲及びその趣旨を逸脱することなく、様々な形態で省略、置換、変更されても良い。
 例えば、クリーニング処理時に、電圧印加部5は、重畳電圧を上部電極60,62に印加しても良い。これにより、重畳電圧には高周波の交流成分が含まれるため、クリーニング処理時の処理ガスの着火性を高めることができる。
1 成膜装置
5 電圧印加部
10 チャンバ
12 サセプタ
60 内側上部電極
62 外側上部電極
76 ガス供給部

Claims (3)

  1.  真空排気可能な処理容器と、
     前記処理容器内で被処理基板が載置可能な下部電極と、
     前記処理容器内で前記下部電極に対向して配置される上部電極と、
     前記上部電極と前記下部電極との間の処理空間でプラズマ化されることにより、前記処理容器内に成膜時に付着した反応生成物をクリーニングするクリーニングガスを前記処理空間に供給するガス供給部と、
     前記下部電極に前記被処理基板が載置されていない状態で前記クリーニングガスが前記処理空間に供給されているときに、直流パルス電圧を前記上部電極に印加する電圧印加部と、
     を具備する成膜装置。
  2.  前記ガス供給部は、前記成膜時に、前記処理空間でプラズマ化されることにより前記被処理基板の表面に絶縁膜を形成する成膜原料ガスを前記処理空間に供給する、
     請求項1に記載の成膜装置。
  3.  真空排気可能な処理容器と、
     前記処理容器内で被処理基板が載置可能な下部電極と、
     前記処理容器内で前記下部電極に対向して配置される上部電極と、
     を具備する成膜装置におけるクリーニング方法であって、
     前記上部電極と前記下部電極との間の処理空間でプラズマ化されることにより、前記処理容器内に成膜時に付着した反応生成物をクリーニングするクリーニングガスを前記処理空間に供給することと、
     前記下部電極に前記被処理基板が載置されていない状態で前記クリーニングガスが前記処理空間に供給されているときに、直流パルス電圧を前記上部電極に印加することと、
     を有するクリーニング方法。
PCT/JP2019/020980 2018-06-11 2019-05-28 成膜装置及び成膜装置におけるクリーニング方法 WO2019239872A1 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018111092A JP2019216140A (ja) 2018-06-11 2018-06-11 成膜装置及び成膜装置におけるクリーニング方法
JP2018-111092 2018-06-11

Publications (1)

Publication Number Publication Date
WO2019239872A1 true WO2019239872A1 (ja) 2019-12-19

Family

ID=68842016

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2019/020980 WO2019239872A1 (ja) 2018-06-11 2019-05-28 成膜装置及び成膜装置におけるクリーニング方法

Country Status (2)

Country Link
JP (1) JP2019216140A (ja)
WO (1) WO2019239872A1 (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11293468A (ja) * 1998-04-07 1999-10-26 Nissin Electric Co Ltd プラズマcvd装置およびそのクリーニング方法
JP2006270019A (ja) * 2004-06-21 2006-10-05 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体
JP2006319042A (ja) * 2005-05-11 2006-11-24 Tokyo Electron Ltd プラズマクリーニング方法、成膜方法
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2013008770A (ja) * 2011-06-23 2013-01-10 Iwatani Internatl Corp 成膜装置での堆積物クリーニング方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11293468A (ja) * 1998-04-07 1999-10-26 Nissin Electric Co Ltd プラズマcvd装置およびそのクリーニング方法
JP2006270019A (ja) * 2004-06-21 2006-10-05 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体
JP2006319042A (ja) * 2005-05-11 2006-11-24 Tokyo Electron Ltd プラズマクリーニング方法、成膜方法
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2013008770A (ja) * 2011-06-23 2013-01-10 Iwatani Internatl Corp 成膜装置での堆積物クリーニング方法

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11776789B2 (en) 2020-07-31 2023-10-03 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Also Published As

Publication number Publication date
JP2019216140A (ja) 2019-12-19

Similar Documents

Publication Publication Date Title
WO2019239872A1 (ja) 成膜装置及び成膜装置におけるクリーニング方法
KR102539151B1 (ko) 기판 처리 방법
JP5199595B2 (ja) プラズマ処理装置及びそのクリーニング方法
TWI460786B (zh) A plasma processing apparatus, a plasma processing method, and a memory medium
US8641916B2 (en) Plasma etching apparatus, plasma etching method and storage medium
JP5165993B2 (ja) プラズマ処理装置
KR980012066A (ko) 플라즈마 처리장치
KR102202347B1 (ko) 성막 장치
KR100782621B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP7366188B2 (ja) 電源システム
JP2007208302A (ja) プラズマ処理方法及びプラズマ処理装置
JP6807777B2 (ja) プラズマ処理装置
TW200428516A (en) Plasma processing apparatus and its control method
CN105719930A (zh) 等离子体蚀刻方法
KR20180124773A (ko) 플라즈마 처리 장치의 세정 방법
CN112687511A (zh) 等离子体处理装置及等离子体处理方法
WO2019230526A1 (ja) 成膜装置及び成膜方法
US20210335578A1 (en) Plasma processing apparatus and plasma processing method
US20230377844A1 (en) Plasma processing apparatus and plasma processing method
JP4052477B2 (ja) プラズマ処理装置のクリーニング方法
JP5695117B2 (ja) プラズマエッチング方法
US20220139672A1 (en) Plasma processing apparatus and plasma processing method
US20240145218A1 (en) Plasma processing apparatus and plasma processing method
US20220399185A1 (en) Plasma chamber and chamber component cleaning methods

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19819309

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19819309

Country of ref document: EP

Kind code of ref document: A1