WO2011070945A1 - Appareil de fabrication de couche mince, procédé de fabrication de couche mince et procédé de fabrication de dispositif à semi-conducteur - Google Patents

Appareil de fabrication de couche mince, procédé de fabrication de couche mince et procédé de fabrication de dispositif à semi-conducteur Download PDF

Info

Publication number
WO2011070945A1
WO2011070945A1 PCT/JP2010/071372 JP2010071372W WO2011070945A1 WO 2011070945 A1 WO2011070945 A1 WO 2011070945A1 JP 2010071372 W JP2010071372 W JP 2010071372W WO 2011070945 A1 WO2011070945 A1 WO 2011070945A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
thin film
shower plate
substrate
gas
Prior art date
Application number
PCT/JP2010/071372
Other languages
English (en)
Japanese (ja)
Inventor
健 増田
雅彦 梶沼
伸幸 加藤
弘綱 鄒
Original Assignee
株式会社アルバック
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社アルバック filed Critical 株式会社アルバック
Priority to US13/515,246 priority Critical patent/US20130023062A1/en
Publication of WO2011070945A1 publication Critical patent/WO2011070945A1/fr

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure

Definitions

  • the present invention relates to a thin film manufacturing apparatus, a thin film manufacturing method, and a semiconductor device manufacturing method including the thin film, and in particular, a thin film manufacturing apparatus, a ceramic thin film manufacturing method such as a PZT thin film, and a ceramic thin film such as the PZT thin film.
  • the present invention relates to a method for manufacturing a semiconductor device.
  • zircon titanate having a perovskite structure has been shown as a ferroelectric thin film used for a ferroelectric memory such as a DRAM (dynamic random access memory), a dielectric filter, etc., because of its large remanent polarization and ferroelectricity.
  • Thin films such as lead acid (Pb (Zr x , Ti 1-x ) O 3 ; hereinafter referred to as PZT) are used.
  • MOCVD metal organic chemical vapor deposition
  • This MOCVD method is a method in which an organic metal compound is used as a raw material in a CVD process in which a thin film raw material is reacted at a high temperature to form a film on a substrate.
  • An organic metal compound gas and a reactive gas (oxidizing gas) are used. Or a reducing gas) to form a film (see, for example, Patent Documents 1 and 2).
  • Pb (thd) 2 , Zr (dmhd) 4, and Ti (i-PrO) 2 (thd) 2 are used as raw materials, and these organometallic compound raw material gases and concentrations change with time.
  • Patent Document 2 a film is formed using Pb (CH 3 COO) 2 .3H 2 O, Zr (t-BuO) 4 and Ti (i-PrO) 4. ing.
  • Patent Document 3 There is also known a method of manufacturing an oxide film by supplying a gas mixture of a source gas, an oxidizing gas and a dilution gas onto a substrate and reacting them (see, for example, Patent Document 3).
  • a film is formed using Pb (thd) 2 , Zr (dmhd) 4, and Ti (i-PrO) 2 (thd) 2 as organometallic compound raw materials.
  • an organometallic compound selected from Pb (thd) 2 , Zr (thd) 4 , Zr (dmhd) 4 , Ti (i-PrO) 2 (thd) 2 , Zr (mmp) 4 , Ti (mmp) 4 A method of forming a PZT thin film using a raw material gas and a reactive gas is also known (see, for example, Patent Document 4).
  • Patent Documents 5 and 6 a thin film manufacturing apparatus and a thin film manufacturing method that realize a low number of particles during film formation are known (see, for example, Patent Documents 5 and 6).
  • Pb (dpm) 2 , Zr (dmhd) 4 , and Ti (i-PrO) 2 (dpm) 2 are used as organometallic compound raw materials, and oxygen gas is used as a reactive gas. Films are formed with the number of particles.
  • the temperature inside the film forming apparatus is raised to the film forming temperature as a preparation stage for obtaining the target product
  • the film forming process is performed under the process conditions (operating conditions for obtaining a product) equivalent to the target film forming conditions while flowing a reactive gas, a carrier gas, a dilution gas, and the like.
  • Preparation operation is performed until the temperature of each part of the jig in the apparatus is saturated to a predetermined temperature for obtaining the target product, and then a film forming process for obtaining the target product is performed.
  • the substrate used in this preparation stage is called a dummy substrate.
  • the preparation stage is carried out over a large number of substrates using substantially the same product substrate to be formed. That is, preparation film formation is performed using 100 or more dummy substrates until stable film formation can be performed by a manufacturing apparatus and a target product can be obtained. Film formation conditions for such a preparation stage are performed under the same conditions as in the case of manufacturing a product, but the temperature of each part in the manufacturing apparatus is not saturated unless a large number of dummy substrates are processed. From the viewpoint of shortening the process steps and the economical aspect, it is required to reduce the number of dummy substrates.
  • a ceramic thin film other than metal is formed on a substrate by MOCVD, jigs around the substrate, particularly in the case of a single-wafer type film forming apparatus, components provided facing the substrate (for example, a shower plate) Is heated by heat radiation from the substrate, and film formation similar to the substrate surface occurs on the surface of the component.
  • the reflectance with respect to the thermal radiation from the substrate changes, so that the temperature of the substrate surface changes.
  • the temperature of the substrate stage which is a flat disk-shaped component called a susceptor on which the substrate is placed, is opposite to the surface on which the substrate is placed.
  • thermocouple is brought into contact with the side surface (back surface of the susceptor), or temperature is measured and monitored in a space close to the susceptor to control the temperature. For this reason, it is difficult to directly reflect the temperature change itself on the substrate surface in the temperature control. Whether the film formation process is performed using new parts, or the film formation process is performed by replacing the film-formed parts in the film formation chamber with cleaned parts, the film formation process is performed immediately. Then, the temperature changes for each substrate placed in the film forming chamber, and there arises a problem that, for example, the composition and film thickness (film forming speed) of a ceramic thin film such as a PZT thin film change. .
  • the object of the present invention is to solve the above-mentioned problems of the prior art, reduce the number of dummy substrates, reduce the fluctuation of the substrate temperature during the film formation process, and obtain the film composition and film of the obtained thin film
  • a thin film manufacturing apparatus provided with a specific film formed on the surface of a jig in a film forming chamber such as a shower plate or the like that is new or has been subjected to a film forming process in order to reduce variation in thickness
  • An object of the present invention is to provide a method for producing a ceramic thin film using this thin film production apparatus and a method for producing a semiconductor device using this ceramic thin film.
  • the thin film manufacturing apparatus of the present invention is an apparatus for manufacturing a ceramic thin film by a thermal CVD method, and an internal jig having a film of a thermal radioactive substance on the surface is installed at a position facing a substrate on which the film is formed. It is characterized by that.
  • the internal jig is a shower plate, a shower plate mounting part, or both a shower plate and a shower plate mounting part.
  • a heat exchange jig in which a heating mechanism or a liquid heating medium circulates is installed in contact with the shower plate, the shower plate mounting component, or both the shower plate and the shower plate mounting component.
  • a substrate temperature measurement thermocouple fixed to a back surface of a substrate stage for placing the substrate on the front surface is fixed in contact with the front end or in a space near the back surface.
  • the thermal radioactive material film is made of carbon selected from carbon nanotubes such as titanium carbide (TiC), titanium carbonitride (TiCN), chromium carbide (CrC), silicon carbide (SiC), and preferably carbon nanotube black bodies. It is a film of a material containing, a material containing Al selected from aluminum nitride (AlN) and titanium aluminum nitride (TiAlN), a hydrocarbon resin, and a material combining two or more of these materials .
  • the ceramic thin film is preferably a PZT thin film.
  • the method for producing a ceramic thin film of the present invention includes a raw material gas obtained by vaporizing a solid raw material or a liquid raw material dissolved in a solvent using a vaporization system, or a raw material generated by sublimation from a solid raw material or evaporation from a liquid raw material.
  • a film-forming gas containing a gas and a reactive gas is supplied onto a substrate placed in the film-forming chamber through a gas introduction means, and is heated by a thermal CVD method on the substrate heated to a temperature higher than the decomposition temperature of the source gas.
  • an internal jig installed at a position facing a substrate on which a film is to be formed, and formed in a film forming chamber provided with a jig having a film of a heat-radiating material on the surface thereof. It is characterized by implementing a membrane.
  • the internal jig comprising a film of a thermal radioactive substance on the surface is a shower plate, a shower plate mounting component, or both a shower plate and a shower plate mounting component.
  • a heating mechanism or a heat exchange jig in which a liquid heating medium circulates contacts a shower plate, a shower plate mounting component, or both a shower plate and a shower plate mounting component.
  • the film formation is carried out in a film formation chamber installed in this manner.
  • the film of the thermal radioactive substance is a film made of the above-described material.
  • the solid material and the liquid material are organometallic compounds.
  • the ceramic thin film obtained in the method for producing a ceramic thin film is a film mainly composed of lead zirconate titanate.
  • the organometallic compound raw material which is a raw material for the film mainly composed of lead zirconate titanate, contains Pb (thd) 2 , Zr (dmhd) 4 , and Ti (i-PrO) 2 (thd) 2 . It is a combination.
  • the temperature of the shower plate surface is controlled to be 180 to 250 ° C.
  • a new or cleaned internal jig inside the film forming chamber is attached to the surface of the ceramic thin film, and the surface is provided with a film of a thermal radioactive material. Then, as a film formation preparation stage, the substrate is processed under the same conditions as the film formation conditions during film formation.
  • the method for manufacturing a semiconductor device according to the present invention is characterized in that, in the method for manufacturing a semiconductor device including a ceramic ferroelectric film, the ferroelectric film is manufactured by the method for manufacturing a ceramic thin film.
  • the method for manufacturing a semiconductor device of the present invention also includes a PZT ferroelectric film, and the method for manufacturing a semiconductor device in which ferroelectric crystals are mainly (111) oriented in the ferroelectric film.
  • a dielectric film is manufactured by the method for manufacturing a ceramic thin film.
  • the thin film manufacturing apparatus of the present invention since an internal jig having a film of a heat-radiating substance on the surface is installed at a position facing the substrate to be formed, that is, a position on the gas inlet side, If this manufacturing apparatus is used, the number of dummy substrates can be remarkably reduced in the processing process in the film formation preparation stage, and fluctuations in the substrate temperature during film formation can be reduced, making temperature control easier and desired. The effect of being able to obtain the product of can be achieved.
  • the film on the substrate is not formed in the film forming process unless the film is formed by using 100 or more dummy substrates in the processing process in the film forming preparation stage.
  • the film forming characteristics such as the thickness and the film composition are not stable, but in the case of the present invention, a very remarkable effect that the film forming characteristics are stabilized with 10 or less dummy substrates can be achieved.
  • the ceramic thin film manufacturing method of the present invention since the thin film manufacturing apparatus is used, the number of dummy substrates can be remarkably reduced and the fluctuation of the substrate temperature during film formation is reduced. The temperature control is facilitated, and the desired product can be obtained.
  • an excellent memory effect can be achieved in a semiconductor device such as a ferroelectric memory including a ceramic thin film such as a PZT thin film.
  • the schematic block diagram which shows typically the example of 1 structure of the thin film manufacturing apparatus concerning this invention.
  • the schematic block diagram which shows typically the example of 1 structure of the shower-plate periphery part of the thin film manufacturing apparatus concerning this invention.
  • the schematic block diagram which shows typically the example of 1 structure of the multi-chamber type thin film manufacturing apparatus which can be used by this invention.
  • the graph which shows the relationship between the board
  • the graph which shows the relationship between the board
  • a thin film manufacturing apparatus in an apparatus for manufacturing a ceramic thin film by a thermal CVD method such as an MOCVD method, heat is applied to a position facing a substrate on which a film is formed, that is, a position on the gas inlet side.
  • An internal jig such as a shower plate, a shower plate mounting part, or both a shower plate and a shower plate mounting part provided with a film of radioactive material on the surface is installed.
  • a heating mechanism or a heat exchanging jig in which a liquid heating medium circulates is installed in contact, and the tip is contacted and fixed to the back surface of the substrate stage for placing the substrate on the surface.
  • a substrate temperature measuring thermocouple fixed in a space near the back surface thereof is provided.
  • the fluctuation of the substrate temperature during film formation is reduced, the temperature control of the substrate is easy, and the number of dummy substrates used for the pretreatment at the start of film formation can be remarkably reduced.
  • the film of the thermal radiation material is made of carbon selected from carbon nanotubes such as titanium carbide (TiC), titanium carbonitride (TiCN), chromium carbide (CrC), silicon carbide (SiC), and carbon nanotube blackbody. Containing material, aluminum nitride (AlN), titanium aluminum nitride (TiAlN), alumina (Al 2 O 3 ), Al-containing material selected from alumite (Al 2 O 3 ), hydrocarbon resin, and these materials A film made of a material combining two or more of the above is included.
  • carbon nanotubes such as titanium carbide (TiC), titanium carbonitride (TiCN), chromium carbide (CrC), silicon carbide (SiC), and carbon nanotube blackbody. Containing material, aluminum nitride (AlN), titanium aluminum nitride (TiAlN), alumina (Al 2 O 3 ), Al-containing material selected from alumite
  • the thermal radiation material film may be provided as a coating film on the surface of the internal jig by a known coating method, or as a surface modified film (formation of a surface oxide film layer) by anodization like anodized. It may be provided.
  • the thermal emissivity of the thermal radioactive material is as follows. Titanium carbide, titanium carbonitride, and chromium carbide: 0.9 to 0.98, silicon carbide: 0.8 to 0.9, carbon nanotube black body: 0.98 to 0.99, aluminum nitride: 0.9 to 0.95, alumite: 0.8 to 0.9, and hydrocarbon resin: at least 0.08.
  • Such a high thermal emissivity means that even if heat is absorbed, heat radiation is likely to occur immediately and it is easy to cool.
  • the thermal radioactive material film is a method appropriately selected from, for example, a plating method, a vapor deposition method, a CVD method, a thermal spraying method, a coating method, an anodic oxidation method, etc., depending on the type of object to be treated and the type of film to be formed. Is formed on the surface of the object to be processed.
  • an alumite film is usually formed by modifying the surface of an object by anodic oxidation (forming an aluminum oxide film layer).
  • This alumite film is an alumite film formed on the surface of Al or Al alloy by special processing of VCAL-OX (registered trademark of ULVAC TECHNO CO., LTD.). Compared to normal alumite treatment Those with very few cracks are also included.
  • FIG. 1 showing a schematic arrangement / configuration diagram of a CVD thin film manufacturing apparatus.
  • the scale of each member is appropriately changed and shown in order to make each member a recognizable size.
  • the CVD thin film manufacturing apparatus shown in FIG. 1 includes a film formation chamber 2 connected to an evacuation system 1 via a pressure adjustment valve 1a, and a shower plate 3 as a gas introduction means provided above the film formation chamber 2.
  • a gas mixer 5 connected to the shower plate 3 by a film-forming gas pipe 4 having a predetermined length, and a vaporizer 7 as a vaporization system connected to the gas mixer 5 by a source gas supply pipe 6.
  • a source gas supply pipe 6 Have.
  • the apparatus components including gas pipes, various valves, gas mixers, etc. from the vaporizer 7 to the film formation chamber 2 have heaters etc. so that the vaporized source gas is maintained at a temperature at which liquefaction / deposition / film formation is not caused. These heating means and heat exchangers are provided.
  • the source gas supply pipe 6 between the vaporizer 7 and the gas mixer 5 is provided with a valve V1
  • the pipe 8 between the vaporizer 7 and the vacuum exhaust system 1 is provided with a valve V2.
  • a pipe 8 from the vaporizer 7 is connected in the middle of the pipe connecting the pressure regulator 1 and the pressure regulating valve 1a, so that the vaporizer 7, the gas mixer 5 and the vacuum exhaust system 1 can be shut off. .
  • the film formation chamber 2 there is a substrate stage 2-1 having a substrate heating means (not shown) for placing the substrate S as a film formation target (this substrate stage functions as a so-called susceptor).
  • the film-forming gas is introduced from the shower plate 3 onto the heated substrate. Excess film forming gas not used in the reaction, by-product gas generated by the reaction, and reactant gas are exhausted by the vacuum exhaust system 1.
  • the shower plate 3 is appropriately heated and maintained at a temperature at which the introduced gas does not liquefy / deposit / film-form.
  • a particle trap as a filter for capturing particles present in the film forming gas may be provided in the shower plate 3 provided in the upper part of the film forming chamber 2, a particle trap as a filter for capturing particles present in the film forming gas may be provided.
  • This particle trap may be provided immediately before the shower hole of the shower plate, and it is desirable that the particle trap is appropriately adjusted to a temperature at which a specific vaporized source element necessary for the reaction is not attached or trapped.
  • the pressure adjusting valve 1a provided between the vacuum exhaust system 1 and the film forming chamber 2 can easily cope with various film forming pressure conditions.
  • the gas mixer 5 generates a mixed gas of the generated raw material gas and a reactive gas and / or a dilution gas. Therefore, the gas mixer 5 is connected to the vaporizer 7 by a raw material gas supply pipe 6 provided with a valve V1, and two gas sources are connected via a valve, a heat exchanger, and a mass flow controller (not shown).
  • a gas source of a reactive gas source such as oxygen and a dilution gas source that is an inert gas such as nitrogen
  • the reactive gas source supply means supplies an oxidizing gas such as oxygen gas, dinitrogen monoxide, ozone gas, and the dilution gas source supply means supplies nitrogen gas, argon gas, or the like. .
  • the raw material gas sent through the gas is introduced and uniformly mixed to obtain a film forming gas (oxidizing gas + raw material gas).
  • This source gas is a gas in which one kind or a plurality of kinds of gases are mixed.
  • the film forming gas thus obtained was introduced into the film forming chamber 2 through the film forming gas pipe 4 and through the shower plate 3, and placed on the substrate stage 2-1 without becoming a laminar flow in the room. It is supplied to the surface of the substrate that is the object to be processed.
  • the film-forming gas pipe 4 and the raw material gas supply pipe 6 may be connected by a VCR joint, and the VCR gasket of each joint of some pipes is not just a ring but a VCR in which a hole is a particle trap.
  • a type particle trap may be used.
  • the joint with this VCR type particle trap is set and maintained at a temperature higher than the temperature at which the source gas is not liquefied / deposited, and does not attach or trap specific vaporized source elements required for the reaction. desirable.
  • a valve for switching the film forming gas may be provided on the secondary side of the gas mixer 5.
  • the downstream side of this valve is connected to the film forming chamber 2. During film formation, this valve is opened, and this valve is closed after film formation is completed.
  • the vaporizer 7 is connected to a raw material supply unit 7a for supplying an organic solvent solution of an organometallic compound.
  • the raw material liquid conveyed from the raw material supply unit 7a is vaporized to generate a raw material gas.
  • the raw material supply unit 7a supplies the tanks A, B, C, and D filled with the organometallic compound solution and the organic solvent, and the supply gas for supplying pressure to each tank as an inert gas such as He gas.
  • a pipe and a carrier gas (for example, inert gas such as N 2 or Ar) supply pipe for carrying the organometallic compound solution and the organic solvent pushed out from each tank at the pressure of the gas for feeding are provided.
  • the vaporizer 7 heats the droplets of the raw material liquid whose flow rate is controlled by the heating means to efficiently vaporize the raw material gas to generate the raw material gas, and supplies the raw material gas thus obtained to the gas mixer 5. It is configured to be able to.
  • a single liquid can be vaporized when there is one liquid raw material, and a plurality of raw material liquids can be mixed and vaporized when there are a plurality of liquid raw materials.
  • gas is applied to the liquid droplets, physical vibration is applied, or ultrasonic waves are applied, or through a fine nozzle.
  • the vaporizer 7 can be vaporized by introducing droplets into the vaporizer 7 that have been refined in advance, and it is desirable to improve the vaporization efficiency by using these vaporization methods in combination.
  • the droplets or liquid particles can be vaporized as much as possible at the locations where vaporization should be efficiently performed, and the liquid vaporization load can be reduced by various particle traps.
  • a vaporizing member made of a material having good thermal conductivity such as Al.
  • a particle trap may be provided inside the vaporizer 7. This vaporizer and particle trap ensure proper vaporization so that liquid droplets and fine liquid particles that come into contact with these vaporizers can be surely vaporized, and specific vaporized source elements required for the reaction are not attached or captured. It is desirable to maintain the temperature.
  • the raw material supply section 7a has a tank D filled with a solvent for dissolving the raw material, and this solvent is introduced into the vaporizer 7 with the flow rate controlled by a flow rate controller to vaporize the solvent gas. May be configured to be able to generate. The inside of the apparatus can be cleaned using this solvent gas.
  • the thin film manufacturing apparatus used in the present invention preferably has a cylindrical film forming chamber 2, and a cylinder on which a substrate such as a silicon wafer is placed inside the film forming chamber 2.
  • a shaped substrate stage 2-1 is provided.
  • the substrate stage 2-1 incorporates a heating means (not shown) for heating the substrate.
  • the film forming chamber 2 may include means for configuring the substrate stage 2-1 to be movable up and down between a film forming position in the film forming chamber 2 and a substrate transfer position below the film forming chamber.
  • a shower plate 3 is provided in the center of the upper part of the film forming chamber 2 so as to face the substrate stage 2-1, and a mixed gas, which is a film forming gas from which particles have been removed, flows from the shower plate 3 toward the entire surface of the substrate. It is configured to be ejected.
  • the film formation chamber 2 is connected to a vacuum exhaust system 1 having a dry pump, a turbo molecular pump, or the like via a pressure adjustment valve 1a.
  • a heat exchanger which is a gas temperature adjusting means, is provided in each pipe of the source gas, etc., and a heater or the like is heated on the outer wall of the film formation chamber 2 or the substrate stage 2-1 in order to prevent the deposition of the source gas. It is preferable to provide means.
  • FIG. 2 is a schematic configuration diagram schematically showing a configuration example of the periphery of the shower plate including the shower plate 21, the flange 22 for mounting the shower plate, and the heat exchanging jig 23 in which the liquid heating medium 23a circulates.
  • 24 is a gas inlet.
  • the shower plate 21 is preferably manufactured from a material having excellent thermal conductivity. As this material, for example, at least one metal selected from Al, Cu and Ti, alloys containing these metals, oxides of these metals, nitrides of these metals, SiC, AlN, and carbon And the like (the above-mentioned thermal radioactive substance containing a small amount of carbon) and the like. Of these materials, Al is preferred.
  • the surface of the shower plate facing the substrate was a blasted surface. This is the surface roughness that is generated in the process of removing the film of the ceramic thin film such as the PZT thin film attached during the film formation.
  • a method for producing a ceramic thin film in a method for producing a ceramic thin film by a thermal CVD method such as an MOCVD method, a liquid obtained by dissolving an organic metal compound as a solid raw material or a liquid raw material in a solvent is vaporized.
  • a film forming gas containing a source gas vaporized using the system, or a source gas generated by sublimation from a solid source or evaporation from a liquid source and a reactive gas which is an oxidizing gas is passed through a gas introduction means.
  • a ceramic thin film, for example, Pb (thd) 2 as an organic metal compound raw material, Zr is supplied by a thermal CVD method on a substrate heated to a temperature higher than the decomposition temperature of the source gas. (dmhd) and 4, to a method of manufacturing a film mainly composed of lead zirconate titanate with a Ti (i-PrO) 2 ( thd) 2
  • An internal jig installed at a position facing the substrate on which the film is to be formed, the surface of which is provided with a jig comprising the above-mentioned film of the thermal radioactive material, and if desired
  • the temperature of the shower plate surface is controlled to be 180 to 250 ° C. in the film forming chamber where the heating mechanism or the heat exchange jig in which the liquid heating medium circulates is in contact with the internal jig. Then, film formation is performed.
  • the number of dummy substrates can be small (for example, 10 or less), and the composition and film thickness (film formation speed) of a ceramic thin film such as a PZT thin film do not vary during film formation.
  • a new or cleaned internal jig inside the film forming chamber which is provided with a film of a thermal radioactive material on the surface, is attached to the film forming chamber. Until the temperature of each part in the room saturates to a predetermined temperature, that is, until the process conditions allow the target product to be stably manufactured, A substrate (dummy substrate) is processed under the same or equivalent conditions.
  • the manufacturing apparatus 30 includes stocker chambers 31 and 32 that store substrates to be formed (hereinafter simply referred to as “substrates”), processing chambers 33 and 34 that perform predetermined vacuum processing on the substrates, and a stocker chamber.
  • a transfer chamber 35 is provided for transferring substrates between the first and second processing chambers 31, 32 and the processing chambers 33, 34.
  • the stocker chambers 31 and 32 have the same configuration, and a predetermined number (for example, 25) of substrates can be mounted therein.
  • the stocker chambers 31 and 32 are connected to an exhaust system such as a dry pump, and can be evacuated independently of each other. Of course, the same action may be performed by one exhaust system.
  • the stocker chambers 31 and 32 communicate with the atmospheric substrate transfer system 38 via partition valves 36 and 37.
  • the atmospheric substrate transfer system 38 is provided with a substrate transfer robot (not shown) that transfers a substrate that has not been formed or has been subjected to film formation between the wafer cassette 39 and the stocker chambers 31 and 32.
  • the stocker chambers 31 and 32 are not limited to a plurality of stocker chambers as in the illustrated example, and may be a single one.
  • the processing chambers 33 and 34 can be constituted by an etching chamber, a heating chamber, a film forming chamber (sputtering chamber, a CVD chamber), or the like.
  • the processing chambers 33 and 34 are connected to exhaust systems, respectively, and can be evacuated independently of each other. Of course, the same action may be performed by one exhaust system.
  • each processing chamber 33, 34 is connected to a gas source such as a raw material gas and a reactive gas, which are predetermined film forming gases corresponding to the film forming process, and an inert gas. .
  • the transfer chamber 35 has a substrate transfer robot inside, and the substrate is placed between the stocker chambers 31 and 32 and the process chambers 33 and 34 or between the process chamber 33 and the process chamber 34. It is comprised so that delivery of can be performed.
  • An exhaust system is connected to the transfer chamber 35 and can be evacuated independently.
  • a gas source is connected to the transfer chamber 35, and the inside of the chamber can be adjusted to a predetermined pressure (a pressure higher than the pressure in the processing chamber) by a pressure-controlled gas introduced from the gas source.
  • the processing chambers 34 and 33 and the stocker chambers 31 and 32 are respectively provided with partition valves 40 and 41 and 42 and 43 between the transfer chamber 35.
  • a predetermined number of wafers are transferred from the wafer cassette to the stocker chamber (31, 32) in the atmosphere, and the stocker chamber is evacuated by a dry pump or the like. Wafers are transferred from the stocker chamber to the processing chambers (33, 34) through a transfer chamber 35 that has been evacuated in advance. During this transfer, the gas introduced into the processing chambers (33, 34) is stopped once and then transported after being pulled, or an inert gas is allowed to flow between the transfer chamber 35 and the stocker chamber, The pressure can be adjusted to be equal to or higher than (33, 34), and it can be selected whether the gas is transferred while the gas flow in the processing chamber (33, 34) is maintained. During transportation, the carrier gas and other carrier gases flow to the vent-side discard line and do not flow to the processing chambers (33, 34).
  • the wafers There are two stocker chambers (31, 32). If all the wafers enter one stocker chamber, the wafers can be loaded into the other stocker chamber.
  • the second chamber begins to be evacuated, and when the evacuation is completed, the substrate is processed again. It is carried to the chambers (33, 34), and a film forming process is performed.
  • process conditions Pb (thd) 2 as a source gas, A gas produced from a solution of Zr (dmhd) 4 and Ti (i-PrO) 2 (thd) 2 dissolved in n-butyl acetate at a rate of 0.25 mol / L, oxygen as a reactive gas N 2 gas was used as the gas and carrier gas, and the film was formed under a film forming pressure of 5 Torr (665 Pa).
  • a shower plate, a deposition plate, and cleaned parts are attached as parts around the substrate.
  • a plate subjected to cleaning with an organic solvent and physical blast cleaning, and a plate coated with the thermal radiation film after the cleaning are attached to the upper part of the film forming chamber.
  • the substrate a substrate in which an Ir film of 70 nm was formed by sputtering on an 8-inch substrate on which a SiO 2 film was formed was used.
  • the state of the film formation chamber before film formation that is, the temperature inside the film formation chamber is raised to the film formation temperature, the temperature of each part in the room is saturated, and the state of the film formation chamber immediately before the first substrate is transferred is
  • the same flow rate gas flows as in the film formation, the pressure is maintained at the film formation pressure, and the substrate temperature is set to the same temperature as in the film formation. .
  • the transfer chamber is maintained in a state where it is evacuated without a gas flow.
  • the vaporizer when the film forming process starts, the nozzle flash of the vaporizer with the solvent starts, and the raw material solution can be vaporized in about 3 minutes. At that time, the vaporized gas is disposed in the vent line.
  • the substrate temperature rises and settles to a predetermined temperature in 3 minutes. Two minutes before the substrate temperature converges, vaporization of the vaporizer is switched to vaporization mainly of the raw material solution controlled by the flow rate of film formation from the solvent (the vent line is maintained).
  • the variation of the substrate temperature (° C.) with respect to the number of processed substrates (300) during film formation is plotted in FIG.
  • This substrate temperature is the temperature at the center of the substrate.
  • a similar film forming process is carried out using a conventional shower plate (hereinafter referred to as “conventional shower plate”) in which a thermal radiation film is not formed.
  • the substrate set temperature when the temperature in the film formation chamber is raised and before film formation is started is about 620 in the case of an apparatus equipped with a coating shower plate. It was 635 degreeC in the case of the apparatus provided with the shower plate conventionally. The temperature difference between the two is about 15 ° C.
  • the change in the substrate temperature when the film formation process is performed using an apparatus equipped with a coating shower plate remains below 5 ° C.
  • the change in the substrate temperature when the film forming process is carried out using an apparatus equipped with a conventional shower plate is much smaller than about 20 ° C. or more.
  • the shower plate having no heat radiation film formed on the surface is obtained.
  • the number of processed dummy substrates is significantly reduced, and the substrate temperature during the film forming process is less changed, so that the substrate temperature can be easily controlled. Therefore, the film formation characteristics such as the film thickness and film composition of the thin film formed on the substrate are stabilized.
  • the fluctuation of the film formation speed with respect to the number of processed substrates (150 and 200) during film formation is plotted in FIG.
  • the film forming speed when the film forming process is performed using the apparatus having the coating shower plate according to the present invention is substantially the same from the third to the 200th, and the dummy substrate It can be seen that the number of sheets is extremely small and a substantially uniform film thickness is formed.
  • the film forming speed is 75th. It can be seen that the number of dummy substrates is large and the film thickness is not stable.
  • FIG. 6 shows the relationship between the number of processed substrates and the Pb / (Zr + Ti) composition ratio
  • FIG. 7 shows the relationship between the number of processed substrates and the Zr / (Zr + Ti) composition ratio.
  • the Pb / (Zr + Ti) composition ratio and the Zr / (Zr + Ti) composition ratio when the film forming process is performed using the apparatus equipped with the coating shower plate according to the present invention are as follows. Although it is almost the same from about the 10th to the 200th, it can be seen that the number of dummy substrates is very small and the film composition is stable, but the film forming process is performed using an apparatus equipped with a conventional shower plate.
  • the Pb / (Zr + Ti) composition ratio and the Zr / (Zr + Ti) composition ratio at the time of the implementation are not stable up to about 50th, a large number of dummy substrates are required, and even if stable, they become unstable immediately. It can be seen that the film composition is not stable.
  • the coating film used in the present invention is a thermal radiation film made of the above-described material. What is important here is that the coating film does not have to be visible and black in appearance, and is excellent in heat absorption, that is, heat radiation from the heat radiation from the substrate heated to about 600 ° C. or more. Any film made of a material capable of forming the surface of an internal jig such as a shower plate may be used. Materials containing carbon selected from TiC, TiCN, CrC, SiC and carbon nanotubes which are thermal radiation films used in the present invention, materials containing Al selected from AlN and Al 2 O 3 , and these materials Since all the films made from a combination of two or more of these materials have high thermal emissivity, the results shown in FIGS. 4 to 8 described above (TiAlN films and hydrocarbon resin films are used as coating films) ) Is obtained.
  • the film forming temperature for carrying out the thin film manufacturing method of the present invention is not particularly limited, and may be a known film forming temperature in a CVD method such as MOCVD method.
  • the film forming temperature may be about 550 ° C. or less, preferably about 450 to 550 ° C.
  • the film obtained in the present invention can be further subjected to crystallization annealing at a temperature lower than the film formation temperature.
  • the crystallization annealing treatment is performed at a temperature 110 ° C. lower than the film formation temperature, preferably 80 ° C., more preferably 50 ° C. to a temperature near the film formation temperature.
  • a capacitor electrode film using an organometallic compound containing Pt, Ir, Ru or the like as a source source for example, Pb (thd) 2 , Zr (CVD deposition of a ferroelectric film PZT using dmhd) 4 , Ti (i-PrO) 2 (thd) 2, etc., or CVD film formation in which an additive element such as La, Sr, Ca, Al is added to this PZT
  • a high dielectric constant dielectric film BST can be formed by CVD using Ba (thd) 2 , Sr (thd) 2 , Ti (i-PrO) 2 (thd) 2 or the like as a liquid source.
  • the film plus the added element such as l can be prepared by CVD.
  • the present invention since the film is not formed on the surface of the internal jig in the film forming chamber, the number of dummy substrates in the film forming process in the pre-deposition preparatory stage can be reduced, and the substrate for forming the thin film can be reduced.
  • the temperature can be easily controlled, and the present invention can be used in a technical field using a thin film, for example, a semiconductor device field.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

La présente invention a trait à un appareil de fabrication de couche mince de céramique employant un procédé de dépôt chimique en phase vapeur thermique. Dans l'appareil, un dispositif de serrage interne, qui est pourvu d'une couche de matériau à rayonnement thermique sur la surface, est prévu à un emplacement qui fait face à un substrat (S) sur lequel la couche doit être formée. La couche mince et un dispositif à semi-conducteur sont fabriqués à l'aide dudit appareil.
PCT/JP2010/071372 2009-12-11 2010-11-30 Appareil de fabrication de couche mince, procédé de fabrication de couche mince et procédé de fabrication de dispositif à semi-conducteur WO2011070945A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/515,246 US20130023062A1 (en) 2009-12-11 2010-11-30 Thin film manufacturing apparatus, thin film manufacturing method and method for manufacturing semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009-282239 2009-12-11
JP2009282239 2009-12-11

Publications (1)

Publication Number Publication Date
WO2011070945A1 true WO2011070945A1 (fr) 2011-06-16

Family

ID=44145490

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/071372 WO2011070945A1 (fr) 2009-12-11 2010-11-30 Appareil de fabrication de couche mince, procédé de fabrication de couche mince et procédé de fabrication de dispositif à semi-conducteur

Country Status (3)

Country Link
US (1) US20130023062A1 (fr)
TW (1) TW201139721A (fr)
WO (1) WO2011070945A1 (fr)

Cited By (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014150191A (ja) * 2013-02-01 2014-08-21 Ulvac Japan Ltd Pzt膜の製造方法及び成膜装置
CN106017738A (zh) * 2016-07-20 2016-10-12 上海交通大学 一种薄膜热电偶的高温动态测试系统及方法
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US12009228B2 (en) 2023-02-27 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI125332B (fi) * 2011-11-11 2015-08-31 Valio Oy Menetelmä maitotuotteen valmistamiseksi
TWI489936B (zh) * 2012-12-03 2015-06-21 樹德科技大學 具方向性導熱鍍膜結構
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
JP6950196B2 (ja) * 2017-02-16 2021-10-13 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の再生方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002008995A (ja) * 2000-06-23 2002-01-11 Tokyo Electron Ltd 薄膜形成方法及び薄膜形成装置
JP2005166965A (ja) * 2003-12-03 2005-06-23 Ulvac Japan Ltd 薄膜製造方法
JP2005228972A (ja) * 2004-02-13 2005-08-25 Tokyo Electron Ltd 成膜方法および成膜装置
JP2009188198A (ja) * 2008-02-06 2009-08-20 Taiyo Nippon Sanso Corp 半導体装置の製造方法及び基板処理装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI232891B (en) * 1999-08-02 2005-05-21 Tokyo Electron Ltd SiC material, semiconductor device fabricating system and SiC material forming method
JP3654142B2 (ja) * 2000-01-20 2005-06-02 住友電気工業株式会社 半導体製造装置用ガスシャワー体
JP4252749B2 (ja) * 2001-12-13 2009-04-08 忠弘 大見 基板処理方法および基板処理装置
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
JP4664119B2 (ja) * 2005-05-17 2011-04-06 東京エレクトロン株式会社 プラズマ処理装置
US20070035930A1 (en) * 2005-08-10 2007-02-15 Chien-Min Sung Methods and devices for cooling printed circuit boards

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002008995A (ja) * 2000-06-23 2002-01-11 Tokyo Electron Ltd 薄膜形成方法及び薄膜形成装置
JP2005166965A (ja) * 2003-12-03 2005-06-23 Ulvac Japan Ltd 薄膜製造方法
JP2005228972A (ja) * 2004-02-13 2005-08-25 Tokyo Electron Ltd 成膜方法および成膜装置
JP2009188198A (ja) * 2008-02-06 2009-08-20 Taiyo Nippon Sanso Corp 半導体装置の製造方法及び基板処理装置

Cited By (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014150191A (ja) * 2013-02-01 2014-08-21 Ulvac Japan Ltd Pzt膜の製造方法及び成膜装置
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106017738A (zh) * 2016-07-20 2016-10-12 上海交通大学 一种薄膜热电偶的高温动态测试系统及方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US12009228B2 (en) 2023-02-27 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems

Also Published As

Publication number Publication date
US20130023062A1 (en) 2013-01-24
TW201139721A (en) 2011-11-16

Similar Documents

Publication Publication Date Title
WO2011070945A1 (fr) Appareil de fabrication de couche mince, procédé de fabrication de couche mince et procédé de fabrication de dispositif à semi-conducteur
JP5719849B2 (ja) 薄膜製造方法
JP3390517B2 (ja) 液体原料用cvd装置
US8026159B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US7794788B2 (en) Method for pre-conditioning a precursor vaporization system for a vapor deposition process
US20030170388A1 (en) Method for forming thin film and appatus for forming thin film
US20060115590A1 (en) Method and system for performing in-situ cleaning of a deposition system
US7763311B2 (en) Method for heating a substrate prior to a vapor deposition process
JP4399206B2 (ja) 薄膜製造装置
US20060070575A1 (en) Solution-vaporization type CVD apparatus
US20070237895A1 (en) Method and system for initiating a deposition process utilizing a metal carbonyl precursor
JPH08269720A (ja) 窒化チタン薄膜の作製方法及びその方法に使用される薄膜作製装置
US20060182886A1 (en) Method and system for improved delivery of a precursor vapor to a processing zone
JP2011054789A (ja) 基板処理装置
JP2004087707A (ja) 半導体装置の製造方法及び製造装置
KR101514159B1 (ko) 박막 제조 방법 및 박막 제조 장치
KR20120027484A (ko) 저마늄-안티모니-텔루륨 막의 성막 방법 및 기억 매체
JP3111994B2 (ja) 金属酸化物誘電体材料の気相成長装置
JP2013038169A (ja) 薄膜製造方法および薄膜製造装置
JPWO2005020311A1 (ja) 酸化物薄膜製造方法及びその製造装置
US7867560B2 (en) Method for performing a vapor deposition process
JP2004015005A (ja) 薄膜製造装置及び薄膜の製造方法
JPH11236675A (ja) 薄膜形成装置および薄膜形成方法
JP4473540B2 (ja) 薄膜製造方法及びパーティクル数の評価方法
WO2010103880A1 (fr) PROCÉDÉ POUR FORMER UN FILM DE Cu ET SUPPORT DE STOCKAGE

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10835867

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 13515246

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 10835867

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: JP