WO2010086930A1 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
WO2010086930A1
WO2010086930A1 PCT/JP2009/005981 JP2009005981W WO2010086930A1 WO 2010086930 A1 WO2010086930 A1 WO 2010086930A1 JP 2009005981 W JP2009005981 W JP 2009005981W WO 2010086930 A1 WO2010086930 A1 WO 2010086930A1
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
semiconductor wafer
stressor
semiconductor device
manufacturing
Prior art date
Application number
PCT/JP2009/005981
Other languages
English (en)
French (fr)
Inventor
大西克彦
今井伸一
Original Assignee
パナソニック株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by パナソニック株式会社 filed Critical パナソニック株式会社
Publication of WO2010086930A1 publication Critical patent/WO2010086930A1/ja
Priority to US13/107,500 priority Critical patent/US20110217846A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Definitions

  • the present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device provided with a stressor SiN film.
  • the gate electrode 32 is formed on the semiconductor substrate (semiconductor wafer) 34.
  • a gate electrode insulating film 31 is formed on the gate electrode 32.
  • sidewalls 33 are formed on the sidewalls of the gate electrode 31 and the gate electrode insulating film 32 (see FIG. 8A).
  • an etching stop film (nitride film) 36 is formed so as to cover the gate electrode portion (see FIG. 8B).
  • an interlayer insulating film 37 is formed on the etching stop film 36 (see FIG. 8C).
  • the upper surface of the interlayer insulating film 37 is planarized using CMP or the like.
  • the resist 38 is patterned by a lithography method or the like (see FIG. 8D).
  • the interlayer insulating film 37 is dry etched using the patterned resist 38 as a mask, thereby forming a contact hole (see FIG. 8E).
  • the etching stop film 36 at the bottom of the hole is etched (see FIG. 8F).
  • the resist 38 is removed by ashing (see FIG. 8G).
  • stressor SiN films have attracted attention as materials for semiconductor devices. This is for the following reason. That is, a high-performance MOS transistor can be obtained by introducing strain into the channel region to improve carrier mobility. In order to generate such strain, a semiconductor device material having high stress is required. The stressor SiN film has a high stress. By depositing the stressor SiN film on the transistor formation region, strain can be introduced into the channel region to improve carrier mobility.
  • the yield reduction of the semiconductor device is reduced due to an abnormal wiring resistance value of the contact hole or a short circuit.
  • the inventor of the present application has found the following based on various experiments. That is, when the fluorocarbon gas used during dry etching becomes a polymer and adheres to the semiconductor wafer, when the semiconductor wafer is exposed to the atmosphere, moisture in the atmosphere reacts with the gas attached to the semiconductor wafer. Hydrofluoric acid is produced.
  • the inventors of the present application have found that the stressor SiN film is easily dissolved in hydrofluoric acid along with the knowledge of hydrofluoric acid generation.
  • the stressor SiN film is dissolved by hydrofluoric acid, no contact can be made between the stressor SiN film and the wiring material W or the like, and the wiring resistance around the gate varies.
  • the fluorocarbon-based gas used during dry etching reacts with Cu as the wiring material, causing corrosion and corrosion in Cu. Variations in resistance occur.
  • the present inventor cannot sufficiently prevent the polymer produced by the fluorocarbon-based gas from reacting with the stressor SiN film even if the conventional nitrogen plasma treatment described above is performed after dry etching. I found out. Furthermore, the present inventor has found through various experiments that the stressor SiN film can be dissolved even with a small amount of hydrofluoric acid.
  • the present inventor reliably removes the fluorocarbon-based gas or prevents a reaction that occurs between the gas and moisture in the atmosphere. It came to the conclusion that it is necessary to do.
  • this manufacturing method performs nitrogen plasma treatment when the stressor SiN film is exposed after dry etching.
  • the bias power is increased.
  • the residence time of the fluorine in the chamber is shortened. Therefore, the nitrogen flow rate when performing the nitrogen plasma treatment is increased.
  • the residence time of the gas adsorbed on the solid surface depends on the temperature, it is effective to set the temperature higher.
  • carbon monoxide gas is allowed to flow into the chamber after nitrogen plasma treatment, thereby changing fluorine into COF gas and exhausting. Further, the semiconductor wafer is held in a nitrogen atmosphere after the treatment.
  • the semiconductor manufacturing method according to the present invention has the following effects. ⁇ It is possible to reduce in-plane variation in wiring resistance. -The wiring resistance can be manufactured stably.
  • FIG. 1A is a flowchart of a method for manufacturing a semiconductor device according to a first embodiment of the present invention.
  • FIG. 1B shows a method of manufacturing a semiconductor device in a conventional example.
  • FIG. 2A is a schematic process diagram showing a first manufacturing process of the semiconductor device of the present invention.
  • FIG. 2B is a schematic process diagram showing a second manufacturing process of the semiconductor device of the present invention.
  • FIG. 2C is a schematic process diagram showing a third manufacturing process of the semiconductor device of the invention.
  • FIG. 2D is a schematic process diagram showing a fourth manufacturing process of the semiconductor device of the present invention.
  • FIG. 2E is a schematic process diagram showing a fifth manufacturing process of the semiconductor device of the invention.
  • FIG. 1A is a flowchart of a method for manufacturing a semiconductor device according to a first embodiment of the present invention.
  • FIG. 1B shows a method of manufacturing a semiconductor device in a conventional example.
  • FIG. 2A is a schematic process diagram showing
  • FIG. 2F is a schematic process diagram showing a sixth manufacturing process of the semiconductor device of the invention.
  • FIG. 2G is a schematic process diagram showing a seventh manufacturing process of the semiconductor device of the present invention.
  • FIG. 3 is a schematic view showing the dissolution of the stressor SiN film generated by the semiconductor device manufacturing method before improvement.
  • FIG. 4 is a schematic view showing the configuration of the plasma processing apparatus in the embodiment of the present invention.
  • FIG. 5 is a cross-sectional view showing a schematic configuration of a chamber of the plasma processing apparatus in the embodiment of the present invention.
  • FIG. 6A is a schematic view showing a first state showing a fluorine removal mechanism of the present invention.
  • FIG. 6B is a schematic view showing a second state showing the fluorine removal mechanism of the present invention.
  • FIG. 6C is a schematic diagram showing a third state showing the fluorine removal mechanism of the present invention.
  • FIG. 6D is a schematic view showing a fourth state showing the fluorine removal mechanism of the present invention.
  • FIG. 7 is a flowchart of a method for manufacturing a semiconductor device according to the second embodiment of the present invention.
  • FIG. 8A is a schematic process diagram showing a first manufacturing process of a conventional semiconductor device.
  • FIG. 8B is a schematic process diagram showing a second manufacturing process of the conventional semiconductor device.
  • FIG. 8C is a schematic process diagram showing a third manufacturing process of the conventional semiconductor device.
  • FIG. 8D is a schematic process diagram showing a fourth manufacturing process of the conventional semiconductor device.
  • FIG. 8E is a schematic process diagram showing a fifth manufacturing process of the conventional semiconductor device.
  • FIG. 8F is a schematic process diagram showing a sixth manufacturing process of the conventional semiconductor device.
  • FIG. 8G is a schematic process diagram showing a seventh manufacturing process of the conventional semiconductor device.
  • FIG. 1A shows a flow of the main process in the manufacturing method of the present embodiment
  • FIG. 2 shows a cross-sectional view in each process.
  • FIG. 1B shows a conventional flow as a comparison with the present embodiment.
  • FIG. 4 is a schematic view of the plasma processing apparatus 100 in the first embodiment
  • FIG. 5 is a cross-sectional view of the plasma processing apparatus 100 in the first embodiment.
  • the semiconductor wafer is illustrated with reference numeral 102 in FIG.
  • FOUP Front Open Unified Pod
  • An atmospheric loader 502 is connected to the FOUP installation unit 501.
  • the atmospheric loader 502 is provided with a transport mechanism (not shown) and a notch alignment 503.
  • a load lock chamber 401 is installed in the atmospheric loader 502.
  • the atmospheric loader 502 and the load lock chamber 401 are connected in communication.
  • a wafer vacuum transfer chamber 201 is connected to the load lock chamber 401 in communication.
  • An etching chamber 101 is connected in communication with the wafer vacuum transfer chamber 201.
  • the atmospheric loader 502 and the wafer vacuum transfer chamber 201 are connected to the load lock chamber 401 in a state of facing each other.
  • Gate valves 301A which can be opened and closed between the atmospheric loader 502 and the load lock chamber 401, between the wafer vacuum transfer chamber 201 and the load lock chamber 401, and between the wafer vacuum transfer chamber 201 and the etching chamber 101, respectively.
  • 301B and 301C are installed.
  • the load lock chamber 401 can be isolated from the atmospheric loader 502 and the wafer vacuum transfer chamber 201.
  • the gate valve 301A is closed, and in this state, the load lock chamber 401 and the like are changed from the atmospheric pressure state to the vacuum state using a dry pump or the like. Can be made.
  • the wafer vacuum transfer chamber 201 is provided with a transfer mechanism (not shown).
  • the etching chamber 101 can be isolated from the wafer vacuum transfer chamber 201 by the gate valve 301B, and the atmosphere in the etching chamber 101 can be isolated during the etching process. Details will be described below.
  • the taken-out semiconductor wafer is moved to the notch alignment 503, where the notch of the semiconductor wafer is aligned.
  • the gate valve 301A between the atmospheric loader 502 and the load lock 401 is opened, the semiconductor wafer is moved to the load lock 401, the gate valve 301A is closed, and the load lock chamber 401 is evacuated in this state.
  • the gate valve 301B on the wafer vacuum transfer chamber 201 side is opened, and the semiconductor wafer is transferred from the load lock 401 to the wafer vacuum transfer chamber 201 by the transfer mechanism of the wafer vacuum chamber 201.
  • the gate valve 301C between the etching chamber 101 and the wafer vacuum transfer chamber 201 is further opened, and the semiconductor wafer is carried into the etching chamber 101 from the wafer vacuum transfer chamber 201.
  • a process chamber 101 for performing plasma processing and a semiconductor wafer transfer chamber 201 are connected in communication via a semiconductor wafer transfer path section 303 (see FIG. 5), and opens and closes the semiconductor wafer transfer path section 303.
  • a gate valve 301 is provided. The plasma atmosphere in the process chamber 101 is shut off by the gate valve 301. Note that the etching chamber 101 is shared as the process chamber 101.
  • the semiconductor wafer transfer chamber 201 includes a transfer mechanism (not shown) for transferring the semiconductor wafer 102 into and out of the process chamber 101.
  • the gate valve 301 is installed on the semiconductor wafer transfer chamber 201 side.
  • the process chamber 101 is provided with a semiconductor wafer stage 103 on which a semiconductor wafer 102 is placed.
  • a lower power source 105 is provided in the semiconductor wafer stage 103, and an upper electrode 110 is embedded in the chamber top.
  • the upper electrode 110 is connected to the upper power source 104.
  • the process chamber 101 functions as a two-frequency apparatus.
  • the plasma processing apparatus 100 has a gas supply system 109.
  • the gas supply system 109 includes a gas source 108, and the gas supplied from the gas supply system 109 is ejected into the upper electrode 110 from a plurality of holes formed in the gas ejection plate 111 and further ejected into the process chamber 101. To do.
  • the plasma processing apparatus 100 has an exhaust system 115.
  • the exhaust system 115 has an exhaust unit 107 at the lower part of the side wall facing the semiconductor wafer transfer path unit 303.
  • the exhaust unit 107 communicates with the exhaust region 112.
  • An exhaust port 113, an exhaust gate valve 106 for opening and closing the exhaust port 113, a turbo molecular pump 131 communicating with the exhaust port 113, an exhaust pipe 132, and the like are provided at the bottom of the exhaust region 112.
  • the gas in the process chamber 101 is exhausted to the outside through the exhaust unit 107, the exhaust region 112, and the exhaust port 113.
  • the gate electrode 14 is formed on the semiconductor substrate (semiconductor wafer) 16, and the first sidewall 11 is formed on the side wall of the gate electrode 14. Further, a second sidewall 12 is formed outside the first sidewall 11, and a third sidewall 13 is formed outside the second sidewall 12 (see FIG. 2A).
  • a stressor SiN film 17 is formed so as to cover the gate electrode portion (see FIGS. 1A and 1B [a] and FIG. 2B), and an interlayer insulating film 18 is further formed on the stressor SiN film 17, and The upper surface of the interlayer insulating film 18 is planarized by a CMP method or the like (see FIG. 1A and FIG. 1B [b], FIG. 2C).
  • a resist pattern 19 is formed on the upper surface of the interlayer insulating film 18 by a lithography process (see [c] in FIG. 1A and FIG. 1B, FIG. 2D).
  • the contact hole 21 is formed by partially removing the interlayer insulating film 18 by dry etching using the resist pattern 19 as a mask (see [d] in FIG. 1A and FIG. 1B, FIG. 2E). At this time, the interlayer insulating film 18 is removed until the stressor SiN film 17 is exposed at the bottom of the removed portion. Next, the resist pattern 19 is removed by ashing (see [e], [f] and FIG. 2F in FIGS. 1A and 1B). Subsequently, the stressor SiN film 17 exposed at the bottom of the contact hole 21 with the resist pattern 19 removed is removed by dry etching ([g], [i], [j] in FIGS. 1A and 1B, FIG. 2G). Finally, ashing and cleaning of the semiconductor substrate 16 are performed (see [i] and [j] in FIGS. 1A and 2B). Thereafter, a buried wiring (not shown) made of tungsten or the like is formed in the contact hole 21.
  • Each process of the semiconductor device manufacturing method described above is basically the same as the conventional example, and as shown in FIG. 3, during dry etching (see [d] and [g] in FIGS. 1A and 1B).
  • Hydrofluoric acid is generated by the generated polymer and moisture in the atmosphere, and the stressor SiN film 17 may be dissolved by this hydrofluoric acid, and when the stressor SiN film 17 is dissolved, the wiring resistance of the embedded wiring described above is abnormal. Show a variable value (fluctuates and varies).
  • the process [h-1] will be described.
  • the semiconductor substrate 16 is subjected to nitrogen plasma treatment to remove the CF polymer.
  • the nitrogen plasma process is continuously performed in the same chamber as the dry etching performed in the process [g].
  • a polymer such as CF is removed using oxygen plasma.
  • the bottom of the contact hole may be oxidized if removal using oxygen plasma is performed. This removal method cannot be adopted. It is also conceivable to remove the C—F polymer using a gas containing hydrogen, but this method cannot be adopted because hydrogen may react with fluorine in the polymer to generate hydrofluoric acid. .
  • a nitrogen plasma treatment is performed in view of the above.
  • this nitrogen plasma treatment it is considered that the CF polymer is removed by the reaction formula (1).
  • the lower RF power (bias power: voltage) applied to the semiconductor wafer 102 via the lower electrode 105 is made higher than the upper RF power (voltage) applied to the upper electrode 110. It has been found that when the top power / bias power is 1 or less, the C—F polymer produced in the contact hole 21 can be surely removed.
  • the lower RF power applied to the semiconductor wafer 102 via the lower electrode 105 is made higher than the upper RF power in order to reliably remove the fluorine component by Cu—N (upper RF power / Lower RF power ⁇ 1). Furthermore, since it is necessary to react with sufficient nitrogen in order to carry out the reaction reliably, a sufficient treatment time is set after increasing the amount of nitrogen.
  • the fluorine component is being removed by nitrogen plasma treatment, the fluorine component once removed may reattach to the semiconductor wafer. Therefore, in the present embodiment, the following two measures are implemented in order to prevent reattachment.
  • the first measure focuses on temperature.
  • the residence time of molecules adsorbed on the solid surface is expressed by equation (2).
  • ⁇ 0 ⁇ exp ( ⁇ 0 / kT) (2)
  • ⁇ 0 is a constant
  • T is the solid surface temperature
  • ⁇ 0 is the activation energy (kJ / molecules) of desorption of one molecule
  • k is the Boltzmann constant.
  • the higher the solid surface temperature the shorter the residence time of molecules on the solid surface. This indicates that if the solid surface temperature is increased, the fluorine adsorbed on the semiconductor wafer 102 and separated is less likely to be adsorbed again on the semiconductor wafer 102. Therefore, the surface temperature of the semiconductor wafer 102 should be high.
  • the surface temperature of the semiconductor wafer 102 is set to 30 ° C. or higher. If the temperature becomes too high, this time, the semiconductor wafer 102 is difficult to be attracted to the electrostatic chuck (ESC), so the upper limit is approximately 60 ° C.
  • FIGS. 6A to 6D show the mechanism of fluorine removal described so far.
  • a CFx polymer is generated on the semiconductor substrate 16 or the stressor SiN film 17 after dry etching (see FIG. 6A).
  • a nitrogen plasma treatment is performed to decompose the CFx polymer into CN and F (see FIGS. 6B and 6C).
  • carbon monoxide gas over the semiconductor substrate 16 or the stressor SiN film 17, CN and COF are exhausted as gases (see FIG. 6D).
  • the semiconductor wafer 102 is stored in a nitrogen atmosphere without being exposed to the atmosphere after processing.
  • the pressure is returned to atmospheric pressure with nitrogen when the load lock 401 returns from vacuum to the atmosphere.
  • the atmospheric loader 502 is also filled with nitrogen gas.
  • the FOUP installation unit 501 is also filled with nitrogen gas. Accordingly, even if residual fluorine is present on the semiconductor wafer 102, it is possible to prevent hydrofluoric acid from being generated by reacting moisture in the atmosphere with residual fluorine.
  • an etching chamber (process chamber) 101 having a dual frequency is used, but there is no dependency on a plasma source such as a microwave, and this etching method can be used.
  • a plasma source such as a microwave
  • step [d] contact dry etching process
  • a fluorocarbon gas is hardly generated as a polymer at the bottom of the contact hole 21.
  • a fluorocarbon gas is generated as a polymer at the bottom of the contact hole 21.
  • step (h-4) (second nitrogen plasma treatment) is performed after the step (d) (contact dry etching treatment), Step [h-5] (second CO purge process) and step [h-6] (second nitrogen purge process) are performed.
  • the stressor SiN film 17 is sufficiently thin, it is possible to use a sufficiently small amount of fluorocarbon-based gas used when removing the stressor SiN film 17 by dry etching.
  • the step (h-2) (the process of flowing carbon monoxide into the chamber) is performed without performing the step (h-1) (nitrogen plasma treatment).
  • fluorine becomes COF gas without being reattached to the semiconductor wafer 102 and is exhausted from the chamber.
  • the step [h-1] nitrogen plasma treatment
  • the step [h-2] CO purge treatment
  • the semiconductor wafer 102 may be stored in a nitrogen atmosphere after the dry etching process without exposing the semiconductor wafer 102 to the atmosphere without performing all of the step h-3] (nitrogen purge process).
  • the pressure is returned to atmospheric pressure with nitrogen gas when the load lock 401 returns from vacuum to the atmosphere.
  • the atmospheric loader 502 is also filled with nitrogen gas.
  • the FOUP installation unit 501 is also filled with nitrogen gas. Accordingly, even if residual fluorine is present on the semiconductor wafer, it is possible to prevent hydrofluoric acid from being generated due to a reaction between moisture in the atmosphere and residual fluorine.
  • the present invention is useful as a method for preventing the dissolution of the stressor SiN film, which is one of the causes of variation in wiring resistance in the method of manufacturing a semiconductor device, and maintaining a stable state.
  • the production method of the present invention is also useful from the viewpoint of productivity.
  • SYMBOLS 11 1st side wall 12 2nd side wall 13 3rd side wall 14 Gate electrode 15 Diffusion area

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

 層間絶縁膜にレジストパターンを設けたうえで層間絶縁膜をドライエッチングする工程の後と、レジストパターンを除去した状態のストレッサSiN膜をさらにドライエッチングする工程の後とのうちのいずれかの時点で、半導体ウェハを窒素プラズマ処理することで、配線抵抗値の異常やショートを抑制する。

Description

半導体装置の製造方法
 本発明は、半導体装置の製造方法に関し、特にストレッサSiN膜が設けられてなる半導体装置の製造方法に関する。
 図1B,図8A-図8Gを参照して、半導体装置のゲートコンタクト部分における従来の製造フローを説明する。まず、半導体基板(半導体ウェハ)34に、ゲート電極32が形成される。次にゲート電極32にゲート電極絶縁膜31が形成される。次にゲート電極31とゲート電極絶縁膜32との側壁にサイドウォール33が形成される(図8A参照)。次にゲート電極部分を覆うようにエッチング停止膜(窒化膜)36が成膜される(図8B参照)。次にエッチング停止膜36の上に層間絶縁膜37が形成される(図8C参照)。次に、CMPなどを用いて層間絶縁膜37の上面の平坦化が行われる。次にリソグラフィ法などによってレジスト38がパターニングされる(図8D参照)。次にパターニングされたレジスト38をマスクにして層間絶縁膜37がドライエッチングされることで、コンタクトホールが形成される(図8E参照)。次にホール底部のエッチング停止膜36がエッチングされる(図8F参照)。次にアッシングによりレジスト38が除去される(図8G参照)。
 近年、半導体集積回路装置の高集積化、高機能化及び高速化に伴って、半導体装置の材料としてストレッサSiN膜が注目されている。これは次の理由によっている。すなわち、チャネル領域に歪みを導入してキャリア移動度を向上させれば高性能なMOSトランジスタを得ることができる。このような歪みを発生させるためには高い応力を有する半導体装置材料が必要となる。ストレッサSiN膜は高い応力を有しており、トランジスタの形成領域上にストレッサSiN膜を堆積することで、チャネル領域に歪みを導入してキャリア移動度を向上させることが可能となる。
特開2002-164427号公報 特開2005-116801号公報
 従来例では、コンタクトホールの配線抵抗値の異常やショートによって、半導体装置の歩留低下が低下していた。
 この発明を考案するにあたって本願発明者は、各種実験に基づいて以下のことを見いだした。すなわち、ドライエッチング時に使用するフルオロカーボン系のガスがポリマとなって半導体ウェハに付着したうえで、半導体ウェハを大気に暴露する際において、大気中の水分と半導体ウェハに付着したガスとが反応してフッ酸が生成される。
 ストレッサSiN膜ではない従前の窒化膜を備えた半導体集積回路装置の構造では、フッ酸が生成されたとしても、このフッ酸によって窒化膜が配線抵抗に影響を及ぼすレベルで溶解することはない。したがって、上記ポリマを除去する必要もない。このことは、特許文献1にも開示されている。
 しかしながら、上記フッ酸生成の知見と共に本願発明者は、ストレッサSiN膜がフッ酸に溶解しやすいことも見いだした。ストレッサSiN膜がフッ酸によって溶解すると、ストレッサSiN膜と配線材料のW等との間でコンタクトがとれなくなり、ゲート周りの配線抵抗にばらつきが生じる。またCu配線工程において、Cuが暴露した状態でドライエッチングを行った場合、ドライエッチング時に使用したフルオロカーボン系のガスと配線材料のCuとが反応して、Cuにコロージョン(腐食)が発生して配線抵抗にばらつきが生じる。
 このような不都合を解消する対策として従来から以下のものがある。すなわち、一般にドライエッチング後の半導体ウェハは大気に暴露されるが、この対策では、その大気暴露の前処理として、半導体ウェハを窒素プラズマ処理し、これによって上述したコロージョンを防止する。これは特許文献2にも開示されている。
 この対策において本願発明者は、上記した従来の窒素プラズマ処理をドライエッチング後に実施しても、フルオロカーボン系のガスによって生成されたポリマとストレッサSiN膜とが反応するのを十分に防止することができないことを見いだした。さらに本願発明者は、各種実験によって、ストレッサSiN膜は微量のフッ酸でも溶解することを見いだした。
 以上の知見に基づき本願発明者は、コンタクトホールの配線抵抗値の異常やショートを防ぐためには、確実にフルオロカーボン系のガスを除去する、もしくは当該ガスと大気の水分との間に生じる反応を防止する必要がある、という結論に至った。
 以上の結論に基づいて本発明の半導体装置の製造方法は考案されており、以下の構成を有する。すなわち、この製造方法は、ドライエッチング後にストレッサSiN膜が露出する場合に窒素プラズマ処理を行う。より確実にフルオロカーボン系のガスによって生成されたポリマを除去するために、バイアスパワーを高くする。また、フルオロカーボン系のガスによって生成されたポリマを除去した後にそのフルオロカーボン系のガスが再付着するのを防止するために、フッ素のチャンバ内の滞在時間を短くする。そのために窒素プラズマ処理を行う際の窒素流量を多くする。また固体表面に吸着するガスの滞在時間は温度に依存するために温度は高めに設定するのが効果的である。更に残留ガスを除去するために窒素プラズマ処理後に一酸化炭素ガスをチャンバに流入させることで、フッ素をCOFガスに変化させて排気する。更に処理後に窒素雰囲気で半導体ウェハを保持する。
 本発明の半導体装置の製造方法によると、ストレッサSiN膜の溶解による配線抵抗の変動のバラツキをなくすことができる。
 本発明に係る半導体製造方法は以下の効果を奏する。
・配線抵抗の面内ばらつきの低減を図ることができる。
・配線抵抗を安定して製造することができる。
 以上のことから、コンタクトホールの配線抵抗値の異常やショートを防ぎ、半導体装置の歩留低下を防止することができる。
図1Aは本発明の第1の実施形態における半導体装置の製造方法のフロー図である。 図1Bは、従来例における半導体装置の製造方法である。 図2Aは本発明の半導体装置の第1の製造工程を示す概略的な工程図である。 図2Bは本発明の半導体装置の第2の製造工程を示す概略的な工程図である。 図2Cは本発明の半導体装置の第3の製造工程を示す概略的な工程図である。 図2Dは本発明の半導体装置の第4の製造工程を示す概略的な工程図である。 図2Eは本発明の半導体装置の第5の製造工程を示す概略的な工程図である。 図2Fは本発明の半導体装置の第6の製造工程を示す概略的な工程図である。 図2Gは本発明の半導体装置の第7の製造工程を示す概略的な工程図である。 図3は改善前の半導体装置の製造方法によって発生するストレッサSiN膜の溶解を示す概略図である。 図4は本発明の実施形態におけるプラズマ処理装置の構成を示す概略図である。 図5は本発明の実施形態におけるプラズマ処理装置のチャンバの概略構成を示す断面図である。 図6Aは本発明のフッ素除去メカニズムを示す第1の状態を示す概略図である。 図6Bは本発明のフッ素除去メカニズムを示す第2の状態を示す概略図である。 図6Cは本発明のフッ素除去メカニズムを示す第3の状態を示す概略図である。 図6Dは本発明のフッ素除去メカニズムを示す第4の状態を示す概略図である。 図7は本発明の第2の実施形態における半導体装置の製造方法のフロー図である。 図8Aは従来例の半導体装置の第1の製造工程を示す概略的な工程図である。 図8Bは従来例の半導体装置の第2の製造工程を示す概略的な工程図である。 図8Cは従来例の半導体装置の第3の製造工程を示す概略的な工程図である。 図8Dは従来例の半導体装置の第4の製造工程を示す概略的な工程図である。 図8Eは従来例の半導体装置の第5の製造工程を示す概略的な工程図である。 図8Fは従来例の半導体装置の第6の製造工程を示す概略的な工程図である。 図8Gは従来例の半導体装置の第7の製造工程を示す概略的な工程図である。
  (第1の実施形態)
 前述した通り、ゲート周りの配線抵抗のばらつきは、ストレッサSiN膜が大気中に暴露した際に大気の水分とドライエッチング時に生成したポリマとが反応してフッ酸が発生し、このフッ酸によってストレッサSiN膜が溶解することが原因である。本実施の形態では、以下の方法により、この課題を解決している。以下、説明するが、図1Aに本実施の形態の製造方法における主工程のフローが、図2に各工程における断面図が示される。なお、前述したように、図1Bには、本実施の形態の対比として従来のフローが示される。
 本実施形態の製造方法の説明に先立って、本実施形態の製造方法で用いられるコンタクトドライエッチ及び窒素プラズマ処理を行う装置を、図4,図5を参照して説明する。図4は第1の実施形態におけるプラズマ処理装置100の概略図であり、図5は第1の実施形態におけるプラズマ処理装置100の断面図である。なお、半導体ウェハは、図5において符号102を付して図示される。
 装置正面にFOUP(Front Open Unified Pod)設置部501があり、FOUP設置部501に大気ローダ502が接続される。大気ローダ502には搬送機構(図示せず)とノッチ合わせ503とが設けられる。大気ローダ502にはロードロック室401が設置される。大気ローダ502とロードロック室401とは連通接続される。さらにロードロック室401にはウェハ真空搬送チャンバ201が連通接続される。ウェハ真空搬送チャンバ201には、エッチングチャンバ101が連通接続される。
 大気ローダ502とウェハ真空搬送チャンバ201とは、互いに対向した状態でロードロック室401に接続される。大気ローダ502とロードロック室401との間、ウェハ真空搬送チャンバ201とロードロック室401との間、およびウェハ真空搬送チャンバ201とエッチングチャンバ101との間には、それぞれ開閉可能なゲートバルブ301A,301B,301Cが設置される。これにより、ロードロック室401は、大気ローダ502やウェハ真空搬送チャンバ201とそれぞれ隔離できるようになる。
 この装置では、大気圧状態で半導体ウェハをロードロック室401に搬入したうえで、ゲートバルブ301Aを閉じ、この状態でドライポンプなどを用いてロードロック室401等を大気圧状態から真空状態に移行させることができる。ウェハ真空搬送チャンバ201には搬送機構(図示せず)が設置される。またエッチングチャンバ101は、ゲートバルブ301Bによってウェハ真空搬送チャンバ201から隔離できるようになっており、エッチング処理中は、エッチングチャンバ101内の雰囲気を隔離できるようになる。以下、詳細に説明する。
 大気ローダ502の搬送機構を用いて半導体ウェハをFOUP設置部501から取り出したうえで、取り出した半導体ウェハをノッチ合わせ503に移動させてここで半導体ウェハのノッチを合わせる。ノッチ合わせが終了すると大気ローダ502とロードロック401との間のゲートバルブ301Aを開いて、半導体ウェハをロードロック401へ移動させたうえでゲートバルブ301Aを閉じ、この状態でロードロック室401を真空にする。ロードロック室401が真空になった時点で、ウェハ真空搬送チャンバ201側のゲートバルブ301Bを開き、ウェハ真空チャンバ201の搬送機構によって半導体ウェハをロードロック401からウェハ真空搬送チャンバ201に搬入する。この状態でさらにエッチングチャンバ101とウェハ真空搬送チャンバ201との間のゲートバルブ301Cを開き、半導体ウェハをウェハ真空搬送チャンバ201からエッチングチャンバ101に搬入する。
 プラズマ処理装置100では、プラズマ処理を行うプロセスチャンバ101と半導体ウェハ搬送チャンバ201とが半導体ウェハ搬送経路部303(図5参照)を介して連通接続されており、半導体ウェハ搬送経路部303を開閉するゲートバルブ301が設けられる。ゲートバルブ301によりプロセスチャンバ101内のプラズマ雰囲気が遮断される。なお、プロセスチャンバ101として、エッチングチャンバ101が共用される。
 図5に示すように、半導体ウェハ搬送チャンバ201は、半導体ウェハ102をプロセスチャンバ101に搬入搬出する搬送機構(図示せず)を備える。ゲートバルブ301は、半導体ウェハ搬送チャンバ201側に設置される。プロセスチャンバ101には半導体ウェハ102を設置する半導体ウェハステージ103が設けられる。半導体ウェハステージ103には下部電源105が設けられ、チャンバ天部には上部電極110が埋設される。上部電極110は、上部電源104に接続される。これにより、プロセスチャンバ101は、2周波型装置として機能する。
 プラズマ処理装置100はガス供給系109を有する。ガス供給系109は、ガス源108を備えており、ガス供給系109から供給されるガスはガス噴出板111に形成された複数の孔から上部電極110内に噴出し、さらにプロセスチャンバ101に噴出する。プラズマ処理装置100は排気系115を有する。排気系115は、半導体ウェハ搬送経路部303に対向する側壁の下部に排気部107を有する。排気部107は、排気領域112に連通している。排気領域112の底部には排気口113と、排気口113を開閉する排気用ゲートバルブ106と、排気口113に連通するターボ分子ポンプ131と、排気配管132等が設けられる。これによりプロセスチャンバ101内のガスは排気部107,排気領域112,および排気口113を介して外部へ排気される。
 以下、上述したコンタクトドライエッチ及び窒素プラズマ処理を行う装置を用いた本実施形態の半導体装置の製造方法を説明する。まず、半導体基板(半導体ウェハ)16の上に、ゲート電極14が形成され、そのゲート電極14の側壁に第1のサイドウォール11が形成される。更に第1のサイドウォール11の外側に第2のサイドウォール12が形成され、更に第2のサイドウォール12の外側に第3のサイドウォール13が形成される(図2A参照)。
 その後にゲート電極部分を覆うようにストレッサSiN膜17が成膜され(図1Aおよび図1Bの[a],図2B参照)、更にストレッサSiN膜17の上に層間絶縁膜18が形成され、更に層間絶縁膜18の上面がCMP法などにより平坦化される(図1Aおよび図1Bの[b],図2C参照)。その後、層間絶縁膜18の上面にリソグラフィ工程によりレジストパターン19が形成される(図1Aおよび図1Bの[c],図2D参照)。
 更にレジストパターン19をマスクにしたドライエッチングにより、層間絶縁膜18が部分的に除去されることでコンタクトホール21が形成される(図1Aおよび図1Bの[d],図2E参照)。このとき、層間絶縁膜18は、その除去部底部でストレッサSiN膜17が露出するまで除去される。次にアッシングによりレジストパターン19が除去される(図1Aおよび図1Bの[e],[f],図2F参照)。続いてレジストパターン19が除去された状態でコンタクトホール21の底部に露出するストレッサSiN膜17がドライエッチングにより除去される(図1Aおよび図1Bの[g],[i],[j],図2G参照)。最後に半導体基板16のアッシングと洗浄とが行われる(図1Aおよび図2Bの[i],[j]参照)。このあと、コンタクトホール21にタングステン等からなる埋め込み配線(図示省略)が形成される。
 以上説明した半導体装置の製造方法の各工程は、基本的に従来例と同様であり、図3に示すようにドライエッチング時((図1Aおよび図1Bの[d],[g]参照)に生成されるポリマと大気中の水分とによってフッ酸が生成されて、このフッ酸によってストレッサSiN膜17が溶解することがある。ストレッサSiN膜17が溶解すると、上述した埋め込み配線の配線抵抗が異常な値を示す(変動してばらつく)。
 この配線抵抗のばらつきを防止するために、本実施の形態では、上述したストレッサSiN膜17のエッチング除去工程(図1Aの[g])とレジストパターンのアッシング除去工程(図1Aの[i])との間に、図1Aに示す[h-1],[h-2],[h-3]の各工程が実施される。
 まず、[h-1]の工程を説明する。ドライエッチングによるストレッサSiN膜(ライナー膜)12の除去([g]の処理)を行ったのち、半導体基板16に窒素プラズマ処理を施すことでC-F系のポリマが除去される。窒素プラズマ処理は、[g]の処理で実施したドライエッチングと同一チャンバで連続して行われる。
 通常、C-F系等のポリマは酸素プラズマを用いて除去されるが、本発明で対象としている半導体装置の構造では、酸素プラズマを用いた除去を行うと、コンタクトホール底部が酸化する可能性があり、この除去法は採用できない。また、水素を含むガスを用いてC-F系のポリマを除去することも考えられるが、水素がポリマ中のフッ素と反応してフッ酸が生成される可能性があるのでこの方法も採用できない。
 本実施の形態における[h-1]の工程では、以上のことを鑑みて窒素プラズマ処理が実施される。この窒素プラズマ処理では、反応式(1)によりC-F系のポリマが除去されると考えられる。
CxFy+xN → xCN+yF …(1)
 本願発明者が実験を行った結果、下部電極105を介して半導体ウェハ102に付与する下部RFパワー(バイアスパワー:電圧)を上部電極110に付与する上部RFパワー(電圧)よりも高くすることでトップパワー/バイアスパワーを1以下にすると、コンタクトホール21内に生成されるC-F系のポリマを確実に除去することができることがわかった。そこで、本実施の形態では、Cu-Nによって確実にフッ素成分を除去するために下部電極105を介して半導体ウェハ102に付与する下部RFパワーを上部RFパワーより高くしている(上部RFパワー/下部RFパワー<1)。さらには、反応を確実に行うためには十分な窒素と反応させる必要があるため、窒素量を多くしたうえで十分な処理時間を設定している。
 さらには、窒素プラズマ処理によってフッ素成分を除去している間に、一度除去したフッ素成分が半導体ウェハに再付着する可能性がある。そこで、本実施の形態では、再付着を防止するために、以下の2つの対策が実施される。
 (第1の対策)
 1つ目の対策は温度に着目している。固体表面上に吸着する分子の滞在時間は、(2)式で表される。
τ=τ0×exp(ε0/kT) …(2)
τ0は定数であり、
Tは固体表面温度であり、
ε0は分子1個の脱離の活性化エネルギ(kJ/molecules)であり、
kはボルツマン定数である。
 (2)式により明らかであるが、固体表面温度が高くなるほど固体表面上における分子の滞在時間が短くなる。このことは、固体表面温度を高くすれば、半導体ウェハ102に吸着していて乖離したフッ素が半導体ウェハ102に再吸着しにくくなることを示している。したがって、半導体ウェハ102の表面温度は高い方がよい。本実施の形態の[h-1]の工程では半導体ウェハ102の表面温度は30℃以上に設定される。あまり温度が高くなると今度は静電チャック(ESC)に半導体ウェハ102が吸着しにくくなるなどの弊害が出てくるので上限はおおよそ60℃になる。
 (第2の対策)
 また、半導体ウェハ102から脱離したフッ素成分が再付着しにくくするためには、より速く排気すると効果的である。このことに着目したのが第2の対策である。チャンバ内に浮遊するガスの滞在時間は、(3)式で表わされる。
τ=P×V÷Q …(3)
τは反応室におけるガスの滞在時間であり、
Pはガス圧力であり、
Vは反応室の容積であり、
Qはガス流量である。
 (3)式により明らかであるが、流量が大きくなるほうがより滞在時間が短くなる。実験を行った結果、500sccm以上で、滞在時間τ=0.2sec以下が良好であることがわかった。
 以上説明した[h-1]の工程(窒素プラズマ処理)の全条件を下記に示す。
上部RFパワー:350~600W
下部RFパワー:350~600W
ただし、上部RFパワー/下部RFパワー<1
窒素ガス流量:500~1000sccm
半導体ウェハステージ温度:30~60℃
 次に、[h-2],[チー3]の工程を説明する。[h-2]の工程では、[h-1]の除去工程でも残留するフッ素を確実に除去するために、[h-1]の除去工程(窒素プラズマ処理)の後に一酸化炭素ガスをチャンバに流す。一酸化炭素ガスの流入により反応式(4)の反応が起こる。
CO+F → COF …(4)
 これによりフッ素は、半導体ウェハ102に再付着することなく、COFガスとなりチャンバから排気される。なお、[h-2]の工程(COパージ)の後は、アッシング処理([i]の工程)が実施可能となるように、再度、窒素パージ工程([h-3]の工程)が実施される。
 図6A~図6Dにこれまで説明したフッ素除去のメカニズムを示す。ドライエッチング後の半導体基板16上もしくはストレッサSiN膜17上に、CFxのポリマが生成される(図6A参照)。この後に窒素プラズマ処理が行われることによって、CFxのポリマがCNとFとに分解される(図6B,図6C参照)。そして半導体基板16上もしくはストレッサSiN膜17上に、一酸化炭素ガスを流すことによって、CNとCOFとがガスになって排気される(図6D参照)。
 更に半導体ウェハに除去しきれないフッ素成分が残留している場合を想定して、処理後に大気中に暴露せずに、窒素雰囲気で半導体ウェハ102が保管される。より確実に保管するために、ロードロック401で真空から大気に戻す際に窒素で大気圧に戻す。また、大気ローダ502も窒素ガスで充填させておく。そして、FOUP設置部501も窒素ガスで充填させる。これにより、万が一残留フッ素が半導体ウェハ102上に存在しても、大気中の水分と残留フッ素が反応してフッ酸が生成されるのが防止される。
 ここではエッチングチャンバ(プロセスチャンバ)101は2周波のものを用いたがマイクロ波などのプラズマ源には依存はなく、このエッチング方法を使用することが可能である。ただし、より効果的な除去を行うために、半導体ウェハのバイアス側のRFパワー(下部RFパワー)を制御できるものが望ましい。
 (第2の実施形態)
 第2の実施形態の半導体装置の構造方法について、図7のフロー図を参照して説明する。第1の実施形態では、[g]の工程(ストレッサSiN膜17のドライエッチング除去工程)の後に、[h-1]の工程(窒素プラズマ処理)、[h-2]の工程(COパージ処理)、および[h-3]の工程(窒素パージ処理)が実施されるが、本実施形態では、[d]の工程(コンタクトドライエッチング処理)の後にも同様に[h-4]の工程(第2の窒素プラズマ処理)、[h-5]の工程(第2のCOパージ処理)、[h-6]の工程(第2の窒素パージ処理)が行われる。
 [d]の工程(コンタクトドライエッチング処理)では、条件にも大きく依存するものの、一般にコンタクトホール21の底部においてフルオロカーボン系のガスがポリマとして生成されにくい。しかしながら、条件によってはコンタクトホール21の底部においてフルオロカーボン系のガスがポリマとして生成される。そうすると、半導体ウェハ102が大気に暴露する際において、生成されたフルオロカーボン系のポリマによってフッ酸が生成され、このフッ酸によってストレッサSiN膜17が溶解される可能性がある。本実施形態では、このようなストレッサSiN膜17の溶解を防止するために、[d]の工程(コンタクトドライエッチング処理)後にも、[h-4]の工程(第2の窒素プラズマ処理)、[h-5]の工程(第2のCOパージ処理)、[h-6]の工程(第2の窒素パージ処理)を行う。
 (第3の実施形態)
 第1の実施形態の製法において、ストレッサSiN膜17が十分に薄膜であるために、ストレッサSiN膜17をドライエッチングにより削除する際において使用するフルオロカーボン系のガスを十分に微量で済ませることが可能になる場合がある。このような場合には、[h-1]の工程(窒素プラズマ処理)を実施することなく、[h-2]の工程(一酸化炭素をチャンバに流す処理)を実施する。これによりフッ素が半導体ウェハ102に再付着することなくCOFのガスとなりチャンバから排気される。
 この他、フルオロカーボン系のガスを十分に微量に設定することが可能になる場合には、[h-1]の工程(窒素プラズマ処理)、[h-2]の工程(COパージ処理)、[h-3]の工程(窒素パージ処理)を全て実施することなく、ドライエッチング処理後に半導体ウェハ102を大気中に暴露させることなく窒素雰囲気で保管してもよい。より確実に保管するためには、ロードロック401で真空から大気に戻す際に窒素ガスで大気圧に戻す。また、大気ローダ502も窒素ガスで充填させておく。そして、FOUP設置部501も窒素ガスで充填させる。これにより、万が一残留フッ素が半導体ウェハ上に存在しても、大気中の水分と残留フッ素が反応し、フッ酸が生成されるのを防止することができる。
 以上説明したように、本発明は、半導体装置の製造方法における配線抵抗のばらつき要因の一つであるストレッサSiN膜の溶解を防ぎ、安定状態に保つ方法として有用である。また、生産性の観点からも本発明の製造方法は有用である。
 11  第1のサイドウォール
 12  第2のサイドウォール
 13  第3のサイドウォール
 14  ゲート電極
 15  拡散領域
 16  半導体基板
 17  ストレッサSiN膜
 18  層間絶縁膜
 19  レジストパターン
 20  ストレッサSiN膜の腐食
 101 プロセスチャンバ
 102 半導体ウェハ
 103 半導体ウェハステージ
 104 上部電源
 105 下部電源
 106 排気用ゲートバルブ
 107 排気部
 108 ガス供給源
 109 ガス供給口
 110 上部電極
 111 ガス噴出板
 112 排気領域
 113 排気口
 114 ガス流量コントローラー
 116 プロセスガス流量コントローラー
 120 制御及び演算装置
 130 APCバルブ
 131 ターボ分子ポンプ
 132 排気配管
 133 ドライポンプ
 201 ウェハ真空搬送チャンバ
 301A-301C ゲートバルブ
 303 半導体ウェハ搬送経路部
 401 ロードロック室
 501 FORP設置部
 502 大気ローダ
 503 ノッチ合わせ

Claims (12)

  1.  半導体ウェハにストレッサSiN膜を成膜する第1の工程と、
     前記ストレッサSiN膜に層間絶縁膜を成膜する第2の工程と、
     前記層間絶縁膜にレジストパターンを設けたうえで前記層間絶縁膜をドライエッチングする第3の工程と、
     前記レジストパターンを除去したうえで前記ストレッサSiN膜をドライエッチングする第4の工程と、
     前記第3の工程後または前記第4の工程後において、前記半導体ウェハを窒素プラズマ処理する第5の工程と、
     を含む、
     半導体装置の製造方法。
  2.  前記第3の工程では、前記層間絶縁膜を、前記ストレッサSiN膜が露出するまでドライエッチングし、
     前記第4の工程では、前記層間絶縁膜の底部で露出する前記ストレッサSiN膜をドライエッチングする、
     請求項1の半導体装置の製造方法。
  3.  前記第5の工程を、前記第3の工程後と前記第4の工程後とのそれぞれの時点において実施する、
     請求項1の半導体装置の製造方法。
  4.  前記第5の工程を、前記半導体ウェハをチャンバに収納したうえで当該チャンバに窒素を流入させた状態で実施し、かつ前記チャンバに対する窒素流量を500sccm以上に設定する、
     請求項1の半導体装置の製造方法。
  5.  前記第5の工程を、前記半導体ウェハをチャンバに収納したうえで当該チャンバに窒素を流入させた状態で実施し、かつ前記チャンバにおける前記窒素の滞在時間が0.2sec以下になるように、前記チャンバに対する窒素流量を設定する、
     請求項1の半導体装置の製造方法。
  6.  前記第5の工程における前記半導体ウエハの表面温度を30~60℃に設定する、
     請求項1の半導体装置の製造方法。
  7.  前記第5の工程を、前記半導体ウェハをチャンバに収納したうえで当該チャンバに上部RFパワーと下部RFパワーとを印加した状態で実施し、かつ前記上部RFパワーと前記下部RFパワーとの比率(上部RFパワー/下部RFパワー)を1以下に設定する、
     請求項4の半導体装置の製造方法。
  8.  前記第5の工程を、前記半導体ウェハをチャンバに収納したうえで当該チャンバに上部RFパワーと下部RFパワーとを印加した状態で実施し、かつ前記上部RFパワーと前記下部RFパワーとの比率(上部RFパワー/下部RFパワー)を1以下に設定する、
     請求項5の半導体装置の製造方法。
  9.  前記第1~第5の工程のうちの少なくとも前記第5の工程を、前記半導体ウェハをチャンバに収納した状態で実施し、
     かつ、前記第5の工程後の前記チャンバに一酸化炭素を流入させる第6の工程を、
     さらに含む、
     請求項1の半導体装置の製造方法。
  10.  前記第5の工程後の前記半導体ウェハを窒素雰囲気で保持する第7の工程を、
     さらに含む、
     請求項1の半導体装置の製造方法。
  11.  半導体ウェハにストレッサSiN膜を成膜する第1の工程と、
     前記ストレッサSiN膜に層間絶縁膜を成膜する第2の工程と、
     前記層間絶縁膜にレジストパターンを設けたうえで前記層間絶縁膜をドライエッチングする第3の工程と、
     前記レジストパターンを除去したうえで前記ストレッサSiN膜をドライエッチングする第4の工程と、
     を含み、
     前記第1~第4の工程のうちの少なくとも前記第3の工程と前記第4の工程とを、前記半導体ウェハをチャンバに収納した状態で実施し、
     かつ、前記第3の工程後または前記第4の工程後において、前記チャンバに一酸化炭素を流入させる第5の工程を、
     さらに含む、
     半導体装置の製造方法。
  12.  半導体ウェハにストレッサSiN膜を成膜する第1の工程と、
     前記ストレッサSiN膜に層間絶縁膜を成膜する第2の工程と、
     前記層間絶縁膜にレジストパターンを設けたうえで前記層間絶縁膜をドライエッチングする第3の工程と、
     前記レジストパターンを除去したうえで前記ストレッサSiN膜をドライエッチングする第4の工程と、
     前記第3の工程後から前記第4の工程に至る期間または前記第4の工程後に、前記半導体ウェハを窒素雰囲気で保持する第5の工程と、
     を含む、
     半導体装置の製造方法。
PCT/JP2009/005981 2009-01-27 2009-11-10 半導体装置の製造方法 WO2010086930A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/107,500 US20110217846A1 (en) 2009-01-27 2011-05-13 Method for manufacturing semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009015482A JP2010177262A (ja) 2009-01-27 2009-01-27 半導体装置の製造方法
JP2009-015482 2009-01-27

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/107,500 Continuation US20110217846A1 (en) 2009-01-27 2011-05-13 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
WO2010086930A1 true WO2010086930A1 (ja) 2010-08-05

Family

ID=42395200

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2009/005981 WO2010086930A1 (ja) 2009-01-27 2009-11-10 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US20110217846A1 (ja)
JP (1) JP2010177262A (ja)
WO (1) WO2010086930A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114823297B (zh) * 2022-04-19 2023-01-31 度亘激光技术(苏州)有限公司 光刻胶去除工艺及半导体制造工艺

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06275581A (ja) * 1993-03-24 1994-09-30 Sony Corp 半導体装置の製造方法
JP2005116801A (ja) * 2003-10-08 2005-04-28 Toshiba Corp 半導体装置の製造方法
JP2005303191A (ja) * 2004-04-15 2005-10-27 Renesas Technology Corp 半導体装置の製造方法
JP2006165189A (ja) * 2004-12-06 2006-06-22 Nec Electronics Corp 半導体装置の製造方法
JP2007141912A (ja) * 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4686157B2 (ja) * 2004-09-29 2011-05-18 株式会社東芝 成膜装置のクリーニング方法
KR20080044657A (ko) * 2006-11-17 2008-05-21 삼성전자주식회사 플라즈마 식각장치
US8409460B2 (en) * 2007-02-28 2013-04-02 Tokyo Electron Limited Forming method of amorphous carbon film, amorphous carbon film, multilayer resist film, manufacturing method of semiconductor device, and computer-readable storage medium
JP2008244298A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd 金属膜の成膜方法、多層配線構造の形成方法、半導体装置の製造方法、成膜装置
US7816271B2 (en) * 2007-07-14 2010-10-19 Samsung Electronics Co., Ltd. Methods for forming contacts for dual stress liner CMOS semiconductor devices

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06275581A (ja) * 1993-03-24 1994-09-30 Sony Corp 半導体装置の製造方法
JP2005116801A (ja) * 2003-10-08 2005-04-28 Toshiba Corp 半導体装置の製造方法
JP2005303191A (ja) * 2004-04-15 2005-10-27 Renesas Technology Corp 半導体装置の製造方法
JP2006165189A (ja) * 2004-12-06 2006-06-22 Nec Electronics Corp 半導体装置の製造方法
JP2007141912A (ja) * 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法

Also Published As

Publication number Publication date
US20110217846A1 (en) 2011-09-08
JP2010177262A (ja) 2010-08-12

Similar Documents

Publication Publication Date Title
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
JP5374039B2 (ja) 基板処理方法、基板処理装置及び記憶媒体
CN108573866B (zh) 氧化膜去除方法和装置以及接触部形成方法和系统
KR100904105B1 (ko) 반도체 장치의 제조 방법
JP4919871B2 (ja) エッチング方法、半導体装置の製造方法および記憶媒体
US8187981B2 (en) Substrate processing method, substrate processing system, and computer-readable storage medium
JP2008192643A (ja) 基板処理装置
CN112424916B (zh) 半导体装置的制造方法、基板处理装置和记录介质
JP2002222861A (ja) プラズマ前処理モジュールを具備した装置における半導体素子の製造方法
KR20160055227A (ko) 다음 처리 단계 이전에 대기 시간 문제를 최소화하기 위해 에칭 사후의 계면을 안정화하기 위한 방법들
JP4716370B2 (ja) 低誘電率膜のダメージ修復方法及び半導体製造装置
KR20170099778A (ko) 기판 처리 방법
JP2010050310A (ja) 半導体装置の製造方法
US8870164B2 (en) Substrate processing method and storage medium
JP4509842B2 (ja) エッチング方法、エッチング装置、コンピュータプログラム及びコンピュータ記憶媒体
US20130330920A1 (en) Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma
TWI751326B (zh) 自對準通孔處理流程
WO2010086930A1 (ja) 半導体装置の製造方法
US7387743B2 (en) Etching method and apparatus, computer program and computer readable storage medium
TWI778048B (zh) 形成半導體結構的方法
TWI758464B (zh) 含矽間隔物的選擇性形成
US7923361B2 (en) Method for manufacturing a semiconductor integrated circuit device
JP2004327507A (ja) 半導体装置の製造方法
JP7414593B2 (ja) 基板処理方法及び基板処理装置
TWI782981B (zh) 子鰭片至絕緣體矽之轉換

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09839122

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09839122

Country of ref document: EP

Kind code of ref document: A1