WO2009158169A1 - Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance - Google Patents

Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance Download PDF

Info

Publication number
WO2009158169A1
WO2009158169A1 PCT/US2009/046270 US2009046270W WO2009158169A1 WO 2009158169 A1 WO2009158169 A1 WO 2009158169A1 US 2009046270 W US2009046270 W US 2009046270W WO 2009158169 A1 WO2009158169 A1 WO 2009158169A1
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
processing
substrate
batch
processing chamber
Prior art date
Application number
PCT/US2009/046270
Other languages
English (en)
French (fr)
Inventor
Sang In Yi
Kelvin Chan
Thomas Nowak
Alexandros T. Demos
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/178,523 external-priority patent/US20100018548A1/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2011516399A priority Critical patent/JP5572623B2/ja
Priority to CN200980125854XA priority patent/CN102077316A/zh
Publication of WO2009158169A1 publication Critical patent/WO2009158169A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Definitions

  • Embodiments of the invention generally relate to a method of cleaning a substrate processing chamber. More particularly, embodiments of the invention relate to methods of cleaning surfaces within a ultraviolet chamber for performing cure processes of dielectric films on substrates.
  • low-k materials with low dielectric constants (low-k), such as silicon oxides (SiO x ), silicon carbide (SiC x ), and carbon doped silicon oxides (SiOC x ), find extremely widespread use in the fabrication of semiconductor devices.
  • Using low- k materials as the inter-metal and/or inter-layer dielectric between conductive interconnects reduces the delay in signal propagation due to capacitive effects.
  • the lower the dielectric constant of the dielectric layer the lower the capacitance of the dielectric and the lower the RC delay of the integrated circuit (IC).
  • Low k dielectric materials are conventionally defined as those materials that have a dielectric constant k lower than that of silicon dioxide — that is k ⁇ 4. Typical methods of obtaining low-k materials include doping silicon dioxide with various functional groups containing carbon or fluorine. While fluorinated silicate glass (FSG) generally has k of 3.5-3.9, carbon-doping methods can further lower the k value to approximately 2.5. Current efforts are focused on developing low-k dielectric materials, often referred to as ultra low-k (ULK) dielectrics, with k values less than 2.5 for the most advanced technology needs.
  • ULK ultra low-k
  • One approach for forming silicon containing films on a semiconductor substrate is through the process of chemical vapor deposition (CVD) within a chamber.
  • Organosilicon supplying materials are often utilized during CVD of the silicon containing films.
  • carbon containing films can be formed on the chamber walls as well as on the substrate.
  • ultra low-k (ULK) dielectric materials may be obtained by incorporating air voids within a low-k dielectric matrix, creating a porous dielectric material.
  • Methods of fabricating porous dielectrics typically involve forming a "precursor film" containing two components: a porogen (typically an organic material such as a hydrocarbon) and a structure former or dielectric material (e.g., a silicon containing material). Once the precursor film is formed on the substrate, the porogen component can be removed, leaving a structurally intact porous dielectric matrix or oxide network.
  • Techniques for removing porogens from the precursor film include, for example, a thermal process in which the substrate is heated to a temperature sufficient for the breakdown and vaporization of the organic porogen.
  • One known thermal process for removing porogens from the precursor film includes a UV curing process to aid in the post treatment of CVD silicon oxide films.
  • U.S. Patent Nos. 6,566,278 and 6,614,181 both to Applied Materials, Inc. and incorporated herein in their entirety, describe use of UV light for post treatment of CVD carbon-doped silicon oxide films.
  • the UV processing chamber can become coated with intact porogen, fragmented species of porogen, and other porogen residues, including coating of the windows that permit UV light to reach the substrate.
  • the porogen residue can reduce the effectiveness of the subsequent UV porogen removal processes by reducing the effective UV intensity available to the substrate and building up at the colder components of the chamber.
  • the build-up of porogen residue on the window is non-uniform resulting in film unevenly cured across the substrate.
  • the build-up of excessive residues in the chamber can be a source of particulate defects on the substrate which is unsuitable for semiconductor processing. Accordingly, thermally unstable organic fragments of sacrificial materials (resulting from porogens used during CVD to increase porosity) need to be removed from the processing chamber.
  • Embodiments of the present invention generally provide a method for cleaning a substrate processing chamber.
  • the method includes processing a batch of substrates within the processing chamber where processing the batch of substrates includes a series of steps. First, a substrate from the batch is processed within the processing chamber. Next, the substrate is removed from the processing chamber followed by introducing ozone into the processing chamber and exposing the processing chamber to ultraviolet light for less than one minute. The previous steps of processing a substrate in the batch, removing the substrate from the processing chamber, introducing ozone into the processing chamber, and exposing the chamber to ultraviolet light for less than one minute are repeated until the last substrate in the batch is processed.
  • the less than one miniute, rapid clean can be implemented periodically, post curing every second or every third substrate.
  • the last substrate is removed from the processing chamber.
  • ozone is again introduced into the processing chamber followed by exposing the processing chamber to ultraviolet light for three to fifteen minutes.
  • the invention provides a substrate processing chamber that defines one or more processing regions and includes a controller comprising a computer readable medium.
  • the computer readable medium contains instructions which, when executed, cause the substrate processing chamber to process a batch of substrates within the processing chamber.
  • Processing the batch of substrates includes a series of steps. First, a substrate from the batch is processed within the processing chamber. Next, the substrate is removed from the processing chamber followed by introducing ozone into the processing chamber and exposing the processing chamber to ultraviolet light for less than one minute. The previous steps of processing a substrate in the batch, removing the substrate from the processing chamber, introducing ozone into the processing chamber, and exposing the chamber to ultraviolet light for less than one minute are repeated until the last substrate in the batch is processed. After processing the last substrate in the batch, the last substrate is removed from the processing chamber. Next, ozone is again introduced into the processing chamber followed by exposing the processing chamber to ultraviolet light for three to fifteen minutes.
  • Figure 1 is a plan view of a semiconductor processing system in which embodiments of the invention may be incorporated.
  • Figure 2 is a view of a tandem processing chamber of the semiconductor processing system that is configured for UV curing.
  • Figure 3 is a partial section view of the tandem processing chamber that has a lid assembly with two UV bulbs disposed respectively above two process regions.
  • Figure 4 is a process diagram of one embodiment of the invention.
  • Figure 5 is a process diagram of another embodiment of the invention.
  • Figure 6 is a process diagram of another embodiment of the invention.
  • Figure 7 is a process diagram of another embodiment of the invention.
  • Embodiments of the present invention include methods of cleaning a substrate processing chamber with ultraviolet light and ozone to quality of substrates and significantly reduce chamber downtime while maintaining throughput.
  • the process chamber walls, UV windows, and pedestal may be efficiently cleaned by removing residue build up, especially in the cold areas of the processing chamber which typically experience higher residue build up over time.
  • ultraviolet (UV) cure of porogens form residues that are thoroughly cleaned using embodiments of the invention.
  • a tandem processing chamber provides two separate and adjacent process regions in a chamber body and a lid having one or more bulb isolating windows aligned respectively above each process region.
  • the bulb isolating windows may be implemented with one window per side of the tandem processing chamber to isolate one or more bulbs from the substrate in one large common volume, or with each bulb of an array of bulbs enclosed in a UV transparent envelope which is in direct contact with a processing region.
  • One or more UV bulbs per process region may be covered by housings coupled to the lid and emit UV light that is directed through the windows onto each substrate located within each process region.
  • the UV bulbs can be an array of light emitting diodes or bulbs utilizing any of the state of the art UV illumination sources including, but not limited to, microwave arcs, radio frequency filament (capacitively coupled plasma) and inductively coupled plasma (ICP) lamps. Additionally, the UV light can be pulsed during a cure process.
  • Various concepts for enhancing uniformity of substrate illumination include use of lamp arrays which can also be used to vary wavelength distribution of incident light, relative motion of the substrate and lamp head including rotation and periodic translation (sweeping), and real-time modification of lamp reflector shape and/or position.
  • Residues formed during the curing process may comprise carbon, e.g. both carbon and silicon, and are removed using an ozone based clean.
  • Production of the necessary ozone may be done remotely with the ozone transported to the curing chamber, generated in-situ, or accomplished by running these two schemes simultaneously.
  • Methods of generating the ozone remotely can be accomplished using any existing ozone generation technology including, but not limited to, dielectric barrier/corona discharge (e.g., Applied Materials' Ozonator) or UV-activated reactors.
  • the UV bulbs used for curing the dielectric material and/or additional UV bulb(s) that can be remotely located may be used to generate the ozone.
  • Figure 1 shows a plan view of a semiconductor processing system 100 which may use embodiments of the invention.
  • the system 100 illustrates one embodiment of a ProducerTM processing system, commercially available from Applied Materials, Inc., of Santa Clara, California.
  • the processing system 100 is a self-contained system having the necessary processing utilities supported on a mainframe structure 101.
  • the processing system 100 generally includes a front end staging area 102 where substrate cassettes 109 are supported and substrates are loaded into and unloaded from a loadlock chamber 112, a transfer chamber 111 housing a substrate handler 113, a series of tandem processing chambers 106 mounted on the transfer chamber 111 and a back end 138 which houses the support utilities needed for operation of the system 100, such as a gas panel 103, and a power distribution panel 105.
  • Each of the tandem processing chambers 106 includes two process regions for processing the substrates (see, Figure 3). The two process regions share a common supply of gases, common pressure control, and common process gas exhaust/pumping system. Modular design of the system enables rapid conversion from any one configuration to any other.
  • tandem processing chambers 106 can include a lid according to aspects of the invention as described below that includes one or more ultraviolet (UV) lamps for use in a cure process of a low K material on the substrate and/or in a chamber clean process.
  • UV ultraviolet
  • all three of the tandem processing chambers 106 have UV lamps and are configured as UV curing chambers to run in parallel for maximum throughput.
  • the system 100 can be adapted with one or more of the tandem processing chambers having supporting chamber hardware known to accommodate various other known processes such as chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, and the like.
  • the system 100 can be configured with one of the tandem processing chambers 106 as a CVD chamber for depositing materials, such as a low dielectric constant (K) film, on the substrates.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch etch
  • the system 100 can be configured with one of the tandem processing chambers 106 as a CVD chamber for depositing materials, such as a low dielectric constant (K) film, on the substrates.
  • K low dielectric constant
  • a controller 140 including a central processing unit (CPU) 144, a memory 142, and support circuits 146, is coupled to the various components of the semiconductor processing system 100 to facilitate control of the processes of the present invention.
  • the memory 142 can be any computer-readable medium, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote to the semiconductor processing system 100 or CPU 144.
  • the support circuits 146 are coupled to the CPU 144 for supporting the CPU in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.
  • a software routine or a series of program instructions stored in the memory 142 when executed by the CPU 144, causes the UV curing tandem processing chambers 106 to perform processes of the present invention.
  • FIG. 2 illustrates one of the tandem processing chambers 106 of the semiconductor processing system 100 that is configured for UV curing.
  • the tandem processing chamber 106 includes a body 200 and a lid 202 that can be hinged to the body 200. Coupled to the lid 202 are two housings 204 that are each coupled to inlets 206 along with outlets 208 for passing cooling air through an interior of the housings 204.
  • the cooling air can be at room temperature or approximately twenty-two degrees Celsius.
  • a central pressurized air source 210 provides a sufficient flow rate of air to the inlets 206 to insure proper operation of any UV lamp bulbs and/or power sources 214 for the bulbs associated with the tandem processing chamber 106.
  • the outlets 208 receive exhaust air from the housings 204, which is collected by a common exhaust system 212 that can include a scrubber to remove ozone potentially generated by the UV bulbs, depending on bulb selection. Ozone management issues can be avoided by cooling the lamps with oxygen-free cooling gas (e.g., nitrogen, argon or helium).
  • oxygen-free cooling gas e.g., nitrogen, argon or helium
  • FIG 3 shows a partial section view of the tandem processing chamber 106 with the lid 202, the housings 204 and the power sources 214.
  • Each of the housings 204 cover a respective one of two UV lamp bulbs 302 disposed respectively above two process regions 300 defined within the body 200.
  • Each of the process regions 300 includes a heating pedestal 306 for supporting a substrate 308 within the process regions 300.
  • the pedestals 306 can be made from ceramic or metal such as aluminum.
  • the pedestals 306 couple to stems 310 that extend through a bottom of the body 200 and are operated by drive systems 312 to move the pedestals 306 in the process regions 300 toward and away from the UV lamp bulbs 302.
  • the drive systems 312 can also rotate and/or translate the pedestals 306 during curing to further enhance uniformity of substrate illumination. Adjustable positioning of the pedestals 306 enables control of volatile cure by-product and purge and clean gas flow patterns and residence times in addition to potential fine tuning of incident UV irradiance levels on the substrate 308 depending on the nature of the light delivery system design considerations such as focal length.
  • any UV source such as mercury microwave arc lamps, pulsed xenon flash lamps or high-efficiency UV light emitting diode arrays may be used.
  • the UV lamp bulbs 302 are sealed plasma bulbs filled with one or more gases such as xenon (Xe) or mercury (Hg) for excitation by the power sources 214.
  • the power sources 214 are microwave generators that can include one or more magnetrons (not shown) and one or more transformers (not shown) to energize filaments of the magnetrons.
  • each of the housings 204 includes an aperture 215 adjacent the power sources 214 to receive up to about 6000W of microwave power from the power sources 214 to subsequently generate up to about 100W of UV light from each of the bulbs 302.
  • the UV lamp bulbs 302 can include an electrode or filament therein such that the power sources 214 represent circuitry and/or current supplies, such as direct current (DC) or pulsed DC, to the electrode.
  • the power sources 214 can include radio frequency (RF) energy sources that are capable of excitation of the gases within the UV lamp bulbs 302.
  • RF radio frequency
  • the configuration of the RF excitation in the bulb can be capacitive or inductive.
  • An inductively coupled plasma (ICP) bulb can be used to efficiently increase bulb brilliancy by generation of denser plasma than with the capacitively coupled discharge.
  • the ICP lamp eliminates degradation in UV output due to electrode degradation resulting in a longer-life bulb for enhanced system productivity. Benefits of the power sources 214 being RF energy sources include an increase in efficiency.
  • the bulbs 302 emit light across a broad band of wavelengths from 170 nm to 400 nm. In one embodiment of the invention, the bulbs 302 emit light at wavelengths from 185 nm to 255 nm.
  • the gases selected for use within the bulbs 302 can determine the wavelengths emitted.
  • UV light emitted from the UV lamp bulbs 302 enters the process regions 300 by passing through windows 314 disposed in apertures in the lid 202.
  • the windows 314 preferably are made of an OH free synthetic quartz glass and have sufficient thickness to maintain vacuum without cracking. Further, the windows 314 are preferably fused silica that transmits UV light down to approximately 150 nm.
  • the process regions 300 provide volumes capable of maintaining pressures from approximately 1 Torr to approximately 650 Torr. Processing or cleaning gases enter the process regions 300 via a respective one of two inlet passages 316. The processing or cleaning gases then exit the process regions 300 via a common outlet port 318. Additionally, the cooling air supplied to the interior of the housings 204 circulates past the bulbs 302, but is isolated from the process regions 300 by the windows 314.
  • the housings 204 may include an interior parabolic surface defined by a cast quartz lining 304 coated with a dichroic film.
  • the quartz linings 304 reflect UV light emitted from the UV lamp bulbs 302 and are shaped to suit the cure processes as well as the chamber clean processes based on the pattern of UV light directed by the quartz linings 304 into the process regions 300.
  • the quartz linings 304 may adjust to better suit each process or task by moving and changing the shape of the interior parabolic surface. Additionally, the quartz linings 304 may transmit infrared light and reflect ultraviolet light emitted by the bulbs 302 due to the dichroic film.
  • the dichroic film usually constitutes a periodic multilayer film composed of diverse dielectric materials having alternating high and low refractive index.
  • microwave radiation from the power sources 214 that is downwardly incident on the backside of the cast quartz linings 304 does not significantly interact with, or get absorbed by, the modulated layers and is readily transmitted for ionizing the gas in the bulbs 302.
  • a method 400 for cleaning a substrate processing chamber includes various steps and combinations to efficiently clean a substrate processing chamber while reducing chamber downtime and maintaining substrate throughput.
  • the method 400 includes processing a batch of substrates within the processing chamber that defines one or more processing regions, box 404.
  • Box 404 includes multiple sub- steps that may be performed as a repeating sub-loop in the overall cleaning process of method 400, depending on the number substrates processed within the processing chamber.
  • the batch of substrates includes 10-15 substrates, such as 13 substrates.
  • Processing a batch of substrates within the processing chamber, box 404 may be executed in a sub-routine having various sub-steps, including processing a substrate from the batch within the processing chamber, box 406, removing the substrate from the processing chamber, box 408, and initiating a discrete cleaning process comprising introducing ozone into the processing chamber, box 410, and exposing the chamber to ultraviolet light for less than one minute, box 412.
  • the rapid clean, box 412 may be implemented for every second or every third substrate (2x and 3x repeat of box 406 to 408) when minimal UV window coating is present from curing of the substrates.
  • the previous steps may be repeated until the last substrate in the batch is processed, box 414.
  • processing the substrates includes removing porogen from a polymer previously deposited on the substrate.
  • a batch cleaning process is initiated comprising introducing ozone into the processing chamber, box 418, and exposing the processing chamber to ultraviolet light for three to fifteen minutes, box 420.
  • the ultraviolet light may include wavelengths between 185 nm and 255 nm.
  • the chamber may be exposed to ultraviolet light for 15 to 30 seconds in between the processing of each substrate.
  • the ozone may be generated remotely from the processing region or introducing ozone into the chamber may include activating oxygen with ultraviolet light to create ozone.
  • FIG. 5 illustrates another embodiment of the invention.
  • Processing the substrate, box 406 of method 400 for cleaning a substrate processing chamber may further include a set of sub-steps 500.
  • Processing the substrate may include pressurizing the chamber to five Torr, box 502, heating the chamber to 385 0 C, box 504, introducing helium into the chamber at 10 standard liters per minute, box 506, introducing argon into the chamber at 10 standard liters per minute, box 508, and exposing the chamber to ultraviolet light for 165 seconds, box 510.
  • FIG. 6 illustrates another embodiment of the invention.
  • the discrete cleaning process between the processing of each substrate, comprising boxes 410 and 412, may further include a set of sub-steps 600.
  • the cleaning process may include pressurizing the chamber to five Torr, box 602, heating the chamber to 385 0 C, box 604, introducing ozone into the chamber at 10 standard liters per minute, box 606, exposing the chamber to ultraviolet light for 15 seconds, box 608, purging the chamber with helium at 10 standard liters per minute for 10 seconds, box 610, and then pumping the chamber for 10 seconds, box 612.
  • FIG. 7 illustrates yet another embodiment of the invention.
  • the batch cleaning process after processing the batch of substrates, comprising boxes 416, 418, and 420, may further include a set of sub-steps 700.
  • the cleaning process may include pressurizing the chamber to five Torr, box 702, heating the chamber to 385 0 C, box 704, introducing ozone into the chamber at 10 standard liters per minute, box 706, and exposing the chamber to ultraviolet light for six minutes, box 708.
  • FIG. 1 -3 another embodiment of the invention includes a substrate processing chamber including an processing chamber 106 defining a processing region 300.
  • a controller 140 comprising a computer readable medium, such as memory, 142 contains instructions which, when executed, cause the substrate processing chamber to process a batch of substrates within the ultraviolet curing tandem processing chambers 106.
  • the process includes processing a substrate from the batch within the processing chamber, removing the substrate from the processing chamber, introducing ozone into the processing chamber, exposing the chamber to ultraviolet light for less than one minute, and repeating the previous steps until the last substrate in the batch is processed.
  • the instructions further provide that after processing the last substrate in the batch, the last substrate is removed from the processing chamber, ozone is introduced into the processing chamber, and the processing chamber is exposed to ultraviolet light for three to fifteen minutes.
  • BDIIx Black Diamond Il dielectric film
  • mDEOS methyldiethoxysilane
  • ATRP alpha-terpinine
  • the film was deposited using the following parameters: an mDEOS flow rate of 1000 milligrams per minute (mgm), ATRP at 1000 mgm, and Helium as a carrier gas at 1000 standard cubic centimeters a minute.
  • the film is deposited at a temperature of 300 °C at a pressure of five Torr and a RF power of 500 Watts.
  • the porogen is later removed using a ProducerSE UV chamber, to create a porous oxide network. Both intact form of the porogen and the fragmented species of porogen are removed from the SiC BDIIx matrix and upon exposure to UV at high temperatures (above 300 0 C.)
  • the cure recipe includes exposing the chamber to ultraviolet light for 165 seconds while pressurizing the chamber to five Torr, heating the chamber to 385 0 C, and introducing into the chamber helium at 10 standard liters per minute and argon at 10 standards liters per minute.
  • the chamber is cleaned using a cleaning sub-routine executed in between each substrate processed (a 1x clean) and an extended cleaning step performed after 13 substrates (13x clean) according to embodiments of the present invention.
  • the 1x clean includes 15 seconds of ultraviolet light exposure at 385 0 C while pressurizing the chamber to five Torr and introducing ozone into the chamber at 10 standard liters per minute.
  • the chamber is then purged with Helium at 10 standard liters per minute for 10 seconds followed and 10 seconds of pumping the chamber.
  • the 13x clean includes six minutes of ultraviolet exposure at 385 0 C while pressurizing the chamber to five Torr and introducing ozone into the chamber at 10 standard liters per minute.
  • the chamber is then purged with Helium for 20 seconds and pumped for another 20 seconds.
  • the post deposition and post ultraviolet cure film thickness measurement results using KLA-TENCOR F5 Ellipsometry are shown, when cleaning the processing chamber according to embodiments of the present invention.
  • the film shrinkage which is defined as the decrease in film thickness divided by the initial thickness, may vary from substrate to substrate because of UV window particulate build up and cold area particulate build up.
  • the shrinkage percent is linearly proportional to the extent of the UV exposure, and the shrinkage uniformity, which is defined as the one-sigma standard deviation of the shrinkage percentage, corresponds to the uniformity of the UV exposure predominantly among other variables.
  • the KLA Tencor F5 Ellipsometry based shrinkage percent and shrinkage uniformity percent results shown are for a 32 substrate run.
  • Embodiments of the present invention may help to remove intact and fragmented species of porogen and byproducts that coat the UV window and that build up in the colder areas of the chamber, such as the slit valve area of a processing chamber.
  • the colder areas can especially be a source of residue which can contaminate substrates during processing.
  • the coating of the UV window above the substrate in particular causes reduction in the effective UV intensity available to the substrate. Also because coating of the window is nonuniform, the film is cured unevenly across the substrate in the processing chamber.
  • a rapid chamber clean may be executed after every substrate or semi periodically in every second or third substrate, according to embodiments of the invention.
  • a longer ozone cleaning treatment may be used in between batches of substrates to remove the build up of residue at the chamber cold spots according to embodiments of the invention which helps minimize equipment downtime while maintaining substrate throughput.
  • the present invention provides a fast every or semi periodic substrate clean for improved UV window recovery and an extensive multiple substrate clean for improved removal of residue sources thereby reducing particulate contamination of substrates.
  • the fast clean in between each substrate is designed to be less than the chamber idle time during the substrate transfer and may result in zero throughput loss.
  • the porogen is removed using a ProducerSE UV chamber, to create a porous oxide network.
  • the UV cure and clean are carried out 50 Torr at increased flows, for conditions otherwise identical to Example 1.
  • the cure recipe includes exposing the chamber to ultraviolet light for 165 seconds while pressurizing the chamber to 50 Torr, heating the chamber to 385 0 C, and introducing into the chamber helium at 30 standard liters per minute and argon at 30 standards liters per minute.
  • the chamber is cleaned using a cleaning sub-routine executed in between each substrate processed (a 1x clean) and an extended cleaning step performed after 13 substrates (13x clean) according to embodiments of the present invention.
  • the 1x clean includes 15 seconds of ultraviolet light exposure at 385 0 C while pressurizing the chamber to 50 Torr and introducing ozone into the chamber at 30 standard liters per minute.
  • the chamber is then purged with Helium at 10 standard liters per minute for 10 seconds followed and 10 seconds of pumping the chamber.
  • the 13x clean includes six minutes of ultraviolet exposure at 385 0 C while pressurizing the chamber to 50 Torr and introducing ozone into the chamber at 30 standard liters per minute.
  • the chamber is then purged with Helium for 20 seconds and pumped for another 20 seconds.
  • the porogen is removed using a ProducerSE UV chamber, to create a porous oxide network.
  • the post dep film thickness is increased to 6K.
  • the batch clean is carried out every sixth substrate.
  • the cure recipe includes exposing the chamber to ultraviolet light for 400 seconds while pressurizing the chamber to five Torr, heating the chamber to 385 0 C, and introducing into the chamber helium at 10 standard liters per minute and argon at 10 standards liters per minute.
  • the chamber is cleaned using a cleaning sub-routine executed in between each substrate processed (a 1x clean) and an extended cleaning step performed after 13 substrates (13x clean) according to embodiments of the present invention.
  • the 1x clean includes 15 seconds of ultraviolet light exposure at 385 0 C while pressurizing the chamber to five Torr and introducing ozone into the chamber at 10 standard liters per minute.
  • the chamber is then purged with Helium at 10 standard liters per minute for 10 seconds followed and 10 seconds of pumping the chamber.
  • the 6x batch clean includes six minutes of ultraviolet exposure at 385 0 C while pressurizing the chamber to five Torr and introducing ozone into the chamber at 10 standard liters per minute.
  • the chamber is then purged with Helium for 20 seconds and pumped for another 20 seconds.
  • the porogen is removed using a ProducerSE UV chamber, to create a porous oxide network.
  • the post dep film thickness is reduced to 1.2K. Due to the smaller porogen removal per substrate, the batch clean is carried out every twenty-sixth substrate.
  • the cure recipe includes exposing the chamber to ultraviolet light for 100 seconds while pressurizing the chamber to five Torr, heating the chamber to 385 0 C, and introducing into the chamber helium at 10 standard liters per minute and argon at 10 standards liters per minute.
  • the chamber is cleaned using a cleaning sub-routine executed in between each substrate processed (a 1x clean) and an extended cleaning step performed after 13 substrates (13x clean) according to embodiments of the present invention.
  • the 1x clean includes 15 seconds of ultraviolet light exposure at 385 0 C while pressurizing the chamber to five Torr and introducing ozone into the chamber at 10 standard liters per minute.
  • the chamber is then purged with Helium at 10 standard liters per minute for 10 seconds followed and 10 seconds of pumping the chamber.
  • the 26x batch clean includes six minutes of ultraviolet exposure at 385 0 C while pressurizing the chamber to five Torr and introducing ozone into the chamber at 10 standard liters per minute.
  • the chamber is then purged with Helium for 20 seconds and pumped for another 20 seconds.
  • the porogen is removed using a ProducerSE UV chamber, to create a porous oxide network.
  • the post dep film thickness is reduced to 1.2K. Due to the smaller porogen removal per substrate, the rapid every substrate clean is replaced by every second substrate clean.
  • the batch clean cycle remains at 13x.
  • the cure recipe includes exposing the chamber to ultraviolet light for 100 seconds while pressurizing the chamber to five Torr, heating the chamber to 385 0 C, and introducing into the chamber helium at 10 standard liters per minute and argon at 10 standards liters per minute.
  • the chamber is cleaned and an extended cleaning step is performed after 13 substrates (13x clean) according to embodiments of the present invention.
  • the every two substrate clean includes 15 seconds of ultraviolet light exposure at 385 0 C while pressurizing the chamber to five Torr and introducing ozone into the chamber at 10 standard liters per minute.
  • the chamber is then purged with Helium at 10 standard liters per minute for 10 seconds followed and 10 seconds of pumping the chamber.
  • the 13x batch clean includes six minutes of ultraviolet exposure at 385 0 C while pressurizing the chamber to five Torr and introducing ozone into the chamber at 10 standard liters per minute.
  • the chamber is then purged with Helium for 20 seconds and pumped for another 20 seconds.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
PCT/US2009/046270 2008-06-27 2009-06-04 Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance WO2009158169A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2011516399A JP5572623B2 (ja) 2008-06-27 2009-06-04 基板処理チャンバを洗浄する方法
CN200980125854XA CN102077316A (zh) 2008-06-27 2009-06-04 用于高产量及稳定逐基材表现的快速周期和广泛的后期紫外臭氧清洗程序的添加

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US7653708P 2008-06-27 2008-06-27
US61/076,537 2008-06-27
US12/178,523 2008-07-23
US12/178,523 US20100018548A1 (en) 2008-07-23 2008-07-23 Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance

Publications (1)

Publication Number Publication Date
WO2009158169A1 true WO2009158169A1 (en) 2009-12-30

Family

ID=41444874

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/046270 WO2009158169A1 (en) 2008-06-27 2009-06-04 Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance

Country Status (5)

Country Link
JP (1) JP5572623B2 (ko)
KR (1) KR101631586B1 (ko)
CN (1) CN102077316A (ko)
TW (1) TWI465298B (ko)
WO (1) WO2009158169A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013541849A (ja) * 2010-10-19 2013-11-14 アプライド マテリアルズ インコーポレイテッド Nanocureuvチャンバ用の石英シャワーヘッド

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI476144B (zh) * 2012-05-14 2015-03-11 Univ Nat Taiwan 週期性奈米孔洞狀結構陣列之製造方法及其用途
CN104916522B (zh) * 2014-03-10 2017-12-22 中芯国际集成电路制造(上海)有限公司 去除hasti制备过程中形成的残留颗粒的方法
JP7304768B2 (ja) * 2019-08-16 2023-07-07 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6254689B1 (en) * 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
US20030183244A1 (en) * 2002-04-02 2003-10-02 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20040028835A1 (en) * 2002-08-08 2004-02-12 Frank Lin Method for depositing thin film using plasma chemical vapor deposition
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW535222B (en) * 2002-06-11 2003-06-01 Toppoly Optoelectronics Corp Method for depositing thin film using plasma chemical vapor deposition
TW200410337A (en) * 2002-12-02 2004-06-16 Au Optronics Corp Dry cleaning method for plasma reaction chamber
US7265061B1 (en) * 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
TWI424460B (zh) * 2004-06-18 2014-01-21 Axcelis Tech Inc 用於處理介電材料之設備及製程
US7709814B2 (en) * 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7909595B2 (en) * 2006-03-17 2011-03-22 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
JP5258241B2 (ja) * 2006-09-19 2013-08-07 日本エー・エス・エム株式会社 Uv照射チャンバーをクリーニングする方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6254689B1 (en) * 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
US20030183244A1 (en) * 2002-04-02 2003-10-02 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20040028835A1 (en) * 2002-08-08 2004-02-12 Frank Lin Method for depositing thin film using plasma chemical vapor deposition
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013541849A (ja) * 2010-10-19 2013-11-14 アプライド マテリアルズ インコーポレイテッド Nanocureuvチャンバ用の石英シャワーヘッド

Also Published As

Publication number Publication date
JP5572623B2 (ja) 2014-08-13
KR101631586B1 (ko) 2016-06-17
JP2011526077A (ja) 2011-09-29
TW201008671A (en) 2010-03-01
TWI465298B (zh) 2014-12-21
CN102077316A (zh) 2011-05-25
KR20110025227A (ko) 2011-03-09

Similar Documents

Publication Publication Date Title
US8702870B2 (en) Superimposition of rapid periodic and extensive post multiple substrate UV-ozone clean sequences for high throughput and stable substrate to substrate performance
US8911553B2 (en) Quartz showerhead for nanocure UV chamber
US7663121B2 (en) High efficiency UV curing system
US20060249175A1 (en) High efficiency UV curing system
US8455849B2 (en) Method and apparatus for modulating wafer treatment profile in UV chamber
US8022377B2 (en) Method and apparatus for excimer curing
US8753449B2 (en) Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US10373823B2 (en) Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
TW201403711A (zh) 利用氣相化學暴露之低k介電質損傷修復
US8993444B2 (en) Method to reduce dielectric constant of a porous low-k film
KR101631586B1 (ko) 기판 성능에 대한 높은 처리량 및 안정한 기판을 위한 급속 주기적 및 포괄적 후 다중 기판 uv-오존 세정 시퀀스들의 중첩
US20140262037A1 (en) Transparent yttria coated quartz showerhead

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980125854.X

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09770703

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2011516399

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20117002159

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 09770703

Country of ref document: EP

Kind code of ref document: A1