WO2009071351A1 - Procédé de polissage mécano-chimique de surfaces structurées composées de régions structurées métalliques et non métalliques - Google Patents

Procédé de polissage mécano-chimique de surfaces structurées composées de régions structurées métalliques et non métalliques Download PDF

Info

Publication number
WO2009071351A1
WO2009071351A1 PCT/EP2008/062442 EP2008062442W WO2009071351A1 WO 2009071351 A1 WO2009071351 A1 WO 2009071351A1 EP 2008062442 W EP2008062442 W EP 2008062442W WO 2009071351 A1 WO2009071351 A1 WO 2009071351A1
Authority
WO
WIPO (PCT)
Prior art keywords
acid
patterned
weight
polishing
salts
Prior art date
Application number
PCT/EP2008/062442
Other languages
English (en)
Inventor
Vamsi Krishna Devarapalli
Suryadevara V. Babu
Richard E. Partch
Vijay Immanuel Raman
Original Assignee
Basf Se
Clarkson University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Basf Se, Clarkson University filed Critical Basf Se
Publication of WO2009071351A1 publication Critical patent/WO2009071351A1/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Definitions

  • the invention is directed to a novel method of chemically-mechanically polishing patterned surfaces composed of metallic and nonmetallic patterned regions.
  • the invention is directed to a novel process for manufacturing integrated circuits (ICs), which novel process makes use of the said novel method.
  • Integrated circuits are made up of millions of active devices formed in or on a semiconductor wafer, as for example, a silicon substrate.
  • the active devices which are initially isolated from one another, are interconnected to form functional circuits and components.
  • the devices are interconnected through the use of well-known multi-level interconnections.
  • Interconnection structures normally have a first layer of metallization, an interconnection layer, a second layer of metallization, and sometimes a third and subsequent level of metallization.
  • Inter-level dielectrics such as doped and undoped silicon dioxide, are used to electrically isolate the different levels of metallization in a silicon substrate or well.
  • the electrical connections between interconnection levels are made through the use of metallized vias.
  • metal contacts are used to form electrical connections between interconnection levels and devices formed in a well.
  • the metal vias and contacts may be filled with various metals and alloys (hereinafter referred to as "conductive metals"), such as titanium, titanium nitride, aluminum copper alloy, aluminum silicon alloy, copper, tungsten, noble metals, as for example, iridium, ruthenium, gold, and platinum, as well as combinations thereof.
  • the metal vias and contacts generally employ an adhesion or barrier layer (hereinafter referred to as "barrier layer”), such as a titanium, titanium nitride, tantalum, or tantalum nitride barrier layer, to adhere the metal layer to the ILD.
  • barrier layer acts as a diffusion barrier to prevent the conductive metal and the ILD from reacting.
  • metallized vias or contacts are formed by a blanket metal deposition followed by a chemical-mechanical polishing (CMP) step.
  • CMP chemical-mechanical polishing
  • via holes are etched through an ILD to interconnection lines or to a semiconductor substrate.
  • the barrier film is formed over the ILD and is directed to the etched via hole. Deposition is continued until the via hole is filled with the blanket- deposited metal. Finally, the excess metal is removed by CMP to form metal vias.
  • the surface of the substrate to be polished is placed in direct contact with a rotating polishing pad.
  • a rotating polishing pad During the polishing process, the pad and the substrate are rotated while a downward force is maintained on the substrate against the pad by a carrier.
  • An abrasive and chemically reactive solution commonly referred to as a "slurry" is applied to the pad during polishing.
  • the slurry initiates the CMP process by chemically reacting with the substrate being polished, and the abrasive acts to mechanically polish the substrate.
  • the abrasive is in the slurry.
  • the CMP process is facilitated by the rotational movement of the pad relative to the substrate (i.e., plate speed) and/or the movement of the substrate relative to the pad (i.e., carrier speed), as the polishing composition or slurry is provided to the pad/substrate interface. Polishing is continued in this manner until the desired material on the substrate is removed.
  • the material composition of the slurry is an important factor in the CMP process.
  • the slurry can be tailored to provide effective polishing to metal layers at desired polishing rates while minimizing surface imperfections, defects, corrosion, and erosion.
  • the slurry can be used to provide controlled polishing selectivities to specific materials used in IC technology. Accordingly, the polishing efficiency of particular slurries is dependent on the composition, as well as the chemical nature of the metals in the vias (i.e., conductive metals), the barrier layer materials, and the ILD materials.
  • Barrier layers of titanium, titanium nitride, and metals like tungsten are similar in chemical nature to typical conductive metals, such as copper. Consequently, a single polishing composition can be used effectively to polish both titanium/titanium nitride barrier layers and copper or aluminum conductive metals at similar rates.
  • Such polishing compositions typically contain an abrasive material, such as silica or alumina, suspended in an aqueous medium with an oxidizing agent, a film-forming agent, and/or other components.
  • U. S. Patent US 5,209,816 discloses a slurry comprising 0.1 to 20% by volume of phosphoric acid, 1 to 30% by volume of hydrogen peroxide, water and a solid abrasive.
  • This prior art slurry is supposed to enable a composite layer of aluminum or an aluminum alloy and an underlying titanium, titanium nitride or titanium- tungsten barrier layer to be polished within a single CMP step.
  • the European patent application EP 0 896 024 A1 discloses slurries comprising inorganc abrasives; compounds that are capable of etching tungsten such as malonic acid and/or oxidizing agents such as hydrogen peroxide; inhibitors of tungsten etching such as alkylammonium ions, as for example, cetyltri methyl ammonium hydroxide or tricaprylmethyl ammonium chloride; and stabilizers such as phosphoric acid or malonic acid.
  • This prior art slurry is supposed to have a high tungsten polishing rate, as well as good polishing rates towards titanium. In addition, the slurry is supposed to exhibit desirable low polishing rates towards the ILD layer.
  • a comparable slurry is known from the U. S. Patent US 5,980,775.
  • This prior art slurry comprises an inorganic abrasive; an oxidizing agent such as hydrogen peroxide; a catalyst for the decomposition of hydrogen peroxide such as ferric nitrate; alkylammonium salts; and stabilizers such as phosphoric acid or malonic acid.
  • this prior art slurry is supposed to have a high tungsten polishing rate, as well as good polishing rates towards titanium. In addition, the slurry exhibits desirable low polishing rates towards the ILD layer.
  • Tantalum barrier layers are significantly different from titanium or titanium nitride barrier layers. Tantalum and tantalum nitride are relatively inert in chemical nature as compared to titanium and titanium nitride. Accordingly, the aforementioned polishing compositions or slurries are significantly less effective at polishing tantalum layers than they are at polishing titanium layers (i.e., the tantalum removal rate is significantly lower than the titanium removal rate). While conductive metals and titanium are conventionally polished with a single composition due to their similar high removal rates, joined polishing of conductive metals and tantalum results in undesirable effects such as oxide erosion and conductive metal dishing. These undesirable effects are due to the significantly higher removal rate of typical conductive metals than of tantalum during the CMP process with the aforementioned polishing composition.
  • the international patent application WO 99/47618 and the related U. S. patent application US 2004/0009671 both disclose a slurry comprising an inorganic abrasive; an oxidizing agent such as hydrogen peroxide; and a complexing agent such as malonic acid.
  • the prior art slurry can also contain surfactants such as cationic surfactants, stabilizers, or dispersing agents. It does not contain a passivating film- forming agent such as benzotriazole (BTA).
  • the examples disclose slurries having a copper-to-tantalum selectivity of 2:1 to about 13:1 with a copper-to-silicon dioxide selectivity >100:1.
  • the method makes use of a first slurry including an inorganic abrasive; an oxidizing agent such as hydrogen peroxide; and a complexing agent such as phosphoric acid. It optionally contains a passivating film-forming agent such as BTA and surfactants such as cationic surfactants, stabilizers, or dispersing agents.
  • the composition of the first slurry is formulated so that it polishes the copper portion of the substrate in high rates.
  • the second slurry which includes the same ingredients, is formulated so that it exhibits a low polishing rate towards copper and a typical polishing rate towards tantalum or tantalum nitride. It is preferred that the second slurry has a copper-to-tantalum selectivity ⁇ 2:1 and most preferably ⁇ 1 :5.
  • the U. S. Patent US 6,083,840 discloses a method for polishing a copper coated wafer containing a tantalum-based adhesion-promoting layer or barrier layer and a silicon- based layer.
  • the method also involves a two-step process, wherein the bulk copper is removed by a first slurry having a high copper-to-tantalum selectivity. It contains an inorganic abrasive; an aliphatic monocarboxylic acid such as propanoic acid; and a polycarboxylic acid such as phthalic acid. It optionally contains surfactants, chelating agents and corrosion inhibitors such as BTA.
  • the first slurry i.e., the copper removing slurry
  • it has a pH below 7, in particular, of from 3 to 5 and a comparatively low abrasive concentration of about 1 to 10% by weight.
  • the removal of the bulk copper exposes a patterned surface which is composed of patterned copper, tantalum and silicon dioxide regions.
  • the patterned surface is polished with a 1 :1 :1 selectivity copper/tantalum/silicon dioxide slurry that has approximately the same polishing rates for the copper layer, the adhesion-promoting layer and a silicon-based substrate.
  • This second slurry is supposed to reduce the amount of dishing and erosion that occurs in the copper trenches and dense copper arrays.
  • the second slurry can contain the same constituents as the first slurry, however, in different amounts. Additionally it can also contain phosphoric acid. It appears to be essential for the second slurry that it has a pH of about 9 to 1 1 and that the concentration of the acid and the oxidizing agent is lower and the concentration of the abrasive is much higher in the second slurry than in the first slurry. Preferably, the abrasive concentration is from 15 to 30% by weight.
  • the European patent application EP 1 081 200 A1 discloses a slurry with properties such that, when polishing a copper film, a tantalum layer and/or tantalum nitride layer and an insulating film under the same conditions the ratio (Rcu/R ⁇ a) between the polishing rate of the copper film (Rcu) and the polishing rate of the tantalum layer and/or tantalum nitride layer (R ⁇ a ) is no greater than 1/20, preferably no greater than 1/30, especially no greater than 1/40 and most preferably no greater than 1/50, and the ratio (Rcu/Rin) between the polishing rate of the copper film (Rcu) and the polishing rate of the insulating film (Ri n ) is from 5 to 1/5, preferably 4 to 1/4, especially 3 to 1/3 and most preferably 2 to 1/2.
  • the slurry contains as polishing agent or abrasive inorganic particles, organic/inorganic composite particles, or mixtures of organic and inorganic particles with zeta potentials of opposite signs. It furthermore contains a polishing rate adjustor such as BTA.
  • the pH of the slurry can be adjusted with phosphoric acid or malonic acid. Normally, the slurry contains no oxidizing agent.
  • an oxidizing agent such as hydrogen peroxide may be included so long as the oxidizing agent and its contents are such that the ratio of the polishing rate for copper films and tantalum layers and/or tantalum nitride layers is within the range specified above.
  • the international patent application WO 01/41973 A2 discloses a two-step CMP process for polishing a substrate comprising tantalum and a conductive metal other than tantalum, wherein at least a portion of the conductive metal is selectively removed by a conductive metal selective polishing composition or slurry in the first step.
  • a tantalum selective polishing composition or slurry comprising a persulfate compound such as ammonium peroxodisulfate, a passivating film-forming agent for the conductive metal such as BTA and a metal oxide abrasive.
  • a persulfate compound such as ammonium peroxodisulfate
  • a passivating film-forming agent for the conductive metal such as BTA and a metal oxide abrasive.
  • Both slurries can also contain other additives such as surfactants including cationic surfactants; polymeric stabilizers or other surface active dispersing agents such as phosphoric acid; pH buffers such as potassium phosphate; and carboxylic acids such as malonic acid.
  • This prior art CMP process is supposed to maximize the planarization efficiency, uniformity, and removal rate and to minimize undesirable effects, such as surface imperfections and damage to the underlying topography.
  • the U. S. patent application US 2001/0006224 A1 discloses a CMP slurry for polishing a substrate comprising an insulating film and a tantalum-containing metal layer on the insulating film.
  • the CMP slurry preferably has a pH of from 3 to 9 and comprises a silica abrasive and 0.01 to 10 % by weight of an inorganic salt such as potassium phosphate.
  • the pH may be adjusted by carboxylic acids such as malonic acid and/or by bases such as potassium hydroxide.
  • the prior art CMP slurry can also contain an oxidizing agent such as hydrogen peroxide, antioxidants such as BTA, dispersing agents, buffers and viscosity modifiers.
  • the composition of the CMP slurry may be preferably adjusted to provide a polishing rate ratio of the copper film to the tantalum metal layer of preferably 3/1 or less, more preferably 2/1 or less, further preferably 1.5/1 or less; and preferably at least 0.9/1 , more preferably at least 1/1.
  • the composition of the CMP slurry may be desirably adjusted to provide a higher polishing rate ratio of the tantalum metal layer to the interlayer insulating film (ILD).
  • ILD interlayer insulating film
  • the U. S. patent application US 2002/0061635 A1 discloses a copper selective slurry for polishing substrates containing in its trenches tantalum or tantalum nitride barrier layers and copper seed layers. After the CMP, the trenches, wherein the trench copper seed layers had been formed, are filled with copper by a normal electroplating process.
  • the prior art copper selective slurry comprises an oxidizing agent such as hydrogen peroxide, a pH controlling agent such as phosphoric acid, and a chelating agent such as BTA at a pH of from 2 to 1 1.
  • the copper selective slurry does not contain an abrasive. This way, the contamination of the recessed areas or the trenches by residual abrasive particles is avoided.
  • the Korean patent KR 2003-0070191 discloses a tantalum selective CMP slurry which is supposed to have a good stability and a remarkably excellent polishing velocity.
  • the prior art CMP slurry comprises 0.1 to 20% by weight of an inorganic abrasive; 0.1 to 10% by weight of an oxidizing agent such as hydrogen peroxide; 0.1 to 5% by weight of an inorganic acid; 0.001 to 2% by weight of a polishing inhibitor; 0.001 to 1 % by weight of a dispersion stabilizer such as a surfactant; 0.1 to 5% by weight of a phosphorous compound such as phosphoric acid; and a pH controller to make the pH be 4 to 11 such as potassium hydroxide.
  • the U. S. patent application US 2005/0194357 A1 discloses a multi-step CMP slurry useful for polishing a tantalum barrier layer and copper from a semiconductor wafer, the said CMP slurry having a pH of from 1.5 to 6 and comprising by weight 0.1 to 30 of an oxidizing agent such as hydrogen peroxide; 0.01 to 3 of an inorganic salt or acid such as a phosphate or phosphoric acid; 0.01 to 4 of an inhibitor such as BTA; 0.1 to 30 of an abrasive, such as an inorganic oxide abrasive, a polymer-coated inorganic oxide abrasive, and inorganic-coated inorganic oxide abrasive, a polymeric abrasive and a coated polymeric abrasive; and 0 to 15 of a complexing agent such as malonic acid.
  • an oxidizing agent such as hydrogen peroxide
  • 0.01 to 3 of an inorganic salt or acid such as a phosphate
  • the prior art CMP slurry can contain a pH controlling agent such as potassium hydroxide.
  • the prior art CMP slurry can be formulated so that its selectivity to tantalum and to copper can be varied over a broad range. Therefore, it is supposed to be cost effective to utilize and to decrease the overall process time.
  • CMP slurries useful for polishing tantalum barrier layers appear to cause less dishing and erosion in copper trenches and dense copper arrays, they frequently cause scratches, pitmarks, divots, delaminations and other surface imperfections, in particular in the surface of the insulating dielectric materials of the ILD and in the surface of the conductive copper wires, i.e., materials having a lower hardness than the barrier materials.
  • the conductive metal and the barrier layer material should all have a very low surface roughness, most preferably below 1 nm as measured by optical profilometry.
  • the prior art discussed above remains completely silent as to how the prior art CMP slurries could be — if at all — modified in order to solve this problem.
  • the novel CMP method should have a high removal rate for the barrier material and a high barrier material-to-conductive metal selectivity and a particularly high barrier material-to-insulating dielectric material selectivity. It should not cause dishing and erosion in the conductive metal trenches and dense conductive metal arrays and scratches, pitmarks, divots, delaminations and other surface defects in the surface regions of a hardness lower than the one of the barrier material. Most important, however, the novel CMP method should lead to an overall surface roughness ⁇ 1 nm as measured by optical profilometry.
  • CMP method of the invention the novel method for chemically-mechanically polishing patterned substrates composed of patterned metallic and nonmetallic regions.
  • ICs integrated circuits
  • process comprises the steps of repeatedly applying layers of metallic and nonmetallic materials to semiconductor wafers and/or previously applied patterned layers, chemically-mechanically polishing the applied layers, patterning the polished layers by microlithographic methods and chemically-mechanically polishing the patterned layers before starting another application/patterning cycle, wherein the CMP method of the invention is used at least once.
  • the CMP method of the invention was excellently suited to chemically-mechanically polish patterned surfaces composed of metallic and nonmetallic patterned regions, in particular, patterned surfaces composed of patterned regions of conductive metals, barrier materials and insulating dielectric materials, and especially the patterned surfaces generated during the manufacture of ICs in the damascene process and composed of patterned regions of copper, barrier materials such as tantalum, tantalum nitride and/or tantalum silicon nitride, and insulating dielectric materials including low-k and ultra-low-k materials.
  • the CMP method of the invention exhibited a high removal rate for the barrier material and a high barrier material-to-conductive metal selectivity and a particularly high barrier material-to-insulating dielectric material selectivity. It did not cause dishing and erosion in the conductive metal trenches and dense conductive metal arrays and scratches, pitmarks, divots, delaminations and other surface defects in the surface regions of a lower hardness than the barrier material. Most important, however, the CMP method of the invention lead to a "supersmooth" surface having an overall surface roughness ⁇ 1 nm as measured by optical profilometry.
  • the IC manufacturing process of the invention had a very high throughput, reliability and reproducibility and yielded ICs having longevity, a particularly dense and exact topography and architecture and an excellent reliability.
  • the present invention is directed to a method for chemically- mechanically polishing patterned surfaces composed of patterned metallic and nonmetallic regions.
  • metallic designates materials exhibiting metallic electrical conductivity, i.e., the resistivity of the metallic materials increases with increasing temperature.
  • nonmetallic designates materials which are electrically insulating, i.e., the materials are not electrically conducting, or which are semiconducting, i.e., their conductivity is usually higher than that of insulating materials but lower than metallic materials and their resistivity decreases with increasing temperature.
  • the patterned surfaces to be polished are composed of patterned regions of conductive metals, barrier materials and insulating dielectric materials.
  • the conductive metals are selected from the group consisting of titanium; zirconium; hafnium; vanadium; niobium; tantalum; chromium; molybdenum; tungsten; ruthenium; osmium; cobalt; rhodium; iridium; nickel; palladium; platinum; copper; silver; gold; aluminum; gallium; indium; thallium; tin; and their alloys. More preferably; the conductive metals are selected from the group consisting of titanium; tantalum; tungsten; ruthenium; osmium; rhodium; iridium; palladium; platinum; copper; silver; gold; aluminum; and their alloys.
  • the conductive metals are selected from the group consisting of tungsten; copper; aluminum; and their alloys. Most preferably, the conductive metal is copper.
  • the copper patterns are produced in the copper damascene process during the manufacture of ICs (cf., for example, the European patent application EP 1 306 415 A2, page 2, paragraph [0012]).
  • the barrier materials are selected from the group consisting of titanium, titanium nitride, tantalum, tantalum nitride and tantalum silicon nitride.
  • the barrier materials are selected from the group consisting of tantalum; tantalum nitride; and tantalum silicon nitride.
  • the barrier material patterns are produced in the damascene process during the manufacture of ICs (cf., for example, the European patent application EP 1 306 415 A2, page 2, paragraph [0012]).
  • the insulating dielectric materials are selected from the group consisting of porous and nonporous, organic and inorganic, silicon-based and non-silicon-based dielectric materials including low-k and ultra-low-k materials, more preferably doped and undoped silicon dioxide; silicon nitride; organic silicate glasses (OSG); fluorosilicate glass (FSG); carbon doped oxide (CDO); silica derived from siloxanes such as tetraethylorthosilicate (TEOS or PTEOS); methylsilsesquioxane (MSQ); organic polymers; and amorphous carbon, most preferably, silicon dioxide and MSQ.
  • the insulating dielectric material patterns are produced in the copper damascene process during the manufacture of ICs [cf. also P. B. Zantye et al.,
  • the semiconductor materials are selected from the group consisting of silicon; germanium; gallium nitride; gallium phosphide; gallium arsenide; gallium selenide; cadmium sulfide; zinc oxide; zinc selenide; silver chloride; bromide and iodide; copper (I) chloride; and indium phosphide, in particular silicon.
  • the aforementioned semiconductor materials, in particular, silicon are preferably used for preparing semiconductor wafers.
  • the CMP method of the invention can be carried out with the methods and the equipment customarily used for the CMP in the fabrication of wafers with ICs.
  • layers of the above described metallic and nonmetallic materials are repeatedly applied to semiconductor wafers or to the surfaces of previously applied patterned layers. Thereafter, the applied layers are chemically-mechanically polished, patterned by microlithographic methods and again chemically-mechanically polished before another application/patterning cycle is started.
  • a typical equipment for the CMP consists of a rotating platen which is covered with a polishing pad.
  • the wafer is mounted on a carrier or chuck with its upper side down facing the polishing pad.
  • the carrier secures the wafer in the horizontal position.
  • This particular arrangement of polishing and holding device is also known as the hard-platen design.
  • the carrier may retain a carrier pad which lies between the retaining surface of the carrier and the surface of the wafer which is not being polished. This pad can operate as a cushion for the wafer.
  • the larger diameter platen is also generally horizontally positioned and presents a surface parallel to that of the wafer to be polished. Its polishing pad contacts the wafer surface during the planarization process.
  • the aqueous chemical mechanical polishing composition to be used in the CMP process of the invention is applied to the interface between the polishing pad and the patterned surface to be polished as a continuous stream or in dropwise fashion.
  • the polishing pad is brought into contact with the patterned surface, and the pad is moved in relation to the substrate. This is customarily accomplished by rotating both the carrier and the platen around their respective shafts extending perpendicular from the carrier and the platen.
  • the rotating carrier shaft may remain fixed in position relative to the rotating platen or may oscillate horizontally relative to the platen.
  • the direction of rotation of the carrier typically, though not necessarily, is the same as that of the platen.
  • the speeds of rotation for the carrier and the platen are generally, though not necessarily, set at different values.
  • the temperature of the platen is set at temperatures between 10 and 70 0 C.
  • the composition is chosen such that the chemical mechanical polishing composition comprises, based on the total amount of the polishing composition, 1 to 10% by weight, preferably 2 to 8% by weight, more preferably 2.5 to 7.5% by weight, and most preferably 3 to 7% by weight of at least one, in particular one, abrasive (A).
  • the abrasive (A) is a particulate material consisting of isolated, aggregated or agglomerated organic particles, inorganic particles, inorganic/inorganic composite particles or organic/inorganic composite particles.
  • the function of the abrasive (A) is to mechanically abrade and remove the material of the layers to be polished.
  • the primary particle size of the abrasive particles (A) can be varied broadly and, therefore, advantageously adapted to the individual CMP process of the invention.
  • the primary particle size is in the range of from 5 to 100 nm, more preferably of from 7 to 80 nm, and most preferably of from 10 to 70 nm.
  • the mean particle size of the abrasive particles (A) can also be varied broadly and, therefore, also advantageously adapted to the individual CMP process of the invention.
  • the mean particle size is in the range of from 10 to 3000 nm, more preferably of from 20 to 1000 nm, and most preferably of from 50 to 700 nm.
  • the particle size and the particle size distribution i.e., the mean particle size can be measured by observation under a transmission electron microscope.
  • the inorganic abrasive particles (A) are preferably selected from the group consisting of doped and undoped silica; alumina; ceria; zirconia; titania; manganese oxide; silicon carbide; silicon nitride; silicon carbonitride; boron carbide; tungsten carbide; zirconium carbide; aluminum boride; tantalum carbide; titanium carbide; and diamond.
  • They can be synthesized by gas phase processes, preferably by a fumed process, i.e., a high-temperature flame hydrolysis process, or by a process of Nanophase Technology Company, i.e., a metal vapor deposition oxidation process. They can also be synthesized by sol-gel methods involving synthesis by hydrolysis and condensation of metal alkoxides such as alkoxysilanes or titanium alkoxides, or by inorganic colloidal methods in which the impurities are removed by purification.
  • oxide abrasive particles (A) can be coated with oxides or hydroxides of a different type to yield inorganic/inorganic composite particles (A).
  • the organic abrasive particles (A) are selected from the group consisting of thermoplastic resins or thermosetting or duroplastic resins.
  • the thermoplastic resins are selected from the group consisting of thermoplastic resins or thermosetting or duroplastic resins.
  • (A) are preferably selected from the group consisting of polyvinyl chloride; polystyrene and styrene-based copolymers; polyacetals; saturated polyesters; polyamides; polycarbonates; polyolefins and olefin-based copolymers such as polyethylene, polypropylene, poly-1-butene, and poly-4-methyl-1-pentene; phenoxy resins; (meth)acrylic resins such as polymethyl methacrylate and acrylic-based copolymers.
  • thermosetting or duroplastic resins (A) are preferably selected from the group consisting of epoxy resins and urethane resins.
  • the organic/inorganic composite particles (A) need only be integrally formed to such an extent so that the organic particles and inorganic particles do not easily separate during polishing. Consequently, there are no particular restrictions on their type or structure.
  • the composite particles (A) can be prepared by polycondensation of an alkoxysilane, aluminum alkoxide or titanium alkoxide in the presence of polymer particles, preferably polymer particles consisting of the aforementioned thermoplastic and thermosetting resins, and bonding of the polycondensates on at least the surface of the polymer particles.
  • the polycondensates may be directly bonded to the functional groups of the polymer particles, or they may be bonded via silane coupling agents.
  • the polycondensates do not necessarily need to be chemically bonded to the polymer particles, but the three-dimensionally formed polycondensates may be physically held on the surface of the polymer particles.
  • the aforementioned inorganic particles in particular the silica or alumina particles can be used in lieu of the polycondensates.
  • the inorganic particles may also be held by intertwining with the polycondensates. They may also be chemically bonded to the polymer particles by their functional groups, in particular hydroxyl groups.
  • the organic/inorganic composite particles (A) may have their particles bonded by electrostatic force.
  • the zeta potentials of polymer particles are usually negative across the entire pH range, or across a wide pH range except for the low pH range; however, by using polymer particles with carboxylic, sulfonic or phosphonic acid groups, it is possible to obtain polymer particles with a more definite negative zeta potential.
  • Polymer particles with amino groups have a positive zeta potential in specific pH ranges.
  • the zeta potentials of inorganic particles are highly pH-dependent and have an isoelectronic point at which the potential is 0; the sign of the zeta potential reverses around that point.
  • the composite particles (A) may also be prepared by the polycondensation of alkoxysilanes, aluminum alkoxides or titanium alkoxides in the presence of composite particles integrally composed in this manner by electrostatic force, and bonding of the obtained polycondensates on at least the surface of the composite particles to form the composite particles (A).
  • organic/inorganic composite particles (A) may also be prepared by coating the aforementioned inorganic particles (A) with polymeric resins such as the ones described above.
  • the composite particles (A) used may be of one type, or they may be a combination of two or more types. They may also be used in combination with either the aforementioned inorganic particles (A) or the aforementioned organic particles (A).
  • the composition is chosen such that the chemical mechanical polishing composition comprises, based on the total amount of polishing composition, 0.1 to 5% by weight, preferably 0.2 to 3 % by weight, more preferably 0.4 to 2% by weight, and most preferably 0.5 to 1.5% by weight of at least one, in particular one, oxidizing agent (B) containing at least one, in particular one, peroxide group (-O-O-).
  • Inorganic and organic peroxides can be used as the oxidizing agent (B).
  • the inorganic oxidizing agent (B) is selected from the group consisting of hydrogen peroxide and its adducts such as urea hydrogen peroxide; sodium peroxide; percarbonates; perborates; monopersulfates; and dipersulfates.
  • the organic oxidizing agent (B) is selected from the group consisting of benzoyl peroxide; peracetic acid; perbenzoic acid; t-butyl hydroperoxide and di-t-butyl peroxide.
  • Hydrogen peroxide is most preferably used as the oxidizing agent (B).
  • the composition is chosen such that the chemical mechanical polishing composition comprises, based on the total amount of polishing composition, 0.1 to 5% by weight, preferably 0.2 to 4% by weight, more preferably 0.3 to 3% by weight, and most preferably 0.5 to 2% by weight of at least one organic acid (C) selected from the group consisting of oxalic acid and polycarboxylic acids, preferably tricarboxylic and dicarboxylic acids, most preferably dicarboxylic acids, comprising at least one, preferably one, moiety selected from the group consisting of aliphatic, cycloaliphatic and aromatic moieties, more preferably aliphatic moieties, and most preferably saturated aliphatic moieties.
  • C organic acid
  • polycarboxylic acids (C) examples include oxalic acid, malonic acid, succinic acid, glutaric acid, adipic acid, pimelic acid, maleic acid, hexahydrophthalic acid, phthalic acid, and trimellitic acid, preferably malonic acid, adipic acid and phthalic acid, most preferably malonic acid.
  • the composition is chosen such that the chemical mechanical polishing composition comprises, based on the total amount of the composition, 0.01 to 3% by weight, preferably 0.05 to 2.5% by weight, more preferably 0.1 to 2% by weight, and most preferably 0.5 to 1.5% by weight of at least one, preferably one, passivating film- forming agent (D).
  • the chemical mechanical polishing composition comprises, based on the total amount of the composition, 0.01 to 3% by weight, preferably 0.05 to 2.5% by weight, more preferably 0.1 to 2% by weight, and most preferably 0.5 to 1.5% by weight of at least one, preferably one, passivating film- forming agent (D).
  • the passivating film-forming agent (D) is capable of forming out of its aqueous solution and/or dispersion a passivating film on top of the surface of a metal or of an alloy of the metal.
  • the metal is copper.
  • the passivating film-forming agent (D) is selected from the group of heteropentacycles and heterohexacycles, more preferably from the group consisting of benzothiazole; benzothiadiazole; benzotriazole (BTA); triazaindolizine; diazine; triazine; and their derivatives.
  • Suitable passivating film-forming agents are disclosed in the European patent applications EP 1 081 200 A1 , page 4, paragraph [0033] to page 5, paragraph [0042] and EP 1 544 901 A1 , page 5, paragraphs [0029] and [0030].
  • BTA Benzotriazole
  • TTA tolyltriazole
  • BTA is most preferably used.
  • the composition is chosen such that the chemical mechanical polishing composition comprises, based on the total amount of the polishing composition, 0.001 to 2% by weight, preferably 0.005 to 1.8% by weight, more preferably 0.01 to 1.6% by weight and most preferably 0.05 to 1.4% by weight of at least one, in particular one, cationic surfactant (E) selected from the group consisting of quaternary ammonium salts; quaternary phosphonium salts; tertiary sulfonium salts; pyridinium salts; imidazolinium salts; and oxazolinium salts, in particular quaternary ammonium salts.
  • E cationic surfactant
  • the quaternary ammonium salts (E) are selected from the group consisting of Esterquat (cf. Rompp Online 2007, “cationic surfactants”), distearyldimethyl ammonium chloride and bromide; cetyltrimethyl ammonium chloride and bromide; tricaprylmetyl ammonium chloride and bromide; and cetyltriethyl ammonium chloride and bromide, most preferably cetyltriethyl ammonium bromide (CTAB).
  • Esterquat cf. Rompp Online 2007, “cationic surfactants”
  • CTAB cetyltriethyl ammonium bromide
  • the chemical mechanical polishing composition comprises at least one pH controlling agent (F) in an amount sufficient to adjust the pH of the polishing composition between 4 and 8, preferably between 4.5 and 7.5 and most preferably between 5 and 7.
  • the pH controlling agent (F) is selected from the group consisting of the above-mentioned oxalic acid and the polycarboxylic acids (C); monocarboxylic acids; organic phosphonic and sulfonic acids; inorganic acids; and organic and inorganic bases.
  • Suitable monocarboxylic acids (F) are formic acid, acetic acid, and propionic acid.
  • Suitable organic phosphonic and sulfonic acids are methyl, ethyl, propyl and benzene sulfonic or phosphonic acid.
  • Suitable inorganic acids (F) are sulfuric acid, nitric acid, phosphoric acid, and perchloric acid, in particular perchloric acid and phosphoric acid.
  • Suitable organic bases are organic amines and quaternary alkylammonium hydroxides such as tetramethyl ammonium hydroxide (TMAH), in particular TMAH.
  • TMAH tetramethyl ammonium hydroxide
  • suitable inorganic bases (F) are ammonia, potassium hydroxide and potassium carbonate, in particular potassium hydroxide.
  • the chemical mechanical polishing composition to be used in the CMP method and the IC manufacturing process of the invention can contain optional additives (G) customarily used in the art of CMP in effective amounts.
  • the optional additives (G) and their amounts are chosen such that they do not negatively influence the advantageous effects of the chemical mechanical polishing composition to be used in the CMP method and the IC manufacturing process of the invention.
  • levelers such as ammonium chloride
  • tantalum removing agents as disclosed U.S. patent application US 2003/0181354 A1 , page 2, paragraphs [0014] and [0015].
  • wafers with ICs comprising copper damascene patterns can be obtained which have an excellent functionality and an exceptionally smooth and even surface, i.e., a "supersmooth" surface having an the overall surface roughness ⁇ 1 nm as measured by optical profilometry.
  • optical profilometry is a customary and standard, non-contact and non-destructive, three-dimensional method of measuring the surface roughness using the interference of light. Suitable instruments are commercially available, as for example, from ZYGOTM.
  • An aqueous chemical mechanical polishing composition comprising, based on its total amount, 5% by weight of colloidal silica having a mean particle size of 50 nm, 1 % by weight of hydrogen peroxide, 1 % by weight of malonic acid, 1.2% by weight of benzotriazole (BTA) and 0.4% by weight of cetyltriethyl ammonium bromide (CTAB) was selected for the experiments.
  • the pH of the CMP composition was adjusted to 6 using perchloric acid and KOH.
  • the surface roughness of the polished films was determined by optical profilometry. In each case, the scanned area was 2 ⁇ m x 2 ⁇ m. Thus, the surface roughness of the tantalum film was 0.6 nm, the one of the MSQ film 0.7 nm, the one of the copper film 0.9 nm, and the one of the silicon dioxide film 0.6 nm. None of the films exhibited surface defects such as scratches, pitmarks, divots and delaminations.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

L'invention concerne un procédé de polissage mécano-chimique de surfaces structurées composées de régions structurées métalliques et non métalliques qui comprend les étapes consistant à : (1) sélectionner une composition aqueuse de polissage mécano-chimique qui comprend, par rapport à la quantité totale de la composition de polissage, (A) 1 à 10 % en poids d'un abrasif ; (B) 0,1 à 5 % en poids d'un oxydant contenant un groupe peroxyde ; (C) 0,1 à 5 % en poids d'un acide organique choisi parmi l'acide oxalique et les acides polycarboxyliques comprenant des fonctions aliphatiques, cycloaliphatiques ou aromatiques ; (D) 0,01 à 3 % en poids d'un agent filmogène de passivation ; (E) 0,001 à 2 % en poids d'un tensioactif cationique choisi parmi les sels d'ammonium quaternaire ; les sels de phosphonium quaternaires ; les sels de sulfonium quaternaires ; les sels de pyridinium ; les sels d'imidazolinium ; et les sels d'oxazolinium ; et (F) un agent de contrôle du pH destiné à ajuster le pH entre 4 et 8 ; (2) appliquer la composition de polissage mécano-chimique choisie à l'interface entre une surface structurée composée de régions structurées métalliques et non métalliques et un tampon de polissage ; (3) mettre le tampon de polissage en contact avec la surface structurée et déplacer le tampon par rapport au substrat ; (4) réduisant ainsi la rugosité de surface de la surface structurée à moins de 1 nm, telle que mesurée par profilométrie optique. L'invention concerne également un procédé de fabrication de circuits intégrés sur des semi-conducteurs étagés qui utilise ledit procédé.
PCT/EP2008/062442 2007-12-06 2008-09-18 Procédé de polissage mécano-chimique de surfaces structurées composées de régions structurées métalliques et non métalliques WO2009071351A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US99280507P 2007-12-06 2007-12-06
US60/992,805 2007-12-06

Publications (1)

Publication Number Publication Date
WO2009071351A1 true WO2009071351A1 (fr) 2009-06-11

Family

ID=40227620

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2008/062442 WO2009071351A1 (fr) 2007-12-06 2008-09-18 Procédé de polissage mécano-chimique de surfaces structurées composées de régions structurées métalliques et non métalliques

Country Status (2)

Country Link
TW (1) TW200927901A (fr)
WO (1) WO2009071351A1 (fr)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2518759A1 (fr) * 2009-12-25 2012-10-31 Mitsubishi Gas Chemical Company, Inc. Agent de gravure et procédé de fabrication de dispositif à semi-conducteur l'utilisant
EP2554612A1 (fr) * 2011-08-01 2013-02-06 Basf Se Procédé de fabrication de dispositifs à semi-conducteur comportant le polissage mécanique chimique de matériel de germanium élémentaire et/ou Si1-xGex en présence d'une composition CMP comportant une valeur pH de 3,0 à 5,5
EP2554613A1 (fr) * 2011-08-01 2013-02-06 Basf Se Procédé de fabrication de dispositifs à semi-conducteur comportant le polissage mécanique chimique de matériel de germanium élémentaire et/ou si1-xgex en présence d'une composition cmp comportant un composé organique spécifique
JP2013042123A (ja) * 2011-07-20 2013-02-28 Hitachi Chemical Co Ltd 研磨剤及び基板の研磨方法
WO2013112587A1 (fr) * 2012-01-24 2013-08-01 Applied Materials, Inc. Suspension épaisse pour planariser une résine photosensible
WO2013112490A1 (fr) * 2012-01-24 2013-08-01 Applied Materials, Inc. Boue pour applications relatives au cobalt
US8679980B2 (en) 2009-05-06 2014-03-25 Basf Se Aqueous metal polishing agent comprising a polymeric abrasiv containing pendant functional groups and its use in a CMP process
US8747687B2 (en) 2009-05-06 2014-06-10 Basf Se Aqueous polishing agent comprising solid polymer particles and two complexing agents and its use in a process for polishing patterned and unstructured metal surfaces
WO2018058347A1 (fr) * 2016-09-28 2018-04-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polissage mécanochimique du tungstène à l'aide d'un procédé et d'une composition faisant appel à des composés de phosphonium quaternaire
CN113430065A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112342534A (zh) * 2020-11-11 2021-02-09 桂林漓佳金属有限责任公司 一种用于铜合金表面钝化处理的钝化剂

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010005009A1 (en) * 1999-12-28 2001-06-28 Yasuaki Tsuchiya Slurry for chemical mechanical polishing
US20020095872A1 (en) * 2000-11-24 2002-07-25 Nec Corporation Chemical mechanical polishing slurry

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010005009A1 (en) * 1999-12-28 2001-06-28 Yasuaki Tsuchiya Slurry for chemical mechanical polishing
US20020095872A1 (en) * 2000-11-24 2002-07-25 Nec Corporation Chemical mechanical polishing slurry

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8679980B2 (en) 2009-05-06 2014-03-25 Basf Se Aqueous metal polishing agent comprising a polymeric abrasiv containing pendant functional groups and its use in a CMP process
US8747687B2 (en) 2009-05-06 2014-06-10 Basf Se Aqueous polishing agent comprising solid polymer particles and two complexing agents and its use in a process for polishing patterned and unstructured metal surfaces
US9177827B2 (en) 2009-12-25 2015-11-03 Mitsubishi Gas Chemical Company, Inc. Etchant and method for manufacturing semiconductor device using same
EP2518759A4 (fr) * 2009-12-25 2014-11-05 Mitsubishi Gas Chemical Co Agent de gravure et procédé de fabrication de dispositif à semi-conducteur l'utilisant
EP2518759A1 (fr) * 2009-12-25 2012-10-31 Mitsubishi Gas Chemical Company, Inc. Agent de gravure et procédé de fabrication de dispositif à semi-conducteur l'utilisant
JP2013042123A (ja) * 2011-07-20 2013-02-28 Hitachi Chemical Co Ltd 研磨剤及び基板の研磨方法
EP2554613A1 (fr) * 2011-08-01 2013-02-06 Basf Se Procédé de fabrication de dispositifs à semi-conducteur comportant le polissage mécanique chimique de matériel de germanium élémentaire et/ou si1-xgex en présence d'une composition cmp comportant un composé organique spécifique
EP2554612A1 (fr) * 2011-08-01 2013-02-06 Basf Se Procédé de fabrication de dispositifs à semi-conducteur comportant le polissage mécanique chimique de matériel de germanium élémentaire et/ou Si1-xGex en présence d'une composition CMP comportant une valeur pH de 3,0 à 5,5
WO2013112587A1 (fr) * 2012-01-24 2013-08-01 Applied Materials, Inc. Suspension épaisse pour planariser une résine photosensible
WO2013112490A1 (fr) * 2012-01-24 2013-08-01 Applied Materials, Inc. Boue pour applications relatives au cobalt
WO2018058347A1 (fr) * 2016-09-28 2018-04-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polissage mécanochimique du tungstène à l'aide d'un procédé et d'une composition faisant appel à des composés de phosphonium quaternaire
JP2019537277A (ja) * 2016-09-28 2019-12-19 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド 第四級ホスホニウム化合物を含有する方法及び組成物を使用したタングステンの化学機械研磨
CN113430065A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
CN113430065B (zh) * 2020-03-23 2024-06-07 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途

Also Published As

Publication number Publication date
TW200927901A (en) 2009-07-01

Similar Documents

Publication Publication Date Title
WO2009071351A1 (fr) Procédé de polissage mécano-chimique de surfaces structurées composées de régions structurées métalliques et non métalliques
US8574330B2 (en) Chemical mechanical polishing aqueous dispersion and chemical mechanical polishing method for semiconductor device
TWI434955B (zh) 含鎢基材的化學機械平坦化方法
EP1660606B1 (fr) Particules abrasives pour polissage mecanico-chimique
KR101144419B1 (ko) 금속-함유 기판의 화학 기계적 평탄화를 위한 방법 및 조성물
US7678702B2 (en) CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
TW202007753A (zh) 用於降低的氧化物侵蝕的鎢化學機械研磨
JP2005175437A (ja) Pvnoを有する化学的機械的平坦化組成物および関連使用方法
EP1685202A1 (fr) Composition de polissage chimique et mecanique, et son procede d'utilisation
EP1909312A1 (fr) Abrasif et processus pour produire une unité de circuit intégré à semi-conducteurs
JP2004502823A (ja) 金属cmpのための研磨組成物
WO2005086213A1 (fr) Agent de polissage et procédé de polissage
KR102312219B1 (ko) 화학 기계적 연마 텅스텐 버핑 슬러리
JP2001210611A (ja) 金属を平坦化するためのcmpスラリー
US20080148652A1 (en) Compositions for chemical mechanical planarization of copper
US20190382619A1 (en) Tungsten Chemical Mechanical Polishing Compositions
KR20100065304A (ko) 금속용 연마액 및 연마 방법
US11066575B2 (en) Chemical mechanical planarization for tungsten-containing substrates
US20080171441A1 (en) Polishing compound and method for producing semiconductor integrated circuit device
US8697577B2 (en) Method and composition for chemical mechanical planarization of a metal or a metal alloy
JP2010161201A (ja) 化学機械研磨用水系分散体およびそれを用いた化学機械研磨方法、化学機械研磨用水系分散体の製造方法
CN100468647C (zh) 研磨剂以及研磨方法
KR100850878B1 (ko) 금속막의 화학-기계적 연마 슬러리 조성물
JP2006165142A (ja) 化学機械研磨用水系分散体及び化学機械研磨方法
JP4118080B2 (ja) 金属基板研磨用粒子および研磨材

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08804382

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08804382

Country of ref document: EP

Kind code of ref document: A1