TW200927901A - A method for chemically-mechanically polishing patterned surfaces composed of metallic and nonmetallic patterned regions - Google Patents

A method for chemically-mechanically polishing patterned surfaces composed of metallic and nonmetallic patterned regions Download PDF

Info

Publication number
TW200927901A
TW200927901A TW97138467A TW97138467A TW200927901A TW 200927901 A TW200927901 A TW 200927901A TW 97138467 A TW97138467 A TW 97138467A TW 97138467 A TW97138467 A TW 97138467A TW 200927901 A TW200927901 A TW 200927901A
Authority
TW
Taiwan
Prior art keywords
acid
patterned
group
weight
metal
Prior art date
Application number
TW97138467A
Other languages
Chinese (zh)
Inventor
Vijay Immanuel Raman
Vamsi Krishna Devarapalli
Suryadevara V Babu
Richard E Partch
Original Assignee
Basf Se
Univ Clarkson
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Basf Se, Univ Clarkson filed Critical Basf Se
Publication of TW200927901A publication Critical patent/TW200927901A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

A method for chemically-mechanically polishing patterned surfaces composed of patterned metallic and nonmetallic regions comprising the steps of: (1) selecting an aqueous chemical mechanical polishing composition comprising, based on the total amount of the polishing composition, (A) 1 to 10% by weight of an abrasive; (B) 0. 1 to 5% by weight an oxidizing agent containing a peroxide group; (C) 0. 1 to 5% by weight of an organic acid selected from oxalic acid and polycarboxylic acids comprising aliphatic, cycloaliphatic or aromatic moieties; (D) 0. 01 to 3% by weight of a passivating film-forming agent; (E) 0. 001 to 2% by weight of a cationic surfactant selected from quaternary ammonium salts; quaternary phosphonium salts; tertiary sulfonium salts pyridinium salts; imidazolinium salts; and oxazolinium salts; and (F) a pH controlling agent to adjust the pH between 4 and 8; (2) applying the selected chemical mechanical polishing composition to the interface between a patterned surface composed of patterned metallic and nonmetallic regions and a polishing pad; and (3) bringing the polishing pad into contact with the patterned surface and moving the pad in relation to the substrate; (4) thereby reducing the surface roughness of the patterned surface to less than 1 nm as measured by optical profilometry; and a process for manufacturing integrated circuits on semiconductor wafers making use of the said method.

Description

200927901 九、發明說明: 【發明所屬之技術領域】 本發明係關於由金屬及非金屬圖案化區域所構成之圖案 化表面的新穎化學機械研磨方法。 而且’本發明係關於製造積體電路(IC)之新穎製程,該 新穎製程使用該新穎方法。 【先前技術】 Ο ❹ 積體電路(ic)係由數百萬個在半導體晶圓(例如,矽基 板)中或其上形成之主動器件組成。該等主動器件初始彼 此分離,其經互連而形成功能電路及組件。藉由使用熟知 之多層次互連將該等器件互連。 互連結構通常具有第一金屬化層、互連層、第二金屬化 層且有時具有第二及後續金屬化層次。使用層間介電質 (ILD)(例如摻雜與非摻雜二氧化矽)來使矽基板或孔内之不 同金屬化層次電絕緣。 藉由使用金屬化通路進行互連層次間之電連接。同樣, 可使用金屬觸點在互連層次與形成於孔中之器件之間形成 電連接。金屬通路及觸點可填充有多種金屬及合金(下文 稱為"導電金屬"),例如鈦、氮化欽、銘銅合金、銘石夕合 金、銅、鎢、貴金屬(例如銥、釕、金、及鉑)、及其电 合。 、, 層 著 金屬通路及觸點—般使用㈣或障壁層(下文稱為"障壁 ")(例如鈦、氮化欽、叙、或氮化组障壁層)將金屬層黏 於1LD。在接觸層次上’障壁層充當擴散障壁以防止導 134828.doc 200927901 電金屬與ILD反應。 在一 1C製造過程中,可藉由毯覆式金屬沈積繼而進行化 ’ 學機械研磨(CMP)步驟形成金屬通路或觸點。在典型製程 中’通孔經蝕刻穿過ILD至互連線或半導體基板。然後, 在ILD上形成障壁膜並將其引入經蝕刻通孔内。繼續沈積 直至通孔充滿經毯覆沈積金屬。最後,藉由CMP移除過量 • 金屬從而形成金屬通路。 φ 在典型CMP製程中,欲研磨基板表面經放置與旋轉研磨 塾直接接觸。在研磨製程中’旋轉塾及基板同時藉由載體 在抵靠墊之基板上維持向下力。在研磨期間,將磨料與化 學反應性溶液(通常稱為"漿液”)施加至該墊。漿液藉由盘 正研磨之基板發生化學反應來開始CMP製程,且磨料起到 機械研磨基板的作用。通常,磨料存於漿液中。由於將研 磨組合物或漿液提供給墊/基板之介面,因此可藉由使塾 相對於基板進行旋轉運動(亦即板速度)及/或基板相對於墊 Ο 運動(亦即載體速度)來促進CMP製程。以該種方式持續研 磨直至移除基板上之期望材料。 漿液材料組成係CMP製程之重要因素。端視氧化劑、磨 料、及其他有用添加劑之選擇而定,漿液可適於以期望研 磨速率對金屬層提供有效研磨同時最小化表面瑕疵、缺 陷、腐蝕、及侵蝕。而且,漿液可用於給冗技術中所用特 定材料提供受控研磨選擇性。因此,具體裝液之研磨效能 取決於通路金屬(亦即導電金屬)、障壁層材料、及材 料之組成、以及化學性質。 134828.doc 200927901 . 鈦氮化鈦與金屬(例如鎢)之障壁層化學性質與典型導 •電金屬(例如鋼)類似。因此,單—研磨组合物可有效用於 以相似速率研磨欽/氮化欽障壁層與銅或料電金屬二 者。该等研磨組合物通常含有懸浮於水性介質中之磨料材 ;(!如一氧化石夕或氧化銘)及氧化齊卜成膜劑、及/或且他 組份。 因此,美國專利第us 5,2〇9,816號揭示一種浆液,其包 ❹含0·1-20體積%碟酸、1-30體積。/。過氧化氫、水及固體磨 :°據認為該先前卫㈣液能在單—CMP步驟内對銘或銘 , 下伏欽氮化欽或欽-鎮障壁層之複合層進行研 磨。 歐洲專利申請案第0 896 024 Am揭示聚液,其包含無 機磨料、可蝕刻鎢之化合物(例如丙二酸)及/或氧化劑(例 如過氧化氫)、鎢蝕刻抑制劑(例如烷基銨離子,例如十六 &基_曱基氫氧化錄或三辛基甲基氣化錢)、及穩定劑(例 ® 如磷酸或丙一酸)。據認為該先前工藝漿液具有高鎢研磨 速率以及對鈦之良好研磨速率。而且,據認為該漿液對 ILD層展示合意低的研磨速率。 相當的漿液可見於美國專利第us 5,98〇,775號中。該先 則工藝漿液包含無機磨料、氧化劑(例如過氧化氬)、用於 分解過氧化氫之催化劑(例如硝酸鐵)、烷基銨鹽、及穩定 劑(例如磷酸或丙二酸)。此外,據認為該先前工藝漿液具 有高鎢研磨速率以及對鈦之良好研磨速率。而且’該漿液 對ILD層展示合意低的研磨速率。 134828.doc 200927901 • …而,鈕障壁層明顯不同於鈦或氮化鈦障壁層。與鈦及 氮化鈦相比,鈕及氮化鈕在化學性質上相對不活潑。 此,上述研磨,组♦物或漿液研磨组層之⑨能顯著低於其^ 磨鈦層之效能(亦即鈕移除速率顯著低於鈦移除逮率雖 然由於導電金屬與鈦具有類似高移除速率而通常用單—会 合物進行研磨,但導電金屬與鈕之聯合研磨會產生不期= 之影響,例如氧化物侵蝕及導電金屬凹陷。該等不期望之 〇 景彡響係由於在·上述研餘合物進行之CMP製程期間典 型導電金屬之移除速率明顯高於鈕。 、 已提出多種研磨組合物或漿液以減輕該等問題。 因此,國際專利甲請案第w〇 99/476〗8號及相關美國專 利申請案第US 2004/0009671號二者均揭示包含無機磨 料、氧化劑(例如過氧化氫)、及錯合劑(丙二酸)之漿液。 先前工藝漿液亦可含有表面活性劑(例如陽離子表面活 劑)、穩定劑、或分散劑》其不含有鈍化成膜劑,例如苯 〇 并三唑(B T A)。據認為其不能顯著增加二氧化矽研磨速 率’但在受控條件下可以良好速率研磨鋼、欽、氮化欽、 組、及氮化组層並可有效控制欽、銅、氣化欽、組及氮化 鈕層之研磨選擇性。因此,該等實例揭示具有2:1至約13:1 之銅-鈕選擇性及>100: i之銅_二氧化矽選擇性之漿液。 國際專利申請案第WO 00/0056}號及第w〇 〇〇/〇〇567號 二者均揭示研磨含有銅及鈕或氮化钽或钽與氮化钽二者之 基板的方法。該方法使用包括無機磨料、氧化劑(例如過 氧化氫)、及錯合劑(例如磷酸)之第一漿液。其視情況含有 134828.doc •10- 200927901 鈍化成膜劑(例如BTA)及表面活性劑(例如陽離子表面活性 劑)、穩定劑、或分散劑。調配第一漿液之組合物以便其 可以高速率研磨基板之銅部分。調配包括相同成份之第二 漿液以使其對銅展示低研磨速率且對钽或氮化钽展示一般 研磨速率。較佳地第二漿液之銅-鈕選擇性<2:丨且最佳地 <1:5。 美國專利第US 6,083,840號揭示研磨經鋼塗佈晶圓的方 ❹ 法’該晶圓含有以组為主之黏著促進層或障壁層及以s夕為 主的層。該方法亦涉及兩步製程,其中藉由具有高銅_钽 選擇性之第一漿液移除大多數銅。其含有無機磨料、脂肪 族單羧酸(例如丙酸)及多元羧酸(例如鄰笨二甲酸)。其視 情況含有表面活性劑 '螯合劑及腐钱抑制劑(例如Bta)。 對於第一漿液(亦即銅移除漿液)’可看出關鍵係pH值低於 7、具體而言為3-5且約卜1 〇重量%之相當低的磨料濃度。 移除大多數銅後暴露由圖案化銅、钽及二氧化石夕區域構成 〇 之圖案化表面。在第二步中,用1:1:1選擇性銅/钽/二氧化 矽漿液研磨該圖案化表面,該漿液對銅層、黏著促進層及 以矽為主之基板具有大約相同研磨速率。據認為該第二漿 液減少銅溝道及密集銅陣列中出現之凹陷及侵蝕量。第二 - 漿液可含有與第一漿液之相同組份,但數量不同。而且其 亦可含有磷酸。對於第二漿液,可看出關鍵係其pH值為約 9-11且在第二漿液中酸與氧化劑濃度比在第一漿液中低且 在第二漿液中磨料濃度遠比在第一漿液中高。較佳地,磨 料濃度為15-30重量%。 134B28.do, 200927901 歐洲專利申請案第EP 1 081 200 A1號揭示具有以下性質 之漿液:當在相同條件下研磨銅膜、组層及/或氮化鉅層 及絕緣膜時,銅膜研磨速率(Rcu)與钽層及/或氮化鈕層研 磨速率(RTa)間之比率(RCu/RTa)不超過1/2〇、較佳地不超過 • 1/3〇、尤其不超過1/40且最佳地不超過1/50,且銅膜研磨 速率(RCu)與絕緣膜研磨速率(Rin)間之比率(R“/Rin)為5_ . 1/5、較佳地‘Η4、尤其3-1/3且最佳地2-1/2。據認為該先 〇 前工藝漿液尤其對障壁金屬表面可達成有效研磨並可得到 充分平面化及高精度最終表面。該漿液含有無機粒子、有 機/無機複合粒子、或具有相反符號ς電位之有機與無機粒 子混合物作為研磨劑或磨料^其還含有研磨速率調節劑例 如ΒΤΑ。可使用磷酸或丙二酸調節漿液{)1|值。通常,該漿 液不含氧化劑。然而,可包括氧化劑(例如過氧化氫),只 要氧化劑及其含量使其銅膜與鈕層及/或氮化鈕層研磨速 率之比率在上文指定範圍内即可。 ❹國際專利中請案第w〇 G1/41973 Α2號揭示研磨包含姐及 除鈕以外的導電金屬之基板的兩步CMP製程,其中在第一 步中藉由導電金屬選擇性研磨組合物或漿液選擇性移除至 少-部分導電金屬。在第二步中,藉由包含過硫酸鹽化合 物(例如過硫酸銨)、用於導電金屬之鈍化成膜劑(例如 ΒΤΑ)及金屬氧化物磨料之扭選擇性研磨組合物或漿液選擇 性移除至;一部分纽。兩種漿液亦可含有其他添加劑,例 如表面活性劑(包括陽離子表面活性劑)、聚合物穩定劑或 其他表面活性分散劑(例如磷酸)、ΡΗ緩衝劑(例如磷酸 134828.doc 12 200927901 鉀)、及羧酸(例如丙二酸P據認為該先前工藝CMp製程可 使平坦化效能、均勻度、及移除速率最大化並使不期望影 響(例如表面瑕疵及對下伏表面形貌之損傷)最小化。 美國專利申請案第US 2001/0006224 A1號揭示用於研磨 包含絕緣膜及該絕緣膜上含钽金屬層之基板的CMp漿液。 該CMP漿液之pH值較佳為3-9並包含二氧化矽磨料及〇 01_ 10重量%之無機鹽(例如_酸_)。pH值可藉由羧酸(例如丙 ❹ 二酸)及/或藉由鹼(例如氫氧化鉀)來進行調節。該先前工 藝CMP漿液亦可含有氧化劑(例如過氧化氫)、抗氧化劑(例 如BTA)、分散劑、緩衝劑及黏度調節劑。該漿液之組 成可較佳經調節以提供較佳3/1或更低、更佳地2/1或更 低、進一步佳地1.5/1或更低、且較佳地至少〇 g/ι、更佳地 至少1/1之銅膜對組金屬層之研磨速率比率。而且,該 CMP聚液之組成可合意地調節以提供較高钽金屬層對層間 絕緣膜(ILD)之研磨速率比率❶據認為該先前工藝cmp漿 〇 液可用於以較高研磨速率(亦即以較高產量)製造具有良好 電性質之可靠鑲嵌電連接部件,同時防止凹陷及侵触。 美國專利申請案第IJS 2002/0061635 A1號揭示用於研磨 在其溝道中含有组或氮化钽障壁層及銅晶種層之基板的銅 選擇性漿液。CMP之後,藉由一般電鍍製程用銅填充其中 已形成溝道銅晶種層之溝道。該先前工藝銅選擇性漿液包 含氧化劑(例如過氧化氫)、pH控制劑(例如磷酸)、及螯合 劑(例如BTA) ’其pH為2-11。較佳地,該銅選擇性漿液不 含磨料。該途徑可避免殘餘磨料粒子污染凹陷區域或溝 134828.doc 13 200927901 道。 韓國專利第KR 2003-0070191號揭示钽選擇性CMP漿 液’據認為其具有良好穩定性及極其優良的研磨速度。該 先前工藝CMP漿液包含0.1—20重量%無機磨料、0.1-10重量 %氧化劑(例如過氧化氫)、〇.1_5重量%無機酸、〇.〇〇 1-2重 量%研磨抑制劑、〇.〇〇1_1重量%分散穩定劑(例如表面活性 劑)、0.1-5重量%含磷化合物(例如磷酸)、及使pH值為4_u ^ 之PH值控制劑(例如氫氧化鉀)。 作者 Nam-Hoon Kim、Jong-Heun Lim、Sang-Yong Kim、及 Eui-Goo Chang 在其文章"Effects of phosphoric acid stabilizer on copper and tantalum nitride CMP" (Material Letters, 57 (2003),第 4601-4604頁)中已證明向 含有無機磨料及過氧化氫之CMP漿液中添加0.5重量%磷酸 可顯著增加過氧化氫穩定性及氮化钽移除速率而不影響銅 移除速率® 〇 美國專利申請案第US 2005/0194357 A1號揭示用於研磨 來自半導體晶圓之钽障壁層與銅的多步CMP漿液,該CMP 衆液之pH值為1.5-6並以重量計包含〇1_3〇%氧化劑(例如過 氧化氫)、0.01-3%無機鹽或無機酸(例如磷酸鹽或磷酸)、 〇.〇1_4%抑制劑(例如BTA)、0.1-30%磨料(例如無機氧化物 磨料、經聚合物塗敷之無機氧化物磨料、及經無機物塗敷 之無機氧化物磨料、聚合物磨料及經塗敷聚合物磨料)及〇_ 15%錯合劑(例如丙二酸)。而且,該先前工藝CMP漿液可 含有pH值控制劑’例如氫氧化鉀。該先前工藝CMP漿液可 134828.doc 14 200927901 經調配以使其對鈕與銅之選擇性可在寬範圍内變化。因 此’據認為利用其具有成本效益並可降低整個製程時間。 雖然用於研磨鈕障壁層之先前工藝CMP漿液在銅溝道及 Φ集銅陣列似乎可導致較少凹陷及侵蝕,但其經常會導致 J痕凹坑、凹痕、脫層及其他表面瑕疲,尤其在ild之 絕緣介電材料表面及在導電銅線(亦即硬度比障壁材料低 之材料)之表面。 ❹ 而且’高度期望既可避免凹陷及侵蝕以及到痕、凹坑、 凹痕、脫層及其他表面瑕疵,而且亦可達成尤其低之整體 表面粗糙度,亦即在ILD表面,導電金屬與障壁層材料皆 應具有極低表面粗糙度,最佳地由光學輪廓儀所量測低於 1 nm。然而,以上討論的先前工藝未能回答如何能(如果 月b)改良該先前工藝CMP漿液以解決該問題。 【發明内容】 本發月之目的係&供化學機械研磨由金屬及非金屬圖案 © 化區域所構成之圖案化表面的新穎方法,具體而言,該等 圖案化表面係由導電金屬、障壁材料及絕緣介電材料之圖 案化區域構成,且尤其該等圖案化表面係在製造ic過程中 在鑲嵌製程中產生並由銅、障壁材料(例如鈕 '氮化钽及/ 或氮化组石夕)、及絕緣介電材料(包括似及超似材们之圖 案化區域構成。 該新穎CMP方法對於障壁材料應具有高移除速率且具有 高障壁材料·對·導電金屬選擇性及尤其高之障壁材料务 絕緣介電材料選擇性。其不應在導電金屬溝道及密 I34828.doc 200927901 • I屬陣列中導相陷及侵m在硬度低於-種障壁杖料 的表面區域中導致到痕、凹坑、凹痕、脫層及其他表面缺 陷。然^,最重要的是該新賴CMP方法應產生< ⑽之整 體表面粗糙度(藉由光學輪廓儀所量測)。 最後但並非不重要’本發明之目的係提供在半導體晶圓 上製造1C之新穎製程,該新賴製程具有極高生產量、可靠 性及重現ί·生並可產生壽命長、特別密集及精確表面形貌與 ❹ 構造以及優良可靠性之1C。 因此,已發現由圖案化金屬及非金屬區域構成之圖案化 表面的化學機械研磨的新賴方法,該方法包含以下步驟: (1)選擇水性化學機械研磨組合物,其基於該研磨組合物 之總量包含: (Α) 1-10重量%之至少一種磨料; (Β) 0.1-5重量%之至少__種含有至少一個過氧化物基 團(-0-0-)的氧化劑; ❹ (C) 至少—種選自由草酸及多元叛酸(包 3至-個選自由脂肪族、脂環族及芳香族部分 組成之群的部分)組成之群的有機酸; • ⑼〇.01-3重置%至少-種鈍化成膜劑; (E) 0.001 2重量/。至少一種選自由四級銨鹽、四級鱗 ^ 一級錡鹽、吡啶鏽鹽、咪唑啉鏽鹽、及噁唑 琳鏽鹽組成之群的陽離子表面活性劑;及 (F) 至v種其量足以將該研磨組合物之pH值調節 於4至8之間的pH控制劑; 134828.doc 200927901 (2) 將該所選擇化學機械研磨組合物施加至由圖案化金屬 及非金屬區域構成之圖案化表面與研磨塾之間之介 面;及 (3) 使該研磨墊與該圖案化表面接觸並相對於基板移動該 塾; (4)藉此使該圖案化表面之表面粗糙度降至由光學輪廓儀 所量測低於1 nm。BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a novel chemical mechanical polishing method for patterned surfaces composed of metal and non-metal patterned regions. Moreover, the present invention relates to a novel process for fabricating an integrated circuit (IC) which uses the novel method. [Prior Art] The 积 积 integrated circuit (ic) consists of millions of active devices formed in or on a semiconductor wafer (for example, a germanium substrate). The active devices are initially separated from each other and interconnected to form functional circuits and components. The devices are interconnected by using well-known multi-level interconnects. The interconnect structure typically has a first metallization layer, an interconnect layer, a second metallization layer and sometimes a second and subsequent metallization level. Interlayer dielectric (ILD) (e.g., doped and undoped ceria) is used to electrically insulate the different metallization layers within the germanium substrate or via. Electrical connections between interconnect levels are made by using metallization paths. Also, metal contacts can be used to form an electrical connection between the interconnect levels and the devices formed in the holes. The metal vias and contacts can be filled with a variety of metals and alloys (hereinafter referred to as "conductive metals"), such as titanium, nitride, copper, alloys, copper, tungsten, precious metals (eg 铱, 钌, gold, and platinum), and their electrical integration. The metal layer is adhered to the metal via and the contact layer (four) or the barrier layer (hereinafter referred to as "barrier ") (for example, titanium, nitride, quartz, or nitride barrier layer) to adhere the metal layer to the 1LD. At the contact level, the barrier layer acts as a diffusion barrier to prevent the electrical metal from reacting with the ILD. In a 1C manufacturing process, a metal path or contact can be formed by blanket metallization followed by a mechanical polishing (CMP) step. In a typical process, the vias are etched through the ILD to the interconnect or semiconductor substrate. Then, a barrier film is formed on the ILD and introduced into the etched via. Continue to deposit until the via is filled with blanket deposited metal. Finally, excess metal is removed by CMP to form a metal via. φ In a typical CMP process, the surface of the substrate to be polished is placed in direct contact with the rotating abrasive 塾. During the polishing process, the crucible and the substrate are rotated while maintaining a downward force on the substrate against the pad by the carrier. During the grinding, an abrasive and a chemically reactive solution (commonly referred to as a "slurry") are applied to the pad. The slurry begins a CMP process by chemical reaction of the substrate being polished, and the abrasive acts as a mechanical polishing substrate. Typically, the abrasive is present in the slurry. By providing the abrasive composition or slurry to the pad/substrate interface, the ruthenium can be rotated relative to the substrate (i.e., the plate speed) and/or the substrate relative to the pad. Movement (ie, carrier speed) to facilitate the CMP process. Continue grinding in this manner until the desired material on the substrate is removed. The slurry material composition is an important factor in the CMP process, depending on the choice of oxidant, abrasive, and other useful additives. The slurry may be adapted to provide effective grinding of the metal layer at a desired polishing rate while minimizing surface imperfections, defects, corrosion, and erosion. Moreover, the slurry can be used to provide controlled milling selectivity to particular materials used in redundancy techniques. The grinding efficiency of a specific liquid depends on the passage metal (ie, conductive metal), the material of the barrier layer, and the composition of the material. And chemical properties. 134828.doc 200927901 . The barrier properties of titanium titanium nitride and metal (such as tungsten) are similar to those of typical conductive metals such as steel. Therefore, single-grinding compositions can be effectively used to similar Rate grinding Qin/nitride barrier layer and copper or electrical metal. These abrasive compositions usually contain an abrasive material suspended in an aqueous medium; (! such as oxidized stone or oxidized) and oxidized film In the U.S. Patent No. 5,2,9,816, the disclosure of which is incorporated herein by reference. , water and solid grinding: ° It is believed that the former Wei (4) liquid can grind the composite layer of Ming or Ming, Xia Qin Qin or Qin-Zhang barrier layer in the single-CMP step. European Patent Application No. 0 896 024 Am discloses a poly-liquid comprising an inorganic abrasive, a compound which etches tungsten (for example malonic acid) and/or an oxidizing agent (for example hydrogen peroxide), a tungsten etching inhibitor (for example an alkylammonium ion, for example a 16& Base-mercapto hydroxide or trioctylmethyl gasification), And stabilizers (eg, such as phosphoric acid or propionic acid). The prior art slurry is believed to have a high tungsten milling rate and a good polishing rate for titanium. Moreover, it is believed that the slurry exhibits a desirable low polishing rate for the ILD layer. The slurry can be found in U.S. Patent No. 5,98,775. The prior art slurry comprises an inorganic abrasive, an oxidant (e.g., argon peroxide), a catalyst for decomposing hydrogen peroxide (e.g., ferric nitrate), an alkyl group. Ammonium salts, and stabilizers (e.g., phosphoric acid or malonic acid). In addition, the prior art slurry is believed to have a high tungsten milling rate and a good polishing rate for titanium. And 'the slurry exhibits a desirable low polishing rate for the ILD layer. 134828.doc 200927901 • ..., the button barrier layer is significantly different from the titanium or titanium nitride barrier layer. Compared to titanium and titanium nitride, the button and the nitride button are relatively inactive in chemical properties. Therefore, the above-mentioned grinding, group or slurry grinding layer 9 can be significantly lower than the effectiveness of the titanium layer (that is, the button removal rate is significantly lower than the titanium removal rate although the conductive metal has a similar height to titanium. The rate of removal is usually milled with a single-agglomerate, but the combined grinding of the conductive metal and the button can have an effect of unexpected = such as oxide erosion and conductive metal dents. The removal rate of a typical conductive metal during the CMP process carried out by the above research mixture is significantly higher than that of the button. Various abrasive compositions or slurries have been proposed to alleviate such problems. Therefore, International Patent Application No. W〇99/ A slurry comprising an inorganic abrasive, an oxidizing agent (e.g., hydrogen peroxide), and a complexing agent (malonic acid) is disclosed in both the 476 and the related U.S. Patent Application No. US 2004/0009671. The prior art slurry may also contain a surface. An active agent (eg, a cationic surfactant), a stabilizer, or a dispersant that does not contain a passivating film former such as benzotriazole (BTA). It is believed that it does not significantly increase cerium oxide. Grinding rate', but under controlled conditions, the steel, Qin, nitriding, group, and nitride layers can be polished at a good rate and the grinding selectivity of the Qin, copper, gasification, group and nitride button layers can be effectively controlled. Thus, the examples disclose a slurry having a copper-button selectivity of from 2:1 to about 13:1 and a copper-cerium oxide selectivity of >100: i. International Patent Application No. WO 00/0056} And pp. 〇〇〇 〇〇 567 both disclose a method of grinding a substrate containing copper and a button or tantalum nitride or tantalum and tantalum nitride. The method uses an inorganic abrasive, an oxidant such as hydrogen peroxide. And a first slurry of a complexing agent (eg, phosphoric acid), which optionally contains 134828.doc •10-200927901 passivating film former (eg, BTA) and a surfactant (eg, cationic surfactant), stabilizer, or dispersion The first slurry composition is formulated so that it can grind the copper portion of the substrate at a high rate. A second slurry comprising the same composition is formulated to exhibit a low polishing rate for copper and a general polishing rate for tantalum or tantalum nitride. Jiadi second slurry copper - button selectivity & l t; 2: 最佳 and optimally <1: 5. U.S. Patent No. 6,083,840 discloses a method of grinding a steel coated wafer. The wafer contains a group-based adhesion promoting layer or barrier layer and a layer dominated by s. This method also involves a two-step process in which most of the copper is removed by a first slurry having a high copper 钽 selectivity, which contains an inorganic abrasive, an aliphatic monocarboxylic acid (eg, propionic acid). And a polycarboxylic acid (such as o-p-dicarboxylic acid), which optionally contains a surfactant 'chelating agent and a decoction inhibitor (such as Bta). For the first slurry (ie, copper removal slurry), the key is visible. A relatively low abrasive concentration of pH below 7, specifically 3-5 and about 1% by weight. The removal of most of the copper exposes the patterned surface of the patterned copper, tantalum and dioxide regions. In the second step, the patterned surface is ground with a 1:1:1 selective copper/ruthenium/cerium oxide slurry having approximately the same polishing rate for the copper layer, the adhesion promoting layer, and the substrate based on ruthenium. The second slurry is believed to reduce the amount of sag and erosion present in the copper channel and dense copper array. Second - the slurry may contain the same components as the first slurry, but in varying amounts. Moreover, it may also contain phosphoric acid. For the second slurry, it can be seen that the critical pH is about 9-11 and the acid to oxidant concentration ratio in the second slurry is lower in the first slurry and the abrasive concentration in the second slurry is much higher than in the first slurry. . Preferably, the abrasive concentration is from 15 to 30% by weight. 134B28.do, 200927901 European Patent Application No. EP 1 081 200 A1 discloses a slurry having the following properties: copper film polishing rate when grinding copper film, group layer and/or nitride giant layer and insulating film under the same conditions The ratio (RCu/RTa) between the (Rcu) and the ruthenium layer and/or the nitride button layer polishing rate (RTa) is not more than 1/2 〇, preferably not more than 1/3 〇, especially not more than 1/40 And preferably not more than 1/50, and the ratio between the copper film polishing rate (RCu) and the insulating film polishing rate (Rin) (R "/Rin) is 5 - 1 / 5, preferably 'Η 4, especially 3 -1/3 and optimally 2-1/2. It is believed that the pre-dip process slurry can achieve effective grinding especially on the barrier metal surface and can obtain a sufficiently planarized and highly accurate final surface. The slurry contains inorganic particles, organic /Inorganic composite particles, or mixtures of organic and inorganic particles having opposite signs of zeta potential as abrasives or abrasives. They also contain a grinding rate modifier such as cerium. The pH of the slurry can be adjusted using phosphoric acid or malonic acid. The slurry does not contain an oxidizing agent. However, an oxidizing agent (such as hydrogen peroxide) may be included, only The ratio of the oxidant and its content to the polishing rate of the copper film and the button layer and/or the nitride button layer is within the range specified above. ❹ International Patent Application No. w〇G1/41973 Α2 discloses that the grinding contains the sister and A two-step CMP process for a substrate of a conductive metal other than a button, wherein at least a portion of the conductive metal is selectively removed by a conductive metal selective abrasive composition or slurry in a first step. In the second step, by inclusion Selectively grounding compositions or slurries of persulfate compounds (eg, ammonium persulfate), passivating film formers (eg, cerium) for conductive metals, and metal oxide abrasives; a portion of the two. It may also contain other additives such as surfactants (including cationic surfactants), polymeric stabilizers or other surface active dispersants (such as phosphoric acid), guanidine buffers (such as phosphoric acid 134828.doc 12 200927901 potassium), and carboxylic acids. (eg, malonic acid P) It is believed that this prior art CMp process maximizes planarization performance, uniformity, and removal rate and causes undesirable effects (eg, surface 瑕疵The damage of the underlying surface topography is minimized. US Patent Application No. US 2001/0006224 A1 discloses a CMp slurry for polishing a substrate comprising an insulating film and a ruthenium-containing metal layer on the insulating film. Preferably, it is 3-9 and comprises cerium oxide abrasive and _01_10% by weight of an inorganic salt (e.g., _acid _). The pH may be by a carboxylic acid (e.g., propylene terephthalate) and/or by a base (e.g. The potassium oxyhydroxide slurry can also be adjusted. The prior art CMP slurry can also contain an oxidizing agent (such as hydrogen peroxide), an antioxidant (such as BTA), a dispersing agent, a buffering agent, and a viscosity modifier. The composition of the slurry may preferably be adjusted to provide preferably 3/1 or less, more preferably 2/1 or less, further preferably 1.5/1 or less, and preferably at least 〇g/ι, More preferably, at least 1/1 of the ratio of the polishing rate of the copper film to the metal layer of the group. Moreover, the composition of the CMP poly-fluid can be desirably adjusted to provide a higher rate of polishing of the interlayer insulating film (ILD) to the interlayer insulating film (ILD). It is believed that the prior art cmp slurry can be used at a higher polishing rate (ie, Reliable inlaid electrical connection components with good electrical properties are produced at higher yields while preventing dents and intrusions. A copper selective slurry for polishing a substrate having a group or a tantalum nitride barrier layer and a copper seed layer in its channel is disclosed in U.S. Patent Application Serial No. IJS 2002/0061635 A1. After CMP, the channel in which the channel copper seed layer has been formed is filled with copper by a general electroplating process. The prior art copper selective slurry comprises an oxidizing agent (e.g., hydrogen peroxide), a pH controlling agent (e.g., phosphoric acid), and a chelating agent (e.g., BTA) having a pH of 2-11. Preferably, the copper selective slurry contains no abrasive. This approach prevents residual abrasive particles from contaminating the recessed areas or trenches 134828.doc 13 200927901. Korean Patent No. KR 2003-0070191 discloses that a 钽selective CMP slurry is considered to have good stability and an extremely excellent polishing rate. The prior art CMP slurry comprises 0.1-20% by weight of inorganic abrasive, 0.1-10% by weight of oxidant (such as hydrogen peroxide), 11_5 wt% of inorganic acid, 〇.〇〇1-2% by weight of grinding inhibitor, 〇. 〇〇1_1% by weight of a dispersion stabilizer (for example, a surfactant), 0.1 to 5% by weight of a phosphorus-containing compound (for example, phosphoric acid), and a pH control agent (for example, potassium hydroxide) having a pH of 4 μm. Authors Nam-Hoon Kim, Jong-Heun Lim, Sang-Yong Kim, and Eui-Goo Chang in their article "Effects of phosphoric acid stabilizer on copper and tantalum nitride CMP" (Material Letters, 57 (2003), pp. 4601 Addition of 0.5% by weight phosphoric acid to a CMP slurry containing inorganic abrasives and hydrogen peroxide has been shown to significantly increase hydrogen peroxide stability and tantalum nitride removal rate without affecting copper removal rates. 4 US Patent Application US 2005/0194357 A1 discloses a multi-step CMP slurry for grinding a barrier layer from a semiconductor wafer with copper having a pH of 1.5-6 and comprising 〇1_3〇% oxidant by weight ( For example, hydrogen peroxide), 0.01-3% inorganic or inorganic acid (such as phosphate or phosphoric acid), 〇1_4% inhibitor (such as BTA), 0.1-30% abrasive (such as inorganic oxide abrasive, polymer) Coated inorganic oxide abrasives, inorganic coated inorganic oxide abrasives, polymeric abrasives and coated polymeric abrasives) and 〇 15% complexing agents (eg, malonic acid). Moreover, the prior art CMP slurry can contain a pH controlling agent such as potassium hydroxide. The prior art CMP slurry can be formulated to have a wide range of button and copper selectivity. Therefore, it is considered to be cost effective and reduce the overall process time. Although the prior art CMP slurry used to polish the button barrier layer appears to cause less dishing and erosion in the copper channel and Φ copper array, it often causes J-mark pits, dents, delamination, and other surface fatigue. Especially on the surface of the insulating dielectric material of ild and on the surface of the conductive copper wire (that is, the material having a lower hardness than the barrier material). ❹ And 'highly desirable to avoid dents and erosion as well as to marks, pits, dents, delaminations and other surface flaws, but also to achieve a particularly low overall surface roughness, ie on the surface of the ILD, conductive metal and barrier The layer material should have a very low surface roughness, optimally measured by an optical profilometer below 1 nm. However, the prior art discussed above failed to answer how the previous process CMP slurry could be modified (if month b) to solve the problem. SUMMARY OF THE INVENTION The purpose of this month is to introduce a novel method for chemical mechanical polishing of patterned surfaces composed of metal and non-metal patterned regions. Specifically, the patterned surfaces are made of conductive metal and barrier. The patterned regions of the material and the insulating dielectric material, and in particular the patterned surfaces are produced during the fabrication process by the copper, barrier material (eg, the button 'tantalum nitride and/or nitrided stone) during the fabrication process.夕), and insulating dielectric materials (including the patterned regions of the like and super-like materials. The novel CMP method should have a high removal rate for the barrier material and have a high barrier material · · · conductive metal selectivity and especially high The barrier material is insulative dielectric material selectivity. It should not be in the conductive metal channel and in the surface array of the I 28 348 348 27 27 27 27 348 348 348 348 348 348 I I 348 I 348 348 348 348 348 348 348 348 348 348 348 348 348 348 348 348 348 348 348 348 To marks, pits, dents, delaminations, and other surface defects. The most important thing is that the new CMP method should produce the overall surface roughness of (10) (measured by an optical profilometer). Last but not least 'The object of the present invention is to provide a novel process for manufacturing 1C on a semiconductor wafer that has a very high throughput, reliability and reproducibility and can produce long life, particularly dense and precise Surface topography and ❹ structure and excellent reliability 1C. Therefore, a new method for chemical mechanical polishing of patterned surfaces composed of patterned metal and non-metal regions has been found, the method comprising the following steps: (1) selecting water a chemical mechanical polishing composition comprising: (Α) 1-10% by weight of at least one abrasive based on the total amount of the abrasive composition; (Β) 0.1-5% by weight of at least __ species containing at least one peroxide group An oxidizing agent of the group (-0-0-); ❹ (C) at least one selected from the group consisting of oxalic acid and a multi-repulsive acid (package 3 to a moiety selected from the group consisting of aliphatic, alicyclic and aromatic moieties) Group of organic acids; • (9) 〇.01-3 reset % at least one type of passivating film former; (E) 0.001 2 weight / at least one selected from the group consisting of quaternary ammonium salts, quaternary scales, first-order cerium salts, pyridine Rust salt, imidazoline rust salt, and oxazoline a cationic surfactant of a group consisting of salts; and (F) to v a pH controlling agent in an amount sufficient to adjust the pH of the abrasive composition between 4 and 8; 134828.doc 200927901 (2) Selecting a chemical mechanical polishing composition applied to the interface between the patterned surface of the patterned metal and non-metallic regions and the polishing pad; and (3) contacting the polishing pad with the patterned surface and moving the crucible relative to the substrate (4) thereby reducing the surface roughness of the patterned surface to less than 1 nm as measured by an optical profilometer.

下文中,將化學機械研磨由圖案化金屬及非金屬區域構 成之圖案化基板之新穎方法稱為"本發明之CMp方法"。 此外,已發現製造積體電路(IC)之新穎製程,該製程包 3 乂下步豸.向半導體晶圓及/或先前已施力口圖案化層上 重複施加金屬及非金屬材料層,化學機械研磨該等所施加 層,藉由微影蝕刻方法圖案化該等經研磨層,及在開始另 一施加/圖案化循環之前化學機械研磨該等圖案化層,其 中本發明之CMP方法使用至少一次。 在下文中,製造積體電路之新潁製程稱為"本發明之K 製造製程"。 本發明之優點 鑒於上述先前工藝,今人螫岑*山十 人騖奇並出乎熟習此項技術者預 料的是,本發明隱含之目的可基 的了藉由本發明之CMP方法並藉 由本發明之1C製造製程來解決。 具體而言’令人驚奇地本發明 不發月之CMP方法極其適用於化 學機械研磨由金屬及非今屬阁安儿广 非金屬圖案化區域所構成之圖案化表 面、具體而言由導電金眉、暗辟从 屬$壁材料及絕緣介電材料之圖 134828.doc 200927901 案化區域構成之圖案化表面、且尤其在製造1(:過程中鑲嵌 製程中所產生並由銅、障壁材料(例如组、氮化组及/或氮 化组矽)、及絕緣介電材料(包括低k及超低k材料)之圖案化 區域構成之圖案化表面。 本發明之CMP方法對障壁材料展示高移除速率並展示高 障壁材料-對-導電金屬選擇性及尤其高之障壁材料_對_絕 緣介電材料選擇性。其不會在導電金屬溝道及密集導電金 ❹ 屬陣列中導致凹陷及侵蝕以及在硬度低於障壁材料的表面 區域中導致刮痕、凹坑、凹痕、脫層及其他表面缺陷。然 而,最重要的是本發明之CMP方法可產生整體表面粗糙度 <1 nm(藉由光學輪廓儀所量測)之"超光滑"表面。 鑒於含過氧化物基團之氧化劑(如過氧化氫)易於增加所 研磨銅表面粗糙度之事實[亦參見ρ· B. Zantye等人, "Chemical mechanical planarization for microelectronics applications" > Materials Science and Engineering, R 45 ❾ (2004) ’ 188-191 ’ ”5.4· Cu polishing in acidic slurry"],整 體表面粗糙度降低(由光學輪廓儀所量測低於1 nm)尤其令 人驚訝。 最後但並非不重要,本發明之1C製造製程具有極高生產 量、可靠性及重現性並可產生具有壽命長、特別密集及精 確表面形貌與構造以及優良可靠性之1C。 【實施方式】 在其最廣泛態樣中,本發明係關於由圖案化金屬及非金 屬區域組成之圖案化表面的化學機械研磨方法。 134828.doc -18· 200927901 纟本發明上下文t,術語"金屬,,指展*金料電性之材 科,亦即該等金屬材料之電阻率隨溫度增加而增加。 . 在本發明上下文中術語”非金屬’,指電絕緣的材料亦即 該等材料不導電,或其為半導體,亦即其導電性通常高於 '絕緣材料但低於金屬材料且其電阻率隨溫度增加而降低。 較佳地,欲研磨之圖案化表面由導電金屬、障壁材料及 絕緣介電材料之圖案化區域構成。 〇 較佳地,導電金屬選自由以下組成之群:鈦、锆'铪、 釩、鈮、鈕、鉻、鉬、鎢、釕、餓、鈷、铑、銥、鎳、 鈀、鉑、銅、銀、金、鋁、鎵、銦、鉈、錫、及其合金。 更佳地,導電金屬係選自由以下組成之群:鈦、钽、鎢、 釕、锇、铑、銥、鈀、鉑、銅、銀、金、鋁、及其合金。 甚至更佳地,導電金屬係選自由鎢、銅、鋁、及其合金組 成之群。最佳地,導電金屬係銅。具體而言,銅圖案係在 1C製造過程中之銅鑲嵌製程中產生(參見例如歐洲專利申 〇 請案第Ep 1 306 415 A2號,第2頁,第[〇〇 12]段)。 較佳地,障壁材料係選自由鈦、氮化鈦、钽、氣化组及 氮化钽矽組成之群。最佳地,障壁材料係選自由鈕、氮化 组、及氮化组石夕組成之群。具體而言,障壁材料圖案係在 1C製造過程中之鑲嵌製程中產生(參見例如歐洲專利申請 案第£? 1306 415八2號,第2頁,第[0012]段)。 較佳地,絕緣介電材料係選自由以下組成之群.:多孔及 無孔、有機及無機、以矽為主及不以矽為主之介電材料 (包括低k及超低k材料)’更佳地摻雜與未摻雜二氧化石夕、 134828.doc -19· 200927901 氮化矽、有機矽酸鹽玻璃(OSG)、氟矽酸鹽玻璃(FSG)、碳 摻雜氧化物(CDO)、源自矽氧烷(例如原矽酸四乙酯(TEOS ‘ 或PTEOS))的二氧化石夕、曱基倍半矽氧烷(MSQ)、有機聚 合物、及非晶形碳,最佳地為二氧化矽及MSQ。具體而 言’絕緣介電材料圖案係在製造1C過程中之銅鑲嵌製程中 產生[亦參見 Ρ· B. Zantye 等人,"Chemical mechanical· planarization for microelectronics applications",Materials ❹ Science and Engineering,R 45 (2004),152-186 , "4. Chemical mechanical polishing of l〇w_k materials·']。 較佳地’半導體材料係選自由以下組成之群:矽、鍺、 氮化鎵、磷化鎵、砷化鎵、硒化鎵、硫化鎘、氧化鋅、硒 化鋅、氣化銀、溴化銀及碘化銀、氣化銅⑴、及磷化銦, 尤其為<5夕。 上述半導體材料(尤其為矽)較佳用於製造半導體晶圓。 本發明之CMP方法可使用通常在製造含IC之晶圓中用於 Q CMP的方法及設備來實施。 在本發明之1C製造製程中,將上述金屬及非金屬材料層 重複施加於半導體晶圓或預先已施加圖案化層表面。其 後,化學機械研磨所施加層,藉由微影蝕刻方法圖案化並 在開始另一施加/圖案化循環之前再次化學機械研磨。 如業内所知,用於CMP之典型設備由蓋有研磨墊之旋轉 壓板組成。將晶圓安裝於載體或炎盤上並使其上側向下朝 向研磨墊。載體將晶圓固定於水平位置。研磨與固持哭件 之此特定排列亦稱為硬壓板設計。載體可將載體塾保持於 134828.doc •20- 200927901 載體保持表面與晶圓未研磨表面之間。該墊可作為晶圓的 墊層運行。 在載體下方,亦通常將較大直徑的壓板水平定位並提供 與欲研磨晶圓平行的表面。在平坦化製程中,研磨墊與晶 圓表面接觸。在研磨製程中,將欲用於本發明CMp製程之 水性化學機械研磨組合物作為連續流或以逐滴方式施加於 研磨墊與欲研磨圖案化表面間之介面上。 ❹ Ο 使研磨墊與圖案化表面接觸,且使該墊相對於基板運 動。此通常藉由使載體與壓板二者繞其垂直於載體及壓板 延伸的相應軸旋轉來完成。旋轉載體轴可在相對於旋轉壓 板保持固定於適當位置或可相對於壓板水平振盪。載體之 旋轉方向通常(但並非必須)與壓板旋轉方向相同。載體斑 壓板之旋轉速度通常(但並非必須)設定為不同值。 ^ 通常,將壓板溫度設定在介於1〇與7〇。〇之間之溫度。 為進一步詳細說明,可參老Hereinafter, a novel method of chemical mechanical polishing of a patterned substrate composed of patterned metal and non-metal regions is referred to as "CMp method of the present invention". In addition, a novel process for fabricating an integrated circuit (IC) has been discovered which has a step of applying a metal and a non-metallic layer to a semiconductor wafer and/or a previously applied patterned layer, chemistry. Mechanically grinding the applied layers, patterning the polished layers by a lithographic etching process, and chemically grinding the patterned layers prior to initiating another application/patterning cycle, wherein the CMP method of the present invention uses at least once. Hereinafter, a new process for manufacturing an integrated circuit is referred to as "K manufacturing process of the present invention". Advantages of the Invention In view of the above prior art, it is expected that the object of the present invention can be based on the CMP method of the present invention and by the present invention. The inventive 1C manufacturing process is solved. Specifically, 'the surprisingly non-monthly CMP method of the present invention is extremely suitable for chemical mechanical polishing of patterned surfaces composed of metal and non-metal patterned regions, in particular by conductive gold. Figure 134828.doc 200927901 The patterned surface of the patterned surface, and especially in the manufacturing process (made in the process of inlaying and by copper, barrier material ( For example, a patterned, nitrided and/or nitrided group, and a patterned surface of an insulating dielectric material (including low-k and ultra-low-k materials). The CMP method of the present invention exhibits high barrier material. Removal rate and exhibit high barrier material-to-conductive metal selectivity and especially high barrier material_p-insulating dielectric material selectivity. It does not cause dents in conductive metal trenches and dense conductive metal iridium arrays. Corrosion and scratches, pits, dents, delamination and other surface defects in surface areas where the hardness is lower than the barrier material. However, the most important thing is that the CMP method of the present invention can produce an overall surface roughness Degree <1 nm (measured by optical profilometer) "super-smooth" surface. In view of the fact that peroxide-containing oxidants (such as hydrogen peroxide) tend to increase the surface roughness of the ground copper [ See also ρ·B. Zantye et al., "Chemical mechanical planarization for microelectronics applications"> Materials Science and Engineering, R 45 ❾ (2004) '188-191 ' 5.4· Cu polishing in acidic slurry"], overall surface Roughness reduction (measured below 1 nm by an optical profilometer) is especially surprising. Last but not least, the 1C manufacturing process of the present invention has extremely high throughput, reliability and reproducibility and can result in long life. 1C, particularly dense and precise surface topography and construction, and excellent reliability. [Embodiment] In its broadest aspect, the present invention relates to chemical mechanical polishing of patterned surfaces composed of patterned metal and non-metallic regions. Method 134828.doc -18· 200927901 纟 The context of the invention t, the term "metal, refers to the material of the metal material, that is, the gold The resistivity of a material increases with increasing temperature. The term "non-metal" in the context of the present invention means that the electrically insulating material, ie the material is not electrically conductive, or it is a semiconductor, ie its conductivity is generally higher than 'insulation The material is lower than the metal material and its electrical resistivity decreases with increasing temperature. Preferably, the patterned surface to be ground is composed of a patterned region of a conductive metal, a barrier material, and an insulating dielectric material. Preferably, the conductive metal is selected from the group consisting of titanium, zirconium 'yttrium, vanadium, niobium, niobium, chromium, molybdenum, tungsten, niobium, stark, cobalt, lanthanum, cerium, nickel, palladium, platinum, copper, Silver, gold, aluminum, gallium, indium, antimony, tin, and alloys thereof. More preferably, the electrically conductive metal is selected from the group consisting of titanium, tantalum, tungsten, niobium, tantalum, niobium, tantalum, palladium, platinum, copper, silver, gold, aluminum, and alloys thereof. Even more preferably, the electrically conductive metal is selected from the group consisting of tungsten, copper, aluminum, and alloys thereof. Most preferably, the conductive metal is copper. Specifically, the copper pattern is produced in a copper damascene process in the 1C manufacturing process (see, for example, European Patent Application No. Ep 1 306 415 A2, page 2, paragraph [〇〇 12]). Preferably, the barrier material is selected from the group consisting of titanium, titanium nitride, tantalum, gasification, and tantalum nitride. Most preferably, the barrier material is selected from the group consisting of a button, a nitrided group, and a nitrided group. In particular, the barrier material pattern is produced during the inlay process of the 1C manufacturing process (see, for example, European Patent Application No. 1 306 415 8.2, page 2, paragraph [0012]). Preferably, the insulating dielectric material is selected from the group consisting of porous and non-porous, organic and inorganic, bismuth-based and non-silicon-based dielectric materials (including low-k and ultra-low-k materials). 'Better doped and undoped dioxide, 134828.doc -19· 200927901 Tantalum nitride, organosilicate glass (OSG), fluorosilicate glass (FSG), carbon doped oxide ( CDO), a cerium oxide, a sulfonium sesquioxane (MSQ) derived from a decane (for example, tetraethyl orthophthalate (TEOS ' or PTEOS)), an organic polymer, and an amorphous carbon, most The best is cerium oxide and MSQ. Specifically, the 'insulating dielectric material pattern is produced in the copper damascene process in the process of manufacturing 1C [see also Chem·B. Zantye et al., "Chemical mechanical·planization for microelectronics applications", Materials ❹ Science and Engineering, R 45 (2004), 152-186, "4. Chemical mechanical polishing of l〇w_k materials·']. Preferably, the 'semiconductor material is selected from the group consisting of ruthenium, osmium, gallium nitride, gallium phosphide, gallium arsenide, gallium selenide, cadmium sulfide, zinc oxide, zinc selenide, silver sulfide, bromination Silver and silver iodide, vaporized copper (1), and indium phosphide, especially for <5 eve. The above semiconductor materials, especially germanium, are preferably used to fabricate semiconductor wafers. The CMP method of the present invention can be practiced using methods and apparatus typically used in the fabrication of IC-containing wafers for Q CMP. In the 1C manufacturing process of the present invention, the above-mentioned metal and non-metal material layers are repeatedly applied to the semiconductor wafer or the surface of the patterned layer is applied in advance. Thereafter, the applied layer is chemically mechanically ground, patterned by photolithographic etching and chemically mechanically ground again before starting another application/patterning cycle. As is known in the art, typical equipment for CMP consists of a rotating platen covered with a polishing pad. The wafer is mounted on a carrier or an inflamed disk with the upper side facing downward toward the polishing pad. The carrier holds the wafer in a horizontal position. This particular arrangement of grinding and holding crying pieces is also referred to as a hard platen design. The carrier can hold the carrier 于 between 134828.doc • 20- 200927901 between the carrier holding surface and the unpolished surface of the wafer. The pad can operate as a mat for the wafer. Below the carrier, a larger diameter platen is also typically positioned horizontally and provides a surface that is parallel to the wafer to be polished. In the planarization process, the polishing pad is in contact with the surface of the wafer. In the polishing process, the aqueous chemical mechanical polishing composition to be used in the CMp process of the present invention is applied as a continuous stream or in a drop-wise manner to the interface between the polishing pad and the surface to be polished. ❹ Ο The polishing pad is brought into contact with the patterned surface and the pad is moved relative to the substrate. This is typically accomplished by rotating both the carrier and the platen about their respective axes extending perpendicular to the carrier and the platen. The rotating carrier shaft can remain fixed in position relative to the rotating platen or can oscillate horizontally relative to the platen. The direction of rotation of the carrier is usually (but not necessarily) the same as the direction of rotation of the platen. The rotational speed of the carrier plaque is usually (but not necessarily) set to a different value. ^ Normally, set the platen temperature between 1 and 7 inches. The temperature between 〇. For further details, you can participate in the old

f亏國際專利申請案第WO 2004^63301 A1號,其揭示内容、 n合尤其係第16頁第[0036] 段至第18頁第[0040]段結合圖1 口圃1以引用的方式包括於本文 中。 為達成本發明之CMP方法及Ic魁生制 ^ ^ 裝&製程之技術效果及優 勢’化學機械研磨組合物之選擇十分關鍵。 因此,選擇組合物以使化學媸 予機械研磨組合物以研磨組合 物總量劑包含1-10重量。/0、較佳 权佳地2-8重量%、更佳地2.5_ 7.5重量%、且最佳地3_7重量% 成上丨η、 種(具體而言一種) 磨料(A)。 134828.doc -21 - 200927901 磨料(A)係由分離、聚集或結塊的有機粒子、無機粒 子、無機/無機複合粒子或有機/無機複合粒子組成之微粒 • 材料。磨料(A)之功能係機械磨損並移除所研磨層材料。 磨料粒子⑷之初級粒徑可在寬廣範圍内變化且因此有 益地適用於本發明個別CMp製程。較佳地,初級粒徑在5_ Urn範圍内、更佳地7·8〇ηηι、且最佳地i〇7〇nm。 同樣,磨料粒子(A)之平均粒徑亦可在寬廣範圍内變 ❹ 化,且因此亦有益地適用於本發明之個別CMP製程。較佳 地,平均粒徑在10_3000 nm範圍内、更佳地2〇 i〇〇〇 且最佳地50-700 nm。 粒徑及粒徑分佈(亦即平均粒徑)可藉由在穿透式電子顯 微鏡下觀察來量測》 無機磨料粒子(A)較佳地選自由以下組成之群:摻雜及 未摻雜二氧化石夕、氧化銘、二氧化錦、氧化錯、二氧化 欽、氧化猛、碳化石夕、氮化石夕、碳氮化石夕、碳化删、碳化 ❹ ·:碳化鍅、硼化鋁、碳化鈕、碳化鈦、及金剛石。 該等可藉由氣相製程、較佳地藉由發煙製程(亦即高溫 火焰水解製程)、或藉由Nan〇phase Techn〇l〇gy公司之製: (亦即金屬蒸氣沈積氧化製程)進行合成。其亦可藉由涉及 j a醇鹽(例如烷氧基矽烷或烷醇鈦)之水解與縮合合成之 溶膠-凝膠方法、或藉由無機膝體方法(其中藉 雜質)進行合成。 諸 ^體而言,氧化物磨料粒子(A)可用不同類型之氧化 或風乳化物塗佈以產生無機/無機複合粒子⑷。 134828.doc -22- 200927901 有機磨料粒子(A)係選自由熱塑性樹脂或熱固性或腺駿 樹脂組成之群。熱塑性樹脂(A)較佳選自由以下組成之 群··聚氯乙稀、聚苯乙烯及基於苯乙烯之共聚物、聚縮 醛、飽和聚酯、聚醯胺、聚碳酸酯、聚烯烴及基於稀烴之 共聚物(例如聚乙稀、聚丙烯、聚-1-丁稀、及聚_4_甲基 戊烯)、苯氧基樹脂、(甲基)丙烯酸樹脂(例如聚曱基丙婦 fisc甲3曰及基於丙烤酸之共聚物熱固性或腺搭樹脂(a)較 ❹ 佳選自由環氧樹脂及胺基甲酸酯樹脂組成之群。 有機/無機複合粒子(A)僅需以有機粒子與無機粒子在研 磨過程中不會輕易分離的程度整體形成。因此,對其類型 或結構並無具體限制^ 複合粒子(A)可藉由以下製備:在聚合物粒子(較佳地由 上述熱塑性及熱固性樹脂組成之聚合物粒子)存在下使烷 氧基梦院、烷醇鋁或烷醇鈦縮聚,及使縮聚物至少鍵結於 聚合物粒子表面上。縮聚物可直接鍵結至聚合物粒子官能 〇 基上,或其可經由矽烷偶合劑鍵結。 然而’縮聚物並非必須化學鍵結至聚合物粒子,以三維 形式形成之縮聚物可以物理方式固定於聚合物粒子表面 . 上。 上述無機粒子、尤其二氧化矽或氧化鋁粒子可代替縮聚 物使用。該等無機粒子亦可藉由與縮聚物纏結在一起來固 定。其亦可藉由其官能基(具體而言係羥基)化學鍵結至聚 合物粒子。 在含有機粒子及無機粒子並具有相反符號ζ電位之水性 134828.doc -23- 200927901 分散液中’該等有機/無機複合粒子⑷可藉由靜電力將其 . 粒子鍵結一起。聚合物粒子之ζ電位在整個PH值範圍内、 或在除了低pH值範圍外之整個寬pH值範圍内為負值;然 而,藉由使用含叛酸根、石黃酸根或鱗酸根之聚合物粒子, 可獲得具有較確定負ς電位之聚合物粒子。含胺基之聚合 物粒子在特定ΡΗ值範圍内具有正ς電位。然而,無機粒子 . 之(電位具有高ρΗ值-依賴性並具有等電點,在等電點時其 0 電位為〇;在該點左右ζ電位符號相反。 因此,藉由將特定有機粒子與無機粒子組合並在其ζ電 位具有相反符號之pH值範圍内將其混合,則可藉由靜電力 形成有機粒子與無機粒子之整體複合物。在混合過程中, ζ電位可具有相同符號:然而調節pH值後,產生相反符號 之ζ電位並藉此使有機粒子與無機粒子結合。 複合粒子(Α)亦可藉由以下製備:在藉由靜電力之方式 整體構成之複合粒子存在下使烷氧基矽烷、烷醇鋁或烷醇 〇 鈦縮聚,並使所得縮聚物鍵結至至少該等複合粒子表面上 從而形成複合粒子(Α)。 而且,有機/無機複合粒子(Α)亦可藉由用聚合物樹脂(例 • 如上述一種)塗佈上述無機粒子(Α)來製備。 所用複合粒子(Α)可為一種類型,或其可為兩種或以上 之組合。其亦可與上述無機粒子(Α)或上述有機粒子(Α)組 合使用。 而且,選擇組合物以使該化學機械研磨組合物以研磨組 合物總重計包含〇.1_5重量%、較佳地〇.2_3重量%、更佳地 134828.doc -24- 200927901 .〇.4·2重量%、且最佳地0.5-1.5重量%之至少一種(具體而言 —種)含有至少一個(具體而言一個)過氧化物基圏(_〇_〇_) 之氧化劑(B)。 無機及有機過氧化物可用作氧化劑(B)。 較佳地,無機氧化劑(B)係選自由以下組成之群:過氧 化氫及其加合物(例如過氧化氫脲)、過氧化鈉、過碳酸 鹽、過硼酸鹽、單過硫酸鹽、及二過硫酸鹽。 〇 較佳地,有機氧化劑(B)係選自由以下組成之群:過氧 化苯曱醯、過乙酸、過苯甲酸、第三_丁基過氧化氫及二_ 第二-丁基過氧化物。 最佳地使用過氧化氫作為氧化劑(B)。 另外,選擇組合物以使該化學機械研磨組合物以研磨組 δ物總置计包含〇. 1 _5重量%、較佳地〇 2_4重量%、更佳地 0.3-3重量%、且最佳地〇 5_2重量%之至少一種選自由草酸 及多元羧酸(較佳為三羧酸及二羧酸、最佳為二羧酸)組成 ❹ 之群的有機酸(C),其包含至少一個、較佳一個選自由脂 肪族、脂環族及芳香族部分、更佳地脂肪族部分、且最佳 為飽和脂肪族部分組成之群的部分。 適宜多元羧酸(C)之實例係草酸、丙二酸、琥珀酸、戊 i 一酸、己二酸、庚二酸、馬來酸、六氫鄰苯二甲酸、鄰苯 一甲酸、及偏苯三甲酸,較佳為丙二酸、己二酸及鄰苯二 曱酸’最佳為丙二酸。 而且’選擇組合物以使該化學機械研磨組合物以組合物 之總量計包含O.Oid重量%、較佳地0.05_2 5重量%、更佳 134828.doc •25· 200927901 地0.1-2重量。/。、且最佳地0.5-1.5重量。/。之至少一種、較佳 一種鈍化成膜劑(D)。 鈍化成膜劑(D)可自其水溶液及/或分散液在金屬或金屬 合金表面頂部形成鈍化膜。具體而言,該金屬為鋼。 較佳地,鈍化成膜劑(D)係選自由五員雜環及六員雜環 組成之群,更佳地選自由苯并噻唑、苯并噻二唑、苯并三 。坐(BTA)、三氮雜吲嗪、二嗪、三嗪、及其衍生物組成之 群。 適宜鈍化成膜劑(D)之實例揭示於歐洲專利申請案第epf. International Patent Application No. WO 2004^63301 A1, the disclosure of which is incorporated herein by reference in its entirety in the PCT PCT. In this article. In order to achieve the CMP method of the present invention and the technical effects and advantages of the Ic and Quebec process, the selection of the chemical mechanical polishing composition is critical. Accordingly, the composition is selected such that the chemically entangled mechanically abrasive composition comprises from 1 to 10 parts by weight of the total amount of the abrasive composition. Preferably, preferably 2 to 8% by weight, more preferably 2.5 to 7.5% by weight, and most preferably 3 to 7% by weight of the upper 丨, the species (specifically one) of the abrasive (A). 134828.doc -21 - 200927901 Abrasives (A) are particles composed of separated, agglomerated or agglomerated organic particles, inorganic particles, inorganic/inorganic composite particles or organic/inorganic composite particles. The function of the abrasive (A) is mechanical wear and removal of the ground layer material. The primary particle size of the abrasive particles (4) can vary over a wide range and is therefore advantageously applicable to the individual CMp processes of the present invention. Preferably, the primary particle size is in the range of 5_ Urn, more preferably 7·8〇ηη, and optimally i〇7〇nm. Similarly, the average particle size of the abrasive particles (A) can also be varied over a wide range and is therefore also beneficially applicable to the individual CMP processes of the present invention. Preferably, the average particle size is in the range of 10 - 3000 nm, more preferably 2 〇 i 且 and most preferably 50 - 700 nm. The particle size and particle size distribution (i.e., the average particle diameter) can be measured by observation under a transmission electron microscope. The inorganic abrasive particles (A) are preferably selected from the group consisting of doped and undoped. Dioxide, oxidized, oxidized, oxidized, oxidized, oxidized, carbonized, catalyzed, carbonitrided, carbonized, carbonized, carbonized, lanthanum, carbonized Button, titanium carbide, and diamond. The processes may be by a gas phase process, preferably by a smoking process (ie, a high temperature flame hydrolysis process), or by the manufacture of a company: (ie, a metal vapor deposition oxidation process). Perform the synthesis. It can also be synthesized by a sol-gel method involving hydrolysis and condensation synthesis of a a alkoxide (e.g., alkoxydecane or titanium alkoxide) or by an inorganic knee method (in which an impurity is used). In general, the oxide abrasive particles (A) may be coated with different types of oxidized or wind emulsions to produce inorganic/inorganic composite particles (4). 134828.doc -22- 200927901 Organic abrasive particles (A) are selected from the group consisting of thermoplastic resins or thermosetting or glandular resins. The thermoplastic resin (A) is preferably selected from the group consisting of polyvinyl chloride, polystyrene and styrene-based copolymers, polyacetals, saturated polyesters, polyamines, polycarbonates, polyolefins, and Diluted hydrocarbon-based copolymers (eg, polyethylene, polypropylene, poly-1-butylene, and poly-4-methylpentene), phenoxy resins, (meth)acrylic resins (eg, polydecyl propyl acrylate) The thermosetting or gland-based resin (a) is preferably selected from the group consisting of epoxy resins and urethane resins. Organic/inorganic composite particles (A) only need to be used. The organic particles and the inorganic particles are integrally formed to such an extent that they are not easily separated during the grinding process. Therefore, there is no particular limitation on the type or structure thereof. The composite particles (A) can be prepared by: polymer particles (preferably The alkoxy compound, the aluminum alkoxide or the titanium alkoxide is polycondensed in the presence of the above-mentioned thermoplastic and thermosetting resin, and the polycondensate is bonded to at least the surface of the polymer particles. The polycondensate can be directly bonded. To the polymer particle functional thiol, or Bonded via a decane coupling agent. However, the 'polycondensate does not have to be chemically bonded to the polymer particles, and the polycondensate formed in three dimensions can be physically fixed to the surface of the polymer particles. The above inorganic particles, especially cerium oxide or aluminum oxide. The particles may be used in place of the polycondensate. The inorganic particles may also be immobilized by entanglement with a polycondensate, which may also be chemically bonded to the polymer particles by a functional group thereof (particularly, a hydroxyl group). Particles and inorganic particles and having opposite signs of zeta potential water 134828.doc -23- 200927901 In the dispersion, the organic/inorganic composite particles (4) can be bonded together by electrostatic force. The zeta potential of the polymer particles Negative values over the entire pH range, or over a wide pH range except for low pH ranges; however, by using polymer particles containing tick, rhein or sulphate, A polymer particle having a negative zeta potential is determined. The amine group-containing polymer particle has a positive zeta potential in a specific range of enthalpy. However, the inorganic particle The high ρ Η-value has an isoelectric point, and its zero potential is 〇 at the isoelectric point; the ζ potential sign is opposite at this point. Therefore, by combining specific organic particles with inorganic particles and at their zeta potential When the opposite symbol is mixed in the pH range, an integral composite of the organic particles and the inorganic particles can be formed by electrostatic force. In the mixing process, the zeta potential can have the same sign: however, after adjusting the pH, the opposite sign is generated. The zeta potential and thereby the organic particles are combined with the inorganic particles. The composite particles (Α) can also be prepared by: alkoxydecane, aluminum alkoxide or alkane in the presence of composite particles integrally formed by electrostatic force The bismuth titanium is polycondensed, and the obtained polycondensate is bonded to at least the surface of the composite particles to form composite particles (Α). Moreover, the organic/inorganic composite particles (Α) can also be obtained by using a polymer resin (eg, The above one is prepared by coating the above inorganic particles (Α). The composite particles (Α) used may be of one type, or they may be a combination of two or more. It may also be used in combination with the above inorganic particles (Α) or the above organic particles (Α). Moreover, the composition is selected such that the chemical mechanical polishing composition comprises 0.1 to 5% by weight, preferably 0.2 to 3% by weight, more preferably 134,828.doc to 24 to 200927901. 〇.4, based on the total weight of the abrasive composition. 2% by weight, and optimally 0.5 to 1.5% by weight of at least one, in particular one, at least one, in particular one, peroxide-based hydrazine (_〇_〇_) oxidizing agent (B) . Inorganic and organic peroxides can be used as the oxidizing agent (B). Preferably, the inorganic oxidizing agent (B) is selected from the group consisting of hydrogen peroxide and its adducts (for example, urea hydrogen peroxide), sodium peroxide, percarbonate, perborate, monopersulfate, And dipersulfate. Preferably, the organic oxidizing agent (B) is selected from the group consisting of benzoquinone peroxide, peracetic acid, perbenzoic acid, third-butyl hydroperoxide, and di-second-butyl peroxide. . Hydrogen peroxide is optimally used as the oxidizing agent (B). Further, the composition is selected such that the chemical mechanical polishing composition comprises 〇1 to 5% by weight, preferably 〇2 to 4% by weight, more preferably 0.3 to 3% by weight, and most preferably At least one of 〇5-2% by weight of an organic acid (C) selected from the group consisting of oxalic acid and a polycarboxylic acid (preferably a tricarboxylic acid and a dicarboxylic acid, preferably a dicarboxylic acid), comprising at least one Preferably, a moiety selected from the group consisting of aliphatic, alicyclic and aromatic moieties, more preferably aliphatic moieties, and most preferably saturated aliphatic moieties. Examples of suitable polycarboxylic acids (C) are oxalic acid, malonic acid, succinic acid, pentanoic acid, adipic acid, pimelic acid, maleic acid, hexahydrophthalic acid, phthalic acid, and partial The trimellitic acid, preferably malonic acid, adipic acid and phthalic acid' are preferably malonic acid. Further, 'the composition is selected such that the chemical mechanical polishing composition comprises O. Oid wt%, preferably 0.05-2 5 wt%, more preferably 134828.doc • 25· 200927901 0.1-2 wt%, based on the total amount of the composition. . /. And optimally 0.5-1.5 by weight. /. At least one, preferably a passivating film-forming agent (D). The passivating film-forming agent (D) can form a passivation film on top of the surface of the metal or metal alloy from its aqueous solution and/or dispersion. Specifically, the metal is steel. Preferably, the passivating film-forming agent (D) is selected from the group consisting of a five-membered heterocyclic ring and a six-membered heterocyclic ring, more preferably selected from the group consisting of benzothiazole, benzothiadiazole, and benzotriene. A group consisting of (BTA), triazaazine, diazine, triazine, and derivatives thereof. An example of a suitable passivating film former (D) is disclosed in European Patent Application ep

1 081 200 A1號第4頁第[0033]段至第5頁第[〇〇42]段及第EP 1 544 901 A1號第5頁第[0〇29]及第[0030]段中。較佳地使 用苯并三唑(BTA)及甲基苯并三唑(TTA),最佳地使用 BTA。 而且,選擇組合物使該化學機械研磨組合物以該研磨組 合物之總量計包含0.001-2重量%、較佳地0.005-1.8重量 0 %、更佳地0.01-1.6重量%且最佳地0.05-1.4重量%之至少一 種(具體而言一種)選自由四級銨鹽、四級鱗鹽、四級鎳 鹽、吡啶鑌鹽、咪唑啉鏽鹽、及噁唑啉鏽鹽組成之群的陽 . 離子表面活性劑(E),尤其係四級銨鹽。 較佳地,四級銨鹽(E)係選自由以下組成之群:1 081 200 A1, page 4, paragraph [0033] to page 5, paragraph [〇〇42] and EP 1 544 901 A1, page 5, paragraphs [0〇29] and [0030]. Preferably, benzotriazole (BTA) and methylbenzotriazole (TTA) are used, and BTA is optimally used. Moreover, the composition is selected such that the chemical mechanical polishing composition comprises from 0.001 to 2% by weight, preferably from 0.005 to 1.8% by weight, more preferably from 0.01 to 1.6% by weight, and most preferably, based on the total of the abrasive composition. At least one (specifically one) of from 0.05 to 1.4% by weight is selected from the group consisting of a quaternary ammonium salt, a quaternary phosphonium salt, a quaternary nickel salt, a pyridinium salt, an imidazoline rust salt, and an oxazoline rust salt. Yang. Ionic surfactant (E), especially a quaternary ammonium salt. Preferably, the quaternary ammonium salt (E) is selected from the group consisting of:

Esterquat(參見R6mpp Online 2007,&quot;cationic surfactants&quot;)、 二硬脂基二甲基氣化銨及二硬脂基二曱基溴化銨、十六烷 基三曱基氣化銨及十六烧基三曱基溴化錄、三辛基曱基氯 化銨及三辛基曱基溴化銨、及十六烷基三乙基氣化銨及十 134828.doc -26- 200927901 . 六烷基三乙基溴化銨,最佳地十六烷基三乙基溴化銨 (CTAB)。 ' 此外,該化學機械研磨組合物包含至少—種其量足以將 研磨組合物之pH值調節於4-8之間、較佳地4 5_7 5之間且 最佳地5-7之間之pH控制劑(F)。 季父佳地,pH控制劑(F)選自由上述草酸及多元羧酸(c)、 . 單羧酸、有機膦酸及磺酸、無機酸、及有機與無機鹼組成 ^ 之群。 ❹ 適宜單羧酸(F)之實例係甲酸、乙酸、及丙酸。 適宜有機膦酸及磧酸(F)之實例係甲磺酸、乙磺酸、丙 磺酸及苯磺酸或膦酸。 適且無機酸(F)之實例係硫酸、硝酸、磷酸、及過氣 酸’尤其係過氣酸及鱗酸。 適宜有機鹼(F)之實例係有機胺及四級烷基氫氧化銨, 例如四曱基氫氧化銨(TMAH),尤其係。 〇 適宜無機鹼(F)之實例係氨、氫氧化鉀及碳酸鉀,尤其 係氫氧化鉀。 除上述基本成份(A)_(F)外’用於本發明cMp方法及1(:製 . 造製程之化學機械研磨組合物可含有有效量的通常用於 CMP工藝之可選添加劑(G)。 選擇可選添加劑(G)及其量使其不會不利地影響欲用於 本發明CMI^&amp;及1C製造製程之化學機械研磨組合物的有 益效果。 適宜可選添加劑(G)之實例為 134828.doc 27· 200927901 . 揭不於歐洲專利申請案第EP 0 896 042 A1號第4頁第 [0032]段至第5頁第[〇〇37]段中之腐蝕抑制劑; _揭不於美國專利申請案第US 2〇05/0194357 A1號第2頁 第[〇〇12]至第[0014]段及第 uS 2001/0006224 A1 號第 2 頁 第[0029]段至第3頁第[0040]段之無機鹽,尤其係磷酸鉀 及焦磷酸鉀; -揭示於美國專利申請案第US 20〇5/0194357 A1號第3 0 頁,第[0022]段之錯合劑; •揭示於美國專利第US 6,083,840號第6行第55-62列之螯 合劑; -流平劑’例如氣化銨; -揭示於美國專利第US 6,083,840號第6行第18-39列基於 氟化物的化合物; -揭示於美國專利申請案第US 2005/0194357 A1號第3頁 第[0023]段之殺生物劑; Ο -揭示於歐洲專利申請案第EP 1 544 901 A1號之叁羥基曱 基胺基曱烷; -揭示於美國專利申請案第US 2006/0030158 A1第2頁第 - [0015]至第[〇〇20]段連同圖1_6之有機氧化劑;及 -揭示於美國專利申請案第US 2003/0181354 A1號第2頁 第[0014]及第[〇〇15]段之鈕移除劑。 藉由本發明CMP方法及1C製造製程可獲得具有包含銅鑲 敌圖案之1C的晶圓’其具有優良功能性及尤為光滑平坦表 面,亦即具有&lt;1 nm之整體表面粗糙度(藉由光學輪廓儀量 134828.doc -28· 200927901 測)之’’超光滑”表面。如業内所知,光學輪廓儀係使用光干 涉量測表面粗轆度之常用且標準的非接觸非破壞性三維方 法。適宜儀器可自(例如)ZYG〇tm購得。 實例 鈕、銅、MSQ及二氧化矽膜之化學機械研磨及藉由光學 輪廓儀測定經研磨表面之粗褪度。 ^ 選擇包含以下(以其總量計)之水性化學機械研磨組合物 0 用於試驗:5重量。/°平均粒徑為50 nm之膠體二氧化矽、1 重量%之過氧化氫、1重量%之丙二酸、12重量%之苯并三 唑(BTA)及0.4重量°/〇之十六烷基三乙基溴化銨(CTAB) ^使 用過氣酸與KOH將CMP組合物之pH值調節至6。 在相同條件下使用臺式Struers研磨機以6.3 psi (43.26 kPa)向下壓力研磨圓盤上由钽、銅、MSQ或二氧化矽組成 之膜,其中CMP組合物流速為60ml/min且載體旋轉速度為 90 rpm。所有試驗在p〇lytexTM墊上實施。 Q 試驗表明所用CMP組合物具有高钽選擇性。 藉由光學輪廓儀測定經研磨膜之表面粗糙度。在各情形 下,掃描面積為2 μιηχ2 μηι。因此,鈕膜之表面粗糙度為 . 〇·6 nm ’ MSQ膜之表面粗糙度為〇.7 nm,銅膜之表面粗糙 度為0.9 nm,且二氧化矽膜之表面粗糙度為〇 6 nm。該等 膜均未展示表面缺陷’例如刮痕、凹坑、凹痕及脫層。因 此’該等試驗表明該CMP組合物可經選擇以使其極其適用 於化學機械研磨由以下構成之圖案化表面:圖案化導電銅 區域、圖案化鈕障壁區域、及圖案化絕緣MSq與二氧化石夕 134828.doc -29· 200927901 區域,其可(例如)在晶圓上製造ic過程中藉由銅鑲嵌製程 獲得。Esterquat (see R6mpp Online 2007, &quot;cationic surfactants&quot;), distearyl dimethyl ammonium hydride and distearyl diammonium bromide, cetyltrimethylammonium hydride and hexadecene Trimethyl sulfonyl bromide, trioctyl decyl ammonium chloride and trioctyl decyl ammonium bromide, and cetyl triethyl ammonium hydride and ten 134828.doc -26- 200927901 . hexaalkyl Triethylammonium bromide, optimally cetyltriethylammonium bromide (CTAB). Furthermore, the chemical mechanical polishing composition comprises at least an amount sufficient to adjust the pH of the abrasive composition to between 4 and 8, preferably between 4 5 and 7 5 and optimally between 5 and 7 Control agent (F). Preferably, the pH control agent (F) is selected from the group consisting of the above-mentioned oxalic acid and polycarboxylic acid (c), a monocarboxylic acid, an organic phosphonic acid and a sulfonic acid, an inorganic acid, and an organic and inorganic base. Examples of suitable monocarboxylic acids (F) are formic acid, acetic acid, and propionic acid. Examples of suitable organic phosphonic acids and capric acid (F) are methanesulfonic acid, ethanesulfonic acid, propanesulfonic acid and benzenesulfonic acid or phosphonic acid. Examples of suitable inorganic acids (F) are sulfuric acid, nitric acid, phosphoric acid, and peroxyacids, especially peroxyacids and scaly acids. Examples of suitable organic bases (F) are organic amines and quaternary alkyl ammonium hydroxides such as tetradecyl ammonium hydroxide (TMAH), especially. Examples of suitable inorganic bases (F) are ammonia, potassium hydroxide and potassium carbonate, especially potassium hydroxide. In addition to the above basic components (A)-(F), the chemical mechanical polishing composition used in the cMp method and the process of the present invention may contain an effective amount of an optional additive (G) which is usually used in a CMP process. The optional additive (G) and its amount are selected so as not to adversely affect the beneficial effects of the chemical mechanical polishing composition to be used in the CMI^&amp; and 1C manufacturing processes of the present invention. Examples of suitable optional additives (G) 134828.doc 27· 200927901 . Uncovered in European Patent Application No. EP 0 896 042 A1, page 4, paragraph [0032] to page 5, paragraph [〇〇37], corrosion inhibitors; U.S. Patent Application No. US 2 〇 05/0194357 A1, page 2, paragraph [〇〇12] to [0014], and uS 2001/0006224 A1, page 2, paragraph [0029] to page 3 [0040] an inorganic salt, in particular potassium phosphate and potassium pyrophosphate; - a disclosing agent disclosed in paragraph [0022] of U.S. Patent Application Serial No. US Pat. No. 2,0,099, 943, 537; US Patent No. 6,083,840, line 6, col. 55-62, chelating agent; - leveling agent, such as ammonium hydride; - disclosed in US Patent No. 6,083,840, No. 6, </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; 901 A1 hydroxy hydroxy decyl decane; - disclosed in US Patent Application No. US 2006/0030158 A1, page 2 - [0015] to [〇〇20], together with the organic oxidant of Figure 1-6; a button remover disclosed in U.S. Patent Application No. US 2003/0181354 A1, page 2, paragraphs [0014] and [〇〇15]. By the CMP method of the present invention and the 1C manufacturing process, it is possible to obtain a copper inlay comprising The 1C wafer of the enemy pattern has excellent functionality and a particularly smooth flat surface, that is, having an overall surface roughness of &lt;1 nm (measured by the optical profiler 134828.doc -28·200927901). Ultra-smooth "surface. As is known in the art, optical profilometers are commonly used and standard non-contact non-destructive three-dimensional methods for measuring surface roughness using optical interference. Suitable instruments are commercially available, for example, from ZYG(R). Chemical mechanical polishing of example buttons, copper, MSQ and cerium oxide films The optical profiler measures the roughness of the ground surface. ^ The following aqueous chemical mechanical polishing composition containing the following (in total) is selected for testing: 5 weights / ° colloidal dioxide with an average particle size of 50 nm矽, 1% by weight of hydrogen peroxide, 1% by weight of malonic acid, 12% by weight of benzotriazole (BTA) and 0.4% by weight of hexadecyltriethylammonium bromide (CTAB) ^ The pH of the CMP composition was adjusted to 6 using peroxyacid and KOH. A film consisting of ruthenium, copper, MSQ or ruthenium on the disc was pressure-polished at 6.3 psi (43.26 kPa) under the same conditions using a benchtop Struers mill with a flow rate of 60 ml/min and carrier rotation. The speed is 90 rpm. All tests were performed on a p〇lytexTM mat. The Q test showed that the CMP composition used had high enthalpy selectivity. The surface roughness of the ground film was measured by an optical profilometer. In each case, the scan area is 2 μηηχ2 μηι. Therefore, the surface roughness of the button film is 〇·6 nm 'the surface roughness of the MSQ film is 〇.7 nm, the surface roughness of the copper film is 0.9 nm, and the surface roughness of the ruthenium dioxide film is 〇6 nm. . None of the films exhibited surface defects such as scratches, pits, dents, and delamination. Thus, the tests indicate that the CMP composition can be selected to be extremely suitable for chemical mechanical polishing of patterned surfaces consisting of patterned conductive copper regions, patterned button barrier regions, and patterned insulating MSq and dioxide. Shi Xi 134828.doc -29·200927901 area, which can be obtained, for example, by a copper damascene process during the fabrication of ic on a wafer.

134828.doc -30-134828.doc -30-

Claims (1)

200927901 十、申請專利範圍: 1· 一種由圖案化金屬及非金屬區域所構成之圖案化表面的 • 化學機械研磨方法’該方法包含以下步驟: (1) 選擇水性化學機械研磨組合物,其以該研磨組合物 之總量計包含: (A) 1-10重量%之至少一種磨料; 〇.1_5重量%之至少一種含有至少一個過氧化物 基團(-〇-〇-)的氧化劑; ❹ (C) 0.1-5重量%之至少一種選自由草酸及多元羧酸 組成之群的有機酸,該等多元叛酸包含至少一 個選自由脂肪族、脂環族及芳香族部分組成之 群的部分; (D) 0.01-3重量%之至少一種鈍化成膜劑; (E) 0.001-2重量%之至少一種選自由四級銨鹽、四 級鎸鹽、三級銃鹽、&lt;7比咬鑌鹽、咪峻琳鑌鹽、 Q 及噁唾啉鏽鹽組成之群的陽離子表面活性劑; 及 (F) 至一種其量足以將該研磨組合物之pH值調節 於4與8之間的PH控制劑; (2) 將該所選擇化學機械研磨組合物施加至由圖案化金 屬及非金屬區域構成之圖案化表面與研磨塾之間之 介面;及 (3) 使該研磨墊與該圖案化表面接觸並相對於基板移動 該墊; 134828.doc 200927901 (4)藉此將該圖案化表面之表面粗糙度降至由光學輪廓 儀所量測低於1 nm。 2.如請求項丨之方法,其中該等圖案化非金屬區域之材料 係電絕緣或半導電。 3. 如凊求項丨之方法,其中該等圖案化表面由導電金屬、 障壁材料及絕緣介電材料之圖案化區域構成。 4. 如請求項3之方法,其中該導電金屬係銅。200927901 X. Patent application scope: 1. A chemical mechanical polishing method consisting of patterned metal and non-metal regions. The method comprises the following steps: (1) selecting an aqueous chemical mechanical polishing composition, The total amount of the abrasive composition comprises: (A) 1-10% by weight of at least one abrasive; 〇1 to 5% by weight of at least one oxidizing agent containing at least one peroxide group (-〇-〇-); (C) at least one of 0.1 to 5% by weight of an organic acid selected from the group consisting of oxalic acid and a polycarboxylic acid, the polybasic acid comprising at least one selected from the group consisting of aliphatic, alicyclic and aromatic moieties (D) 0.01 to 3% by weight of at least one passivating film-forming agent; (E) at least one of 0.001-2% by weight selected from the group consisting of a quaternary ammonium salt, a quaternary phosphonium salt, a tertiary sulfonium salt, and a &lt;7 ratio bite a cationic surfactant of the group consisting of strontium salt, imipenem salt, Q and phenanthroline rust salt; and (F) to an amount sufficient to adjust the pH of the abrasive composition between 4 and 8 PH control agent; (2) the selected chemistry An abrasive polishing composition is applied to the interface between the patterned surface of the patterned metal and non-metallic regions and the polishing pad; and (3) contacting the polishing pad with the patterned surface and moving the pad relative to the substrate; 134828 .doc 200927901 (4) thereby reducing the surface roughness of the patterned surface to less than 1 nm as measured by an optical profilometer. 2. The method of claim 1, wherein the material of the patterned non-metallic regions is electrically or semi-conductive. 3. The method of claim </ RTI> wherein the patterned surface is comprised of a patterned region of a conductive metal, a barrier material, and an insulating dielectric material. 4. The method of claim 3, wherein the conductive metal is copper. ❹ 5. 如請求項3之方法,其中該障壁材料係選自由鈕、氮化 组、及氮化钽矽組成之群。 6. 如4求項3之方法,其中該絕緣介電材料係選自二氧化 石夕及甲基倍半石夕氧院β 明求項3之方法,其中該等圖案化表面係在於晶圓上 製造積體電路過程中藉由銅鑲嵌製程產生。 8.如4求項1之方法,其中該磨料⑷係選自由分離、聚集 及、塊之有機粒子、無機粒子、無機/無機複合粒子及有 機/無機複合粒子組成之群。 9’如β求項8之方法,其中該等磨料粒子之初級粒徑在 run範圍内且平均粒徑在1〇_3〇〇〇 nms圍内。 1〇.如睛求項}之方法,其中該氧化劑(B)係過氧化氫。 U.如請求項1之方法,其中該多元竣酸(C)係選自由以下組 成,群··草酸、丙二酸、破_、戊二酸、己二酸、庚 二酸、馬來酸、六氫鄰苯二曱酸、鄰笨二甲酸、及偏苯 二甲酸。 12,如請求項11之方法 其中該多元羧酸(C)係選自由丙二 134828.doc 200927901 酸、已二酸、及鄰苯二甲酸組成之群。 13.如:求項12之方法’其中該多元幾酸⑹係丙二酸。 月长項1之方法’其中該鈍化成膜劑⑼能夠自其水溶 液及/或分散液在銅表面頂部形成鈍化膜。 j求項15之方法,其中該鈍化成膜劑係苯并三唑。 16.如請求们之方法,其中該陽離子表面活性劑⑻係四級 録鹽。 ❹17.如-月求項16之方法,其中該四級錄鹽⑻係十六烧基三乙 基漠化錢。 1 8. T Μ求項丨之方法’其中該pH控制劑(F)係選自由草酸及 夕元鲮馱(〇、單羧酸、有機膦酸及磺酸、無機酸、及有 機與無機驗組成之群。 19’如叫求項18之方法,其中該單羧酸(F)選自由甲酸、乙 酸及丙酸組成之群’該無機酸(F)係選自磷酸及過氣 酸°亥有機鹼(F)係三曱基氫氧化銨且該無機鹼(F)係氫 ❹ 氧化_。 20.如明求項i之方法,其中該水性化學機械研磨組合物進 一步包含至少一種添加劑(G)。 21·如睛求項2G之方法,其中該添加劑⑹係選自由以下組成 • 之群.腐蝕抑制劑、無機鹽、錯合劑、螯合劑、流平 劑基於氟化物之化合物、殺生物劑、三羥基曱基胺基 甲烷、有機氧化劑、及钽移除劑。 22. —種在晶圓上製造積體電路之方法,其包含以下步驟: 向半導體晶圓及/或預先施加圖案化層重複施加金屬及非 134828.doc 200927901 金屬材料層,化學機械研磨該等所施加層,藉由微影蝕 刻方法使該等經研磨層圖案化及在開始另一施加/圖案化 循環之前化學機械研磨該等圖案化層,其中請求項1之 由圖案化金屬及非金屬區域所構成之圖案化表面的化學 機械研磨方法使用至少一次。 ❹ 134828.doc 200927901 七、指定代表圖: (一)本案指定代表圖為:(無) . (二)本代表圖之元件符號簡單說明: 八、本案若有化學式時,請揭示最能顯示發明特徵的化學式: (無)5. The method of claim 3, wherein the barrier material is selected from the group consisting of a button, a nitrided group, and tantalum nitride. 6. The method of claim 3, wherein the insulating dielectric material is selected from the group consisting of a dioxide dioxide and a methyl sesquiterpoxide method, wherein the patterned surface is in a wafer It is produced by a copper damascene process during the process of manufacturing an integrated circuit. 8. The method of claim 1, wherein the abrasive (4) is selected from the group consisting of separated, aggregated, and block organic particles, inorganic particles, inorganic/inorganic composite particles, and organic/inorganic composite particles. 9' The method of β, wherein the primary particle size of the abrasive particles is within a run range and the average particle size is within a range of 1 〇 3 〇〇〇 nms. The method of claim 1, wherein the oxidizing agent (B) is hydrogen peroxide. U. The method of claim 1, wherein the polybasic acid (C) is selected from the group consisting of oxalic acid, malonic acid, broken _, glutaric acid, adipic acid, pimelic acid, maleic acid , hexahydrophthalic acid, o-dicarboxylic acid, and phthalic acid. 12. The method of claim 11, wherein the polycarboxylic acid (C) is selected from the group consisting of propylene 134828.doc 200927901 acid, adipic acid, and phthalic acid. 13. The method of claim 12 wherein the polybasic acid (6) is malonic acid. The method of month length item 1 wherein the passivating film former (9) is capable of forming a passivation film on top of the copper surface from its aqueous solution and/or dispersion. The method of claim 15, wherein the passivating film forming agent is benzotriazole. 16. The method of claimant, wherein the cationic surfactant (8) is a quaternary salt. ❹ 17. The method of claim 16, wherein the quaternary salt (8) is a hexahydrate-based triethylated desertification money. 1 8. The method of T Μ 丨 ' ' 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该The method of claim 18, wherein the monocarboxylic acid (F) is selected from the group consisting of formic acid, acetic acid, and propionic acid. The inorganic acid (F) is selected from the group consisting of phosphoric acid and peroxyacid. The organic base (F) is tridecyl ammonium hydroxide and the inorganic base (F) is hydroquinone oxidized. The method of claim i, wherein the aqueous chemical mechanical polishing composition further comprises at least one additive (G) 21) The method of claim 2, wherein the additive (6) is selected from the group consisting of: corrosion inhibitors, inorganic salts, complexing agents, chelating agents, leveling agents, fluoride-based compounds, biocides , a trihydroxydecylaminomethane, an organic oxidant, and a ruthenium remover. 22. A method of fabricating an integrated circuit on a wafer, the method comprising the steps of: applying a patterned layer to a semiconductor wafer and/or pre-applying Repeated application of metal and non-134828.doc 200927901 metal material layer, Mechanically grinding the applied layers, patterning the polished layers by lithographic etching and chemically mechanically grinding the patterned layers prior to initiating another application/patterning cycle, wherein the pattern of claim 1 is The chemical mechanical polishing method for the patterned surface composed of metal and non-metal regions is used at least once. ❹ 134828.doc 200927901 VII. Designated representative map: (1) The representative representative of the case is: (none). A brief description of the symbol of the figure: 8. If there is a chemical formula in this case, please disclose the chemical formula that best shows the characteristics of the invention: (none) 134828.doc134828.doc
TW97138467A 2007-12-06 2008-10-06 A method for chemically-mechanically polishing patterned surfaces composed of metallic and nonmetallic patterned regions TW200927901A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US99280507P 2007-12-06 2007-12-06

Publications (1)

Publication Number Publication Date
TW200927901A true TW200927901A (en) 2009-07-01

Family

ID=40227620

Family Applications (1)

Application Number Title Priority Date Filing Date
TW97138467A TW200927901A (en) 2007-12-06 2008-10-06 A method for chemically-mechanically polishing patterned surfaces composed of metallic and nonmetallic patterned regions

Country Status (2)

Country Link
TW (1) TW200927901A (en)
WO (1) WO2009071351A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112342534A (en) * 2020-11-11 2021-02-09 桂林漓佳金属有限责任公司 Passivating agent for passivating copper alloy surface

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8747687B2 (en) 2009-05-06 2014-06-10 Basf Se Aqueous polishing agent comprising solid polymer particles and two complexing agents and its use in a process for polishing patterned and unstructured metal surfaces
EP2427523B1 (en) 2009-05-06 2015-10-28 Basf Se An aqueous metal polishing agent comprising a polymeric abrasive containing pendant functional groups and its use in a cmp process
EP2518759B1 (en) * 2009-12-25 2017-06-21 Mitsubishi Gas Chemical Company, Inc. Method for manufacturing semiconductor device using an etchant
JP6051632B2 (en) * 2011-07-20 2016-12-27 日立化成株式会社 Abrasive and substrate polishing method
EP2554612A1 (en) * 2011-08-01 2013-02-06 Basf Se A process for the manufacture of semiconductor devices comprising the chemical mechanical polishing of elemental germanium and/or Si1-xGex material in the presence of a CMP composi-tion having a pH value of 3.0 to 5.5
EP2554613A1 (en) * 2011-08-01 2013-02-06 Basf Se A process for the manufacture of semiconductor devices comprising the chemical mechanical polishing of elemental germanium and/or si1-xgex material in the presence of a cmp composi-tion comprising a specific organic compound
WO2013112587A1 (en) * 2012-01-24 2013-08-01 Applied Materials, Inc. Slurry for planarizing photoresist
US20130186850A1 (en) * 2012-01-24 2013-07-25 Applied Materials, Inc. Slurry for cobalt applications
KR102649775B1 (en) * 2016-09-28 2024-03-20 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 Chemical mechanical polishing of tungsten using compositions and methods comprising quaternary phosphonium compounds
CN113430065B (en) * 2020-03-23 2024-06-07 上海新阳半导体材料股份有限公司 Composition for removing residues after cleaning and etching of anti-reflection coating, preparation method and application

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3841995B2 (en) * 1999-12-28 2006-11-08 Necエレクトロニクス株式会社 Chemical mechanical polishing slurry
JP3768401B2 (en) * 2000-11-24 2006-04-19 Necエレクトロニクス株式会社 Chemical mechanical polishing slurry

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112342534A (en) * 2020-11-11 2021-02-09 桂林漓佳金属有限责任公司 Passivating agent for passivating copper alloy surface

Also Published As

Publication number Publication date
WO2009071351A1 (en) 2009-06-11

Similar Documents

Publication Publication Date Title
TW200927901A (en) A method for chemically-mechanically polishing patterned surfaces composed of metallic and nonmetallic patterned regions
JP5539934B2 (en) Chemical mechanical polishing slurry useful for copper substrate
TWI434955B (en) Method for chemical mechanical planarization of a tungsten-containing substrate
KR102320653B1 (en) Tungsten chemical mechanical polishing slurries for reduced oxide erosion
RU2356926C2 (en) Abrasive particles for mechanical polishing
TWI296283B (en) Chemical-mechanical polishing composition and method for using the same
TWI412582B (en) Slurry composition for primary chemical mechanical polishing and chemical mechanical polishing method
TW200910445A (en) Method for chemical mechanical planarization of chalcogenide materials
US7678702B2 (en) CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
JP6905002B2 (en) Tungsten buffing slurry for chemical mechanical polishing
TW200829688A (en) Method and slurry for reducing corrosion on tungsten during chemical mechanical polishing
JP2005159166A (en) Slurry for cmp, method of polishing and method of manufacturing semiconductor device
TW201134929A (en) CMP polishing agent and polishing method
WO2017114309A1 (en) Chemical mechanical polishing slurry and application thereof
WO2014089906A1 (en) Application of phosphate ester surfactant in self-stopping polishing
JP2008160112A (en) Composition for chemical mechanical planarization of copper
CN103228756A (en) CMP slurry composition for tungsten polishing
TWI294456B (en)
JP2014007415A (en) Polishing solution for cmp
JPWO2009119485A1 (en) Polishing liquid for metal and polishing method using this polishing liquid
TW201123288A (en) Method for forming through-base wafer vias for fabrication of stacked devices
TW201202402A (en) Polishing agent and polishing method
JP2009224771A (en) Aqueous dispersion for chemical mechanical polishing and method of manufacturing the same, and chemical mechanical polishing method
JP2014159587A (en) Aqueous dispersion for chemical mechanical polishing and kit for preparing the aqueous dispersion for chemical mechanical polishing, and chemical mechanical polishing method
TW201124517A (en) Method for chemical mechanical planarization of a copper-containing substrate