WO2005008754A1 - フレア計測方法、露光方法、及びフレア計測用のマスク - Google Patents

フレア計測方法、露光方法、及びフレア計測用のマスク Download PDF

Info

Publication number
WO2005008754A1
WO2005008754A1 PCT/JP2004/009979 JP2004009979W WO2005008754A1 WO 2005008754 A1 WO2005008754 A1 WO 2005008754A1 JP 2004009979 W JP2004009979 W JP 2004009979W WO 2005008754 A1 WO2005008754 A1 WO 2005008754A1
Authority
WO
WIPO (PCT)
Prior art keywords
pattern
flare
optical system
image
projection optical
Prior art date
Application number
PCT/JP2004/009979
Other languages
English (en)
French (fr)
Inventor
Taro Ogata
Tomoyuki Matsuyama
Katsura Otaki
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to JP2005511825A priority Critical patent/JPWO2005008754A1/ja
Publication of WO2005008754A1 publication Critical patent/WO2005008754A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components

Definitions

  • Flare measurement method Expore measurement method, and mask for flare measurement
  • the present invention relates to a flare measurement technique for measuring a flare of a projection optical system that projects an image of a pattern on a first surface onto a second surface, for example, a semiconductor integrated circuit, a liquid crystal display element, or a thin film. It is suitable for use in measuring the flare of the projection optical system of a projection exposure apparatus used to transfer a mask pattern onto a substrate during the lithographic process for manufacturing various devices such as magnetic heads. Things.
  • the invention further relates to a mask that can be used when measuring flare, using a flare measurement technique, an exposure technique, and the like.
  • a resist as a photosensitive substrate is applied to a pattern of a reticle (or a photomask or the like) as a mask via a projection optical system.
  • a projection exposure apparatus such as a stepper type or a scanning stepper type is used to transfer the image onto each shot area of a wafer (or a glass plate or the like) that has been formed.
  • the flare generated in the optical system is broadly classified as undesirable for imaging caused by forward scattered light generated in a small angle range on the surface of the optical member (such as a lens) or the coating film constituting the optical system.
  • FIG. 16 is a schematic diagram showing a local flare accompanying a pattern projected on a wafer.
  • an elongated desired imaging pattern 31 is projected at the center of a light-shielding portion, and an image is formed.
  • a local flare 32 is projected along with the pattern 31.
  • Local flare Is “fog light” that is generated within a range of several lOOnm to several tens of ⁇ m around the original imaging pattern on the wafer.
  • the intensity of the local flare 32 is about 1% or less with respect to the intensity of the image forming light beam forming the image forming pattern 31.
  • the line width uniformity is degraded by such a low force flare as follows.
  • FIG. 17 shows a pattern of a reticle R1 to be transferred.
  • a first reticle window 34 having an opening (light transmitting portion) in a chrome light-shielding film 35 and a reticle window comprising an opening are formed.
  • elongated reticle patterns 33 and 36 formed of chrome light shielding films having the same shape are formed.
  • FIG. 18 shows a resist image R1P obtained by projecting the pattern of the reticle R1 of FIG. 17 onto a resist-coated wafer via a projection optical system and then developing the resist, and in FIG.
  • the resist images 33P and 36P are irregular patterns formed corresponding to the reticle patterns 33 and 36 in FIG. 17, respectively. If the resist is a positive type, the resist images 33P and 36P have a convex pattern, respectively, and if the resist is a negative type, the resist images 33P and 36P have a concave pattern, respectively.
  • the resist images corresponding to the same reticle patterns 33 and 36 have the same shape.
  • the reticle window 37 around the reticle pattern 36 is wider than the reticle window 34 around the reticle pattern 33, so the local flare generated from the reticle window 37 is the latter. Is larger than the local flare generated from the reticle window 34. That is, the amount of local flare over the resist image 36P and the amount of local flare generated at the reticle window 37 is larger than the amount of local flare generated at the reticle window 34 over the resist image 33P of FIG.
  • the line width of 36P is smaller than the line width of the resist image 33P.
  • the resist image formed by projecting the reticle pattern having the same shape is a local flare in which the opening pattern force around each pattern is also generated, so that the shape (line width) changes.
  • a method of measuring the flare of the projection optical system for example, a plurality of light-shielding patterns of substantially the same shape are formed with a substantially uniform distribution over the entire illumination area of the test reticle
  • a method of projecting and exposing a pattern onto a resist-coated wafer via a projection optical system is known (for example, see Patent Document 1).
  • multiple exposures are performed by changing the integrated exposure amount, and after exposure, the shape of each resist image obtained by development is measured.
  • the amount of flare is determined from the relationship between the amount of exposure when no image is formed) and the amount of exposure when portions corresponding to those light-shielding patterns are exposed (when a resist image is formed).
  • Patent Document 1 International Publication No. 02/09163 pamphlet
  • the line width of the resist image after development is changed not only by flare but also by the aberration of the projection optical system, and the line width of the resist image or the exposure amount when the resist image is formed changes.
  • the wavelength of the exposure light has been shortened. Recently, pulsed light such as a KrF excimer laser having a wavelength of 248 nm and an ArF excimer laser having a shorter wavelength of 193 nm has been used as the exposure light.
  • Excimer lasers are used.
  • a photosensitive material for the excimer laser a highly sensitive chemically amplified resist is used.
  • the line width of the resist image after development is slightly changed due to the volatilization of acid from the resist particularly in the PEB (Post Exposure Bake) step which is a beta before development.
  • the line width of the resist image In addition to the change due to the flare and the aberration, the change includes the change due to the volatilization of the acid in the resist.
  • a method for accurately determining only the change in the line width of a resist image due to volatilization of an acid in the resist is one of the methods for further improving the flare measurement accuracy. Had been a problem.
  • a second object of the present invention is to provide an exposure technique capable of reducing flare of a projection optical system and obtaining high line width uniformity.
  • a flare measuring method is directed to a flare measuring method for measuring a flare of a projection optical system (PL) for projecting an image of a pattern on a first surface onto a second surface.
  • An image of the aperture pattern (38A; 38) disposed on the second and one or more linear patterns (39A; 39) disposed adjacent to the aperture pattern is transmitted to the second through the projection optical system.
  • a first step of projecting an image on a surface, and a second step of obtaining a flare of the projection optical system based on the line width of the image (39AP) of the linear pattern projected on the second surface. is there
  • the line width change of the projected linear pattern image is small with respect to the occurrence of aberration.
  • the flare of the projection optical system can be accurately measured by measuring the line width of the image of the linear pattern or its change.
  • the line width of the image of the linear pattern may be measured at the stage of a latent image or an aerial image of the photosensitive material, which may be measured as an uneven pattern of the developed photosensitive material.
  • the aperture pattern and the linear pattern may be individually exposed by a multiple exposure which does not need to be exposed simultaneously.
  • a plurality of sets of opening patterns (38A, 38B, 38A) having the same shape as the opening pattern and the linear pattern and having different intervals from each other.
  • C) and the images of the linear patterns (39A, 39B, 39C) are projected onto the second surface via the projection optical system, and in the second step, the image widths of the plurality of linear pattern images are reduced. Based on this, the flare of the projection optical system may be obtained.
  • the images of the opening pattern (38) and the image of the linear pattern (39) may be exposed a plurality of times while changing the intervals so as not to overlap each other.
  • an image of another linear pattern (47B) is superposed on the image of the linear pattern (47A) at a predetermined small crossing angle, and is exposed.
  • the width (hi, hn) in the longitudinal direction of the portion (47AP, 48BP) where the image of the linear pattern and the image of the other linear pattern overlap is measured, and the projection is performed based on the measurement result.
  • the flare of the optical system may be determined.
  • the width of the obtained pattern image in the longitudinal direction greatly increases the line width of the linear pattern image. Value. Therefore, since the line width of the image of the linear pattern can be substantially increased and the measurement can be performed with high accuracy, the flare can be measured with a higher accuracy or a simpler measuring device. Can be measured.
  • the size of the opening pattern is approximately several xm—several hundred zm square, and the width of the linear pattern is approximately several 100 nm several xm.
  • the distance from the linear pattern is about 1 ⁇ m—several tens of ⁇ m.
  • a photosensitive material (PR) is coated on a substrate (W).
  • a coating process for coating the upper layer film (TC) on the photosensitive material, and aligning the surface of the substrate substantially with the second surface to form the opening pattern and the opening pattern.
  • a projecting step of projecting an image of one or more linear patterns arranged adjacent to the surface of the substrate via the projection optical system (step 103), and before developing the photosensitive material on the substrate It is desirable to include a pre-development step of performing beta (step 104) and a development step of developing the photosensitive material on the substrate to form an image of the unevenness of the linear pattern (step 105).
  • the flare can be measured with high accuracy by eliminating the error caused by the photosensitive material.
  • one example of the photosensitive material is a chemically amplified resist.
  • the upper layer film suppresses volatilization of acid from the photosensitive material in the pre-development step.
  • a chemically amplified resist a short wavelength exposure beam such as an excimer laser can be used, so that the line width of a linear pattern to be transferred can be made finer, and the flare measurement accuracy can be improved.
  • a short wavelength exposure beam such as an excimer laser
  • the exposure method according to the present invention is directed to an exposure method for projecting and exposing a pattern of a first object (R) onto two objects (W) via a projection optical system (PL).
  • the method includes a measurement step of measuring the flare of the projection optical system using a measurement method, and a correction step of correcting the flare of the projection optical system based on the measurement result in the measurement step.
  • the flare of the projection optical system can be accurately measured by using the flare measuring method of the present invention. Therefore, in the correction process, for example, by reworking an optical member such as a lens in the projection optical system or by replacing the optical member, flare of the projection optical system can be reduced. . Therefore, high line width uniformity can be obtained.
  • the mask according to the present invention is a mask for flare measurement of a projection optical system, and includes an opening pattern (38A; 38) and one or more lines arranged adjacent to the opening pattern. A pattern (39A; 39) is formed.
  • the flare measuring method of the present invention can be used.
  • the opening pattern is a square pattern of approximately several ⁇ m and several hundred ⁇ m square
  • the linear pattern is a line 'and' space pattern having a line pattern width of approximately several 100 nm and several xm.
  • the distance between the opening pattern and the linear pattern is about 1 m several tens xm. This enables accurate measurement of local flares in particular.
  • the change in the line width of the projected image of the linear pattern is substantially caused by the flare of the projection optical system, substantially only the flare of the projection optical system can be accurately measured. it can.
  • the upper layer film on the photosensitive material, for example, when a high-sensitivity photosensitive material is used, it is possible to prevent the deterioration of the flare measurement accuracy caused by the photosensitive material. Further, by correcting the flare of the projection optical system based on the measurement result, the line width uniformity of the transfer pattern can be improved.
  • FIG. 1 is a perspective view showing a projection exposure apparatus according to an example of an embodiment of the present invention.
  • FIG. 2 is a plan view showing an example of a test reticle pattern for flare measurement of a projection optical system.
  • FIG. 3 is a plan view showing a resist image obtained by transferring the pattern of the test reticle of FIG. 2 onto a wafer via a projection optical system.
  • FIG. 4 is an enlarged view showing a state of the wafer after a photoresist and a top coat are applied on the wafer until a pattern for flare measurement is formed on the wafer.
  • Figure 5 shows the application of a photoresist on a wafer, followed by flare measurement on the wafer.
  • FIG. 5 is an enlarged view showing a state of a wafer until a pattern is formed.
  • FIG. 6 is a flowchart showing an example of a flare measurement operation in the embodiment of the present invention.
  • FIG. 7 is a diagram showing a simulation result of a relationship between a distance between a pad and a space of the resist image of FIG. 3 and a line width of a space pattern image in a state where there is no aberration of the projection optical system. You.
  • FIG. 8 is a diagram showing a simulation result of a relationship between a distance between a pad and a space of the resist image of FIG. 3 and a line width of a space pattern image in a state where the projection optical system has an aberration. You.
  • FIG. 9 is a diagram showing a simulation result of a relationship between a distance between a pad and a space of the resist image of FIG. 3 and a line width of a space pattern image when the projection optical system has more aberrations. .
  • FIG. 10 is a plan view showing another test reticle used in an example of the embodiment.
  • FIG. 11 is a plan view showing a resist image obtained by transferring a part of the pattern of the test reticle of FIG. 10 onto a wafer via a projection optical system.
  • FIG. 12 is a plan view showing a resist image obtained by transferring a part of the pattern of the test reticle of FIG. 10 onto a wafer three times via a projection optical system.
  • FIG. 13 is a plan view showing a resist image obtained by transferring the pattern of the test reticle of FIG. 10 onto a wafer six times via a projection optical system.
  • FIG. 14 is a plan view showing a test reticle pattern used in another example of the embodiment of the present invention.
  • FIG. 15 is a plan view showing a resist image obtained by transferring the pattern of the test reticle of FIG. 14 onto a wafer by way of a projection optical system.
  • FIG. 16 is a schematic diagram showing local flare accompanying a pattern projected on a wafer.
  • FIG. 17 is a diagram showing an example of a reticle pattern used for describing a flare.
  • FIG. 18 is a view showing a state in which the reticle pattern of FIG. 17 is transferred through a projection optical system and then developed.
  • FIG. 4 is a view showing a resist image obtained by the above method.
  • the present invention is applied to the case where flare of a projection optical system mounted on a scanning exposure type projection exposure apparatus is measured.
  • the flare of the projection optical system can be measured, for example, by using an inspection device including an illumination optical system and a simple stage mechanism for holding a mask and a wafer when the projection optical system is assembled and adjusted.
  • description will be made assuming that an actual projection exposure apparatus is used as an inspection apparatus.
  • the flare measurement using such an actual projection exposure apparatus can be performed, for example, in a case where line width uniformity is reduced in the exposure step, in order to analyze the cause.
  • FIG. 1 shows a schematic configuration of a projection exposure apparatus equipped with a projection optical system PL for measuring flare.
  • an ArF excimer laser light source (wavelength 193 ⁇ m) is used as the exposure light source 6.
  • the exposure light source is an ultraviolet pulse laser light source such as a KrF excimer laser light source (wavelength 247 nm), an F laser light source (wavelength 157 nm), a Kr laser light source (wavelength 146 nm), an Ar laser light source (wavelength 126 nm), or a YAG laser.
  • a harmonic generation light source a solid-state laser (such as a semiconductor laser) harmonic generation device, or a mercury lamp (such as an i-line).
  • Exposure light (exposure illumination light) IL as an exposure beam pulsed from the exposure light source 6 at the time of exposure includes a mirror 7, a beam shaping optical system (not shown), a first lens 8A, a mirror 9, and a
  • the cross-sectional shape is shaped into a predetermined shape via the two lenses 8B, and is incident on the fly-eye lens 10 as an optical 'integrator (uniformizer or homogenizer), so that the illuminance distribution is uniformed.
  • the exit surface (pupil surface of the illumination optical system) of the fly-eye lens 10 has an aperture stop ( ⁇ ) for determining the illumination conditions by setting the light intensity distribution of the exposure light to a circle, a plurality of eccentric regions, a ring shape, or the like.
  • An illumination system aperture stop member 11 having 13A, 13B, 13C, and 13D is rotatably arranged by a drive motor 12.
  • Exposure light IL that has passed through the aperture stop in the illumination system aperture stop member 11 passes through a low-reflectance beam splitter 14 and relay lens 17A, then passes through a fixed blind 18A as a fixed field stop and a movable blind as a movable field stop. Pass through 18B sequentially.
  • the movable blind 18B is used as a mask.
  • the fixed blind 18A is arranged on a plane slightly defocused from the plane conjugate with the reticle plane, and the fixed blind 18A is arranged on a plane almost conjugate with the pattern plane (reticle plane) of the reticle R.
  • the fixed blind 18A is used to define an illumination area 21R on the reticle surface as an elongated, slit-shaped area in a non-scanning direction orthogonal to the reticle R running direction.
  • the movable blind 18B has two pairs of blades that are relatively movable in directions corresponding to the running direction and the non-running direction of the reticle R, respectively, at the start and end of running exposure to each shot area to be exposed. It is used to close the illuminated area in the running direction, so that sometimes unnecessary portions are not exposed.
  • the movable blind 18B is also used to define the center and width of the illumination area in the non-running direction.
  • the exposure light IL passing through the blinds 18A and 18B passes through the sub-condenser lens 17B, the mirror 19 for bending the optical path, and the main condenser lens 20 to uniformly illuminate the illumination area 21R of the pattern area of the reticle R as a mask. Illuminate with distribution.
  • the exposure light reflected by the beam splitter 14 is received by an integrator sensor 16 composed of a photoelectric sensor via a condenser lens 15.
  • the detection signal of the integrator sensor 16 is supplied to the exposure control system 3, which controls the optical system from the beam splitter 14 measured in advance to the wafer W as a substrate (photosensitive substrate).
  • the exposure energy on the wafer W is calculated indirectly using the transmittance of the wafer W.
  • the exposure control system 3 controls the exposure light source 6 based on the integrated value of the calculation result and the control information from the main control system 1 that supervises and controls the operation of the entire apparatus so as to obtain an appropriate exposure on the wafer W. Controls the light emission operation.
  • the illumination optical system 5 includes the lens 20.
  • the pattern in the illuminated area 21R of the reticle R is projected at a projection magnification of / 3 (/ 3 is 1Z4, 1Z5, etc.) via the projection optical system PL of telecentric on both sides, and the resist is It is projected onto one shot area SA on the applied wafer W on a slit-shaped exposure area 21W which is elongated in the non-scanning direction.
  • the wafer W is, for example, a semiconductor (such as silicon) or SO silicon on It is a disk-shaped substrate with a diameter of about 200-300 mm, such as insulator).
  • the pattern surface (reticle surface) of reticle R and the surface (wafer surface) of wafer W correspond to the first surface (object surface) and the second surface (image surface) of the projection optical system, respectively. Further, reticle R and wafer W can be regarded as a first object and a second object (sensitive object), respectively.
  • the Z-axis is taken parallel to the optical axis AX of the projection optical system PL, and the reticle R and the wafer W at the time of scanning exposure are perpendicular to the scanning direction in a plane perpendicular to the Z-axis. Take the X axis in the running direction and the Y axis in the running direction.
  • the reticle R is held on a reticle stage (movable body) 22 and the reticle stage
  • the reticle R 22 moves at a constant speed in the Y direction on the reticle base 23, and finely moves in the X direction, the Y direction, and the rotation direction so as to correct a synchronization error with the wafer stage 28 described later. I do.
  • the position of the reticle stage 22 is measured by a movable mirror (not shown) and a laser interferometer (not shown) provided thereon, and based on the measured values and control information from the main control system 1, the stage drive is performed.
  • the system 2 controls the position and speed of the reticle stage 22 via a drive mechanism (not shown) (such as a linear motor).
  • a reticle stage system is configured by the above-described reticle stage 22, stage drive system 2, drive mechanism, and laser interferometer.
  • a reticle alignment microscope (not shown) for reticle alignment is arranged above a peripheral portion of the reticle R.
  • a reticle loader (not shown) for exchanging reticles on the reticle stage 22 and a reticle library containing a plurality of reticles are installed near the reticle stage 22.
  • the wafer W is held on a wafer stage 28 via a wafer holder 24, and the wafer stage 28 moves at a constant speed in the Y direction on the wafer base 27, and moves in steps in the X and Y directions. It has a moving XY stage 26 and a Z tilt stage 25.
  • the Z tilt stage 25 performs focusing and leveling of the wafer W based on a measurement value of a position of the wafer W in the Z direction by an autofocus sensor (not shown).
  • the position of the wafer stage 28 in the XY plane and the rotation angles around the X, Y, and Z axes are measured by a laser interferometer (not shown), and the measured values and the control information from the main control system 1 are used.
  • the stage drive system 2 is based on a drive mechanism (not shown) Controls the operation of page 28.
  • a wafer stage system is configured by the above-described wafer holder 24, wafer stage (movable body) 28, stage drive system 2, drive mechanism, and laser interferometer.
  • a light amount sensor including an irradiation amount monitor having a light receiving surface 30B larger than the exposure area 21W and an illuminance sensor having a pinhole-shaped light receiving surface 30A
  • the unit 29 is fixed, and two detection signals of the light amount sensor unit 29 are supplied to the exposure amount control system 3.
  • an off-axis type alignment sensor 36 for wafer alignment is arranged, and the main control system 1 performs alignment of the wafer W based on the detection result.
  • the reticle stage 22 and the wafer stage 28 are driven to synchronize the reticle R and one shot area on the wafer W in the Y direction while irradiating the exposure light IL.
  • the operation of driving the stage 28 to move the wafer W stepwise in the X and Y directions is repeated.
  • the pattern image of the reticle R is exposed on each shot area on the wafer W by the step-and-scan method.
  • the flare of the projection optical system includes a so-called local flare caused by forward scattered light generated in a small angle range on the surface of the optical member or the coating film, and a so-called local flare caused by reflection on the coating of the optical member surface.
  • the long range flare is measured. For example, by changing the pattern of the test reticle described later, the long range flare can be measured in the same manner.
  • a test reticle R2 as a mask for flare measurement shown in FIG. 2 is loaded on the reticle stage 22 shown in FIG.
  • the X direction and Y direction in Fig. 2 correspond to the X direction (non-running direction) and the Y direction (running direction) in Fig. 1, respectively.
  • the pattern area of the test reticle R2 has a light-shielding film 41 of chrome or the like as a background. Then, a pad pattern 38A composed of a rectangular opening pattern having a width D in the X direction and a height H in the Y direction is formed. Also, in the light shielding film 41, eight space patterns 40 each having a rectangular opening pattern having a width d in the X direction and a longitudinal direction in the Y direction are respectively formed at intervals rl adjacent to the pad pattern 38A in the X direction in the light shielding film 41. A line 'and' space pattern (hereinafter referred to as “L & S pattern”) 39A arranged at a pitch P in the direction is formed.
  • L & S pattern line 'and' space pattern
  • L & S pattern 39A corresponds to the linear pattern. Note that the number of space patterns 40 of the L & S pattern 39A can be one or more. If the L & S pattern 39A is composed of one space pattern 40 (isolated line), the space pattern 40 corresponds to a linear pattern. I do. Also, as the L & S pattern 39A, a linear pattern in which two or more rectangular light shielding patterns are arranged in the X direction may be used.
  • the width D and the width H of the pad pattern 38A are set to several ⁇ m to several hundred zm, which are equal to each other. That is, the pad pattern 38A is a square open pattern. However, the width D and the width H of the pad pattern 38A may be set to different values within a range of several ⁇ —several hundred ⁇ m. Further, the width d in the X direction of the space pattern 40 constituting the L & S pattern 39A is several lOOnm—several ⁇ , and the length of the space pattern 40 in the ⁇ direction is about several tens times the width d, that is, ⁇ m—Several tens of ⁇ m. In the example of FIG. 2, the length of the space pattern 40 in the Y direction is set to be substantially equal to the height H of the pad pattern 38A in the Y direction. The pitch P of the arrangement of the space patterns 40 is almost twice the width d.
  • the pad pattern 38B and the L & S pattern 39B are formed in the light shielding film 41 of the test reticle R2 at intervals of about several / im in the Y direction with respect to the pad pattern 38A and the L & S pattern 39A.
  • the pad pattern 38C and the L & S pattern 39C are formed at intervals of about several ⁇ m in the Y direction with respect to the pad pattern 38B and the L & S pattern 39B.
  • the pad patterns 38B and 38C are opening patterns having the same shape as the pad pattern 38A, respectively, and the L & S patterns 39B and 39C are patterns having the same configuration as the L & S pattern 39A, respectively (eight space patterns 40 are arranged at a pitch P in the X direction). ).
  • the distance r2 between the center pad pattern 38B and the L & S pattern 39B in the X direction is several times the distance rl between the upper pad pattern 38A and the L & S pattern 39A in the X direction.
  • the distance r3 in the X direction between the lower pad pattern 38C and the L & S pattern 39C is set to be about 10 times that of the distance r2.
  • the interval rl is about 1 / im
  • the interval r2 is about several ⁇ m
  • the interval r3 is about tens of ⁇ m.
  • a photoresist PR as a photosensitive material is applied to the upper surface having flatness.
  • a chemically amplified resist which is a highly sensitive resist, is used as the photoresist PR.
  • the acid from the photoresist at the time of PEB (Post-Exposure Bake) described later is put on the photoresist PR (chemically amplified resist in this example) on the wafer W.
  • PEB Post-Exposure Bake
  • a top coat TC as an upper layer film is applied in order to suppress volatilization of water.
  • the thickness of the photoresist PR is about 100 to 200 nm
  • the thickness of the top coat TC is about 100 nm.
  • Figures 4 (A), (B), (C), and (D) show enlarged side views of a part of wafer W. Forces in the thickness direction of parts other than wafer W are set to be large. Have been.
  • step 103 of FIG. 6 the unexposed wafer W on which the photoresist PR and the top coat TC are applied in a superimposed manner is loaded onto the wafer holder 24 on the wafer stage 28 in FIG. Then, the pattern of the test reticle R2 shown in FIG. 2 (flare evaluation pattern) is projected onto the wafer W by the scanning exposure method via the projection optical system PL using the projection exposure apparatus shown in FIG. Transfer exposure is performed on one shot area. At this time, the pattern of the test reticle R2 may be transferred and exposed on each of a plurality of shot areas on the wafer W.
  • the exposure light IL including the imaging light fluxes 38A1 and 39A1 of the projected image corresponding to the pad pattern 38A and the L & S pattern 39A of the test reticle R2 in FIG. 2, respectively, as shown in FIG.
  • the resist PR and the top coat TC are exposed.
  • 4 (B) and 4 (C) the portions with a large amount of exposure are finely hatched, and the portions with little or no exposure are coarse and hatched.
  • step 104 where PEB, which is a pre-development beta of the photoresist PR of the exposed wafer W, is performed in a baking device (not shown). Since the exposure beam in this example is a single-wavelength ArF excimer laser beam, PEB is required to reduce the deformation of the resist pattern. As shown in Fig. 4 (C), the exposure of the photoresist PR, which is a chemically amplified resist, is large due to the heat treatment with PEB, and the acid 50 is generated in some parts. Suppressed by Court TC. Therefore, the acid 50 is prevented from adhering to the portion exposed by the exposure light 39A1 that has passed through the L & S pattern 39A.
  • the photoresist PR of the wafer W on which the PEB has been performed is developed in a developing device (not shown).
  • a resist image having concavities and convexities corresponding to the projected image of the pattern of the test reticle R2 in FIG. 2 is formed in one or a plurality of shot areas on the wafer W.
  • a periodic concave resist image 39AP corresponding to the image of the L & S pattern 39A is formed.
  • the line width dPl of the space pattern image 40A closest to the resist image 38AP in the resist image 39AP is measured.
  • the acid 50 generated in the photoresist PR of FIG. 4 (C) does not adhere to other parts, the line width dPl of the space pattern image 40A is reduced by the volatilization of the acid 50. No change has occurred. Therefore, even if a chemically amplified resist is used, only the change in the line width of the resist pattern due to flare (particularly local flare) can be measured, and as a result, flare can be measured with high accuracy.
  • FIG. 5 (A) a change in the state of the wafer W corresponding to FIG. 4 when the step of applying the top coat in step 102 is omitted is shown in FIG. 5 (A) (resist coating).
  • FIG. 5 (B) exposure
  • FIG. 5 (C) PEB
  • FIG. 5 (D) development
  • PEB Post-Exposure Bake
  • a pad pattern opening pattern
  • the generated acid 50 is volatilized in the portion of the L & S pattern image adjacent to the pad pattern image. Therefore, after the development of the photoresist PR, the line width dQl of the space pattern image 40A1 close to the resist image 38AP becomes narrower than the original line width as shown in FIG. Decrease.
  • a top coat is used to suppress volatilization of an acid.
  • a photoresist with a small amount of acid volatilization when the line width of the image of the L & S pattern is large and the effect of acid volatilization is small, or when a mercury lamp emission line ( In the case of using i-line, etc., flare can be measured with high accuracy even if the top coat is omitted.
  • FIG. 3 shows a resist image formed on the wafer W by transferring and developing the pattern image of the test reticle R2 of FIG.
  • the projection optical system PL in FIG. 1 performs reverse projection, but for convenience of explanation, FIG. 3 assumes that an erect image is projected.
  • the resist on the wafer W may be either a positive type or a negative type. However, assuming that the resist is a positive type here, the background portion 41P in FIG. 3 corresponding to the light shielding film 41 in FIG. This is the remaining convex part. Also, in FIG.
  • square concave resist images 38AP, 38BP, 38CP corresponding to the images of the pad patterns 38A, 38B, 38C of FIG. 2 are formed on the wafer W, and the L & S patterns 39A, 39B of FIG. , 39C corresponding to the L & S pattern-shaped concave resist images 39AP, 39BP, 39CP.
  • the projection magnification ⁇ of the projection optical system PL from the reticle to the wafer is used, the distances in the X direction between the resist images 38 ⁇ and 39 ⁇ , the resist images 38 ⁇ and 39 ⁇ , and the resist images 38CP and 39CP are as shown in FIG. It is almost twice the corresponding spacing rl, r2, and r3.
  • the width in the X direction of each space pattern image composed of the concave portions constituting the resist images 39AP, 39BP, and 39CP is the width d of the space pattern 40 in FIG. ⁇ times of
  • the local flare is a resist image 38AP in FIG. 3 corresponding to the images of the pad patterns 38A, 38B, and 38C in FIG. , 38BP, 38CP. Therefore, since the distance between the upper resist images 38AP and 39AP is the narrowest and the distance between the lower resist images 38CP and 39CP is the widest, the amount of local flare is limited to the upper resist image 39AP, the central resist image 39BP, and the lower resist image. It decreases in the order of 39CP. In other words, among the resist images 39AP that are close to the upper resist image 38AP in FIG.
  • the line width dPl after development is considerably wider than the original width ⁇ ′ d because the local flare is applied most often.
  • the space pattern image 40C closest to the resist image 38CP in the resist image 39CP which is close to the lower resist image 38CP in the X direction the low-power no-flare hardly reaches, so that the line width dP3 after development is almost the original value.
  • the width of / 3'd is the same as d.
  • the local flare amount is almost the same as the upper and lower space pattern images 40A and 40C in the space pattern image 40B closest to the resist image 38BP. Since the line width is intermediate, the line width dP2 after the development is approximately intermediate between the upper and lower line widths dPl and dP3. Therefore, the following relationship is established.
  • the line width change of the space pattern images 40A, 40B, and 40C increases as the amount of local flare increases, the amount of local flare can be evaluated (determined) from the measured values of the line widths. Therefore, in this example, the line widths dPl, dP2, and dP3 in the X direction of the three space pattern images 40A, 40B, and 40C in the developed resist image of FIG. 3 are accurately determined using, for example, a scanning electron microscope. To measure. At this time, when the pattern of the test reticle R2 in FIG. 2 is transferred to a plurality of shot areas on the wafer W, lines in the X direction of the space pattern images 40A, 40B, and 40C are respectively applied to the plurality of shot areas.
  • the widths dPl, dP2, and dP3 may be measured, and the average value of these measurement results may be used as the line width of the space pattern images 40A, 40B, and 40C. As a result, the exposure error and the measurement error are averaged, and the measurement accuracy is improved.
  • the resist on the wafer W is of a negative type, the relationship of the line width in the equation (1) is the same, except that the unevenness of the resist image in FIG. 3 is reversed.
  • step 107 of FIG. 6 the local flare of the projection optical system PL is measured (evaluated).
  • the inventors of the present invention performed a computer simulation on the relationship between the amount of local flare of the projection optical system PL and the line width of the space pattern image 40A-40C, and refer to FIGS. Will be explained.
  • the horizontal axes in FIGS. 7, 8, and 9 indicate the pad patterns 38A, 38B, and 38C on the test reticle R2 in FIG. 2 and the space pattern 40 closest to the corresponding pad pattern among the L & S patterns 39A, 39B, and 39C.
  • Rl, r2, r3 (nm) in the X-direction (distance between the pad and the space), and their vertical axes are the resist images 38AP, 38BP of the pad pattern most in the resist image of FIG.
  • the line widths dPl, dP2, and dP3 (nm) of the near space pattern images 40A, 40B, and 40C, respectively, are shown.
  • the wavelength ⁇ of the exposure light IL in FIG. 1 is 193 nm (ArF excimer laser), the numerical aperture NA of the projection optical system PL to be detected is 0.78, and the coherence factor of the illumination optical system 5 is A certain ⁇ value was 0.85.
  • the width D and height ⁇ of the pad pattern 38 ⁇ in FIG. 2 are both l ⁇ m, and the width d of the space pattern 40 of the L & S pattern 39A is 140 nm.
  • the values of the width D, the height H, and the width d are values in a projected image by the projection optical system PL.
  • the nth-order (n is an integer of 1 or more) Zemike Polynomial (Zernike polynomial) is represented by Zn, and in this example, the aberration of the projection optical system PL is expressed by the Zemike Polynomial's wavefront aberration up to the 37th order (Z1-Z37).
  • the oral flares of the projection optical system PL are expressed by the total RMS of higher order wavefront aberrations of the 38th order (Z38) or higher of Zernike Polynomial.
  • the unit of the wavefront aberration is the exposure wavelength; I.
  • the aberration of the projection optical system PL that is, the total RMS of the wavefront aberrations up to the 37th order (Z1-Z37) of the Zemike Polynomial is Om ⁇ , 13m, and 26m ⁇ .
  • the line width of the space pattern image with respect to the distance between the pad spaces was calculated.
  • Fig. 7 shows the calculation results when the RMS as the aberration is 0m
  • Fig. 8 shows the calculation results when the RMS as the aberration is 13m
  • Fig. 9 shows the calculation results when the RMS is 26m as the aberration.
  • the local flare of the projection optical system PL that is, the total RMS of the 38th (Z38) or higher order wavefront aberration of the Zemike Polynomial is Om, 10m, and 20m.
  • the line width of the space pattern image with respect to the distance between the pad spaces was calculated.
  • the broken curves 42B, 43B, and 44B 10 m ⁇ as the local flare.
  • the change is not substantially affected by the aberration of the projection optical system PL (RMS of Z1 to Z37), and is mainly caused by the local flare of the projection optical system PL (RMS of Z38 or more). .
  • the result of the line width measurement of the space pattern image substantially represents only the line width change caused by local flare.
  • LF3 can be quantitatively and accurately obtained within a range of about Om to 20 m.
  • the process of obtaining the local flare from the measured value of the line width as described above is executed by, for example, a host computer (an arithmetic unit for obtaining the flare) not shown.
  • the average value of LF1, LF2, and LF3 of the three local flares may be used as the local flare of the projection optical system PL.
  • Such averaging may improve measurement accuracy in some cases.
  • the distance between the pad and the space is the shortest, and the line width of the space pattern image changes greatly when the distance is the largest (the detection sensitivity is high). Local flare may be obtained only from the width measurement result.
  • the line width of the resist image hardly changes with respect to the occurrence of aberration of the projection optical system PL. Since a reticle pattern with a large change in the line width of the image is used, the line width (or change in the line width) of the resist image is simply measured using this reticle pattern, and the aperture of the projection optical system PL is measured. The amount of one cal flare can be measured accurately.
  • a test reticle R3 as a mask for flare measurement shown in FIG. 10 is loaded on the reticle stage 22 of FIG.
  • a pair of two-dimensional alignment marks 45A and 45B are formed so as to sandwich the pattern area of the test reticle R3 in the X direction.
  • a pad pattern 38 composed of a rectangular opening pattern having a width D in the X direction and a height H in the Y direction is formed with a light shielding film 46 such as chrome as a background.
  • eight space patterns 40 each having a rectangular opening pattern having a width d in the X direction and a longitudinal direction in the Y direction are provided at intervals of, for example, several mm in proximity to the pad pattern 38 in the X direction.
  • L & S patterns 39 arranged at a pitch P in the X direction are formed.
  • L & S pattern 39 corresponds to the linear pattern.
  • the shapes of the pad pattern 38 and the L & S pattern 39 are the same as those of the pad pattern 38A and the L & S pattern 39A in FIG. 2, respectively, where the width D is set equal to the height H.
  • the positional relationship between the alignment marks 45A and 45B, the pad pattern 38, and the L & S pattern 39 is stored as exposure data in the main control system 1 in FIG.
  • a wafer W1 an unexposed wafer coated with a resist (referred to as a wafer W1) is loaded on the wafer holder 24 on the wafer stage 28 in FIG.
  • the test reticle R3 is aligned by detecting the positions of the alignment marks 45A and 45B in FIG. 10 using a reticle alignment microscope (not shown) in FIG.
  • the illumination area of the exposure light IL is changed to the L & S during the scanning exposure in the Y direction as shown by the illumination area 21RA in FIG.
  • Light only pattern 39 Set as follows. In this illuminated state, the L & S pattern 39 of the test reticle R3 in FIG.
  • the L & S pattern 39 may be transferred and exposed on other plurality of second and third shot areas on the wafer W1 (the same applies hereinafter). Thereby, as shown in FIG. 11, the first resist image 39AP of the L & S pattern 39 is transferred to the first shot area on the wafer W1.
  • the wafer W1 is moved in the Y direction by the width of the resist image 39AP in the Y direction and a width of several xm, and similarly, only the L & S pattern 39 of the test reticle R3 in FIG. Transfer exposure is performed on the first shot area on the wafer W1 by the scanning exposure method via the system PL.
  • the wafer W1 is again step-moved in the Y direction by the width of the resist image 39AP in the Y direction and the width of several zm, and similarly, only the L & S pattern 39 of the test reticle R3 in FIG. Transfer exposure is performed on the first shot area on the wafer W1 by the scanning exposure method.
  • the first, second, and third resist images 39AP, 39BP, and 39CP of the L & S pattern 39 are several ⁇ m in the Y direction in the first shot area on the wafer W1. Transferred at m intervals.
  • the illumination area of the exposure light IL can be adjusted as shown in the illumination area 21RB of FIG. It is set so that only the pattern 38 is illuminated.
  • the wafer W1 in FIG. 12 is step-moved in the X and Y directions so that the pad pattern 38 is projected in the positional relationship of the resist image 38AP in FIG. 3, the projection in FIG.
  • the exposure apparatus only the pad pattern 38 of the test reticle R3 in FIG. 10 is transferred and exposed onto the first shot area on the wafer W1 via the projection optical system PL by a scanning exposure method.
  • the position of the wafer W1 is sequentially moved in the X and Y directions so that the pad pattern 38 is projected in the positional relationship between the resist images 38BP and 38CP in FIG. 3, and the test reticle in FIG. Only the pad pattern 38 of R3 is transferred and exposed on the first shot area on the wafer W1 by the scanning exposure method via the projection optical system PL.
  • the first, second, and third resists of the pattern 38 are located in the first shot area on the wafer W1 so as to be close to the resist images 39AP, 39BP, and 39CP in the X direction.
  • Images 38AP, 38BP, 38CP are transferred .
  • the exposed wafer W1 is developed in a developing device (not shown). As a result, a concave / convex resist image corresponding to the resist image in FIG. 13 is formed in one or a plurality of shot areas on the wafer W1.
  • the resist image 39AP, 39BP, and 39CP of the L & S pattern 39 on the wafer W1 in FIG. Measure the line width in the X direction of the resist image corresponding to the space pattern images 40A, 40B, and 40C close to the resist images 38AP, 38BP, and 38CP. Then, by applying this measurement result to, for example, the simulation of FIG. 7, the amount of local flare of the projection optical system PL can be accurately obtained.
  • the local flare of the projection optical system PL is measured.
  • the change in the line width of the resist image of the L & S pattern 39C is measured.
  • long range flare caused by reflection from the coating film of the optical member of the projection optical system PL can be obtained.
  • the force for measuring the line width of the developed resist image for example, The line width may be measured at the stage of the resist image (latent image) applied on the wafer.
  • a thermosensitive resin or the like may be used as the photosensitive material (photoconductor).
  • the reticle stage 22 of the projection exposure apparatus shown in FIG. 1 is stopped, and for example, the image of the pad pattern 38A and the L & S pattern 39A of the test reticle R2 shown in FIG.
  • the wafer stage 28 While projecting onto the wafer stage 28 via the projection optical system PL in Fig. 1, the wafer stage 28 is moved in the X direction, and the image of the L & S pattern 39A is formed on the pinhole-shaped light receiving surface 30A of the light quantity sensor unit 29. May be scanned in the X direction, and the line width of the image (aerial image) of the L & S pattern 39A may be directly measured from the detection signal. Even if the measurement result of this line width is applied to the simulation result of Fig.
  • a spatial image measurement system including a relay imaging system and an image sensor such as a line sensor (CCD, etc.) is provided on the wafer stage 28, and the L & S The line width of the pattern image may be directly measured.
  • the flare of the projection optical system PL can be measured in a very short time without performing the resist coating and developing steps.
  • the line width of the resist image can be measured by substantially enlarging it.
  • FIGS. 14 and 15 parts corresponding to FIGS. 2 and 3 are given the same or similar reference numerals. The detailed description is omitted. Also in this example, assuming that the flare of the projection optical system PL is measured using the projection exposure apparatus of FIG. 1, the measurement operation will be described in the following first step and second step.
  • test reticle R4 as a mask for flare measurement shown in FIG. 14 is loaded on the reticle stage 22 in FIG.
  • a pair of two-dimensional alignment marks 45A and 45B are formed so as to sandwich the pattern area of the test reticle R4 in the X direction.
  • a pad pattern 38 composed of a rectangular opening pattern having a width D in the X direction and a height H in the Y direction is formed with a light shielding film 46 such as chrome as a background.
  • the pad pattern 38 is adjacent to the pad pattern 38 in the X direction, and at the center r 1 in the Y direction, the width in the X direction is set.
  • a first L & S pattern 47 # is formed in which eight space patterns 48 each composed of a rectangular opening pattern having a longitudinal direction substantially in the Y direction are arranged at a pitch ⁇ in the X direction.
  • each space pattern 48 of the L & S pattern 47 ⁇ is inclined at an angle ⁇ in the counterclockwise direction with respect to the ⁇ direction.
  • first rectangular L & S patterns 47 each having a width d in the X direction and a longitudinal direction substantially in the Y direction, are provided at intervals of several zm in the ⁇ direction with respect to the first L & S pattern 47 ⁇ .
  • each space pattern 49 of the second L & S pattern 47B is inclined clockwise with respect to the Y direction by an angle ⁇ . That is, the space pattern 48 forming the first L & S pattern 47A and the space pattern 49 forming the second L & S pattern 47B are inclined to intersect at an angle of 2 °.
  • L & S patterns 47A and 47B force each correspond to a linear pattern.
  • the shape of the pad pattern 38 is the same as the pad pattern 38A in FIG. 2, and the width D and the height H are set to be equal.
  • the positional relationship between the alignment marks 45A and 45B, the pad pattern 38 and the L & S patterns 47A and 47B is stored as exposure data in the main control system 1 in FIG.
  • the inclination angle ⁇ is, as an example, the end of the space patterns 48, 49 at the height H as follows. Is set at an angle that is displaced by the width P in the X direction.
  • an unexposed wafer coated with a photoresist is loaded on the wafer holder 24 on the wafer stage 28 in FIG.
  • the photoresist is a chemically amplified resist
  • the test reticle R4 is aligned by detecting the positions of the alignment marks 45A and 45B in FIG. 14 using a reticle alignment microscope (not shown) in FIG.
  • the projection exposure apparatus of FIG. 1 in the same manner as during normal exposure, only the pad pattern 38 and the first L & S pattern 47A of the test reticle R4 of FIG. In wafer Transfer exposure is performed on the upper first shot area.
  • the pattern may be transferred and exposed on the shot areas such as 3 (the same applies hereinafter).
  • the resist images 47AP and 47BP obtained by overlapping and exposing the two L & S patterns 47A and 47B are formed.
  • the resist is of a positive type.
  • the wedge-shaped (diamond-shaped) overlapping portion A of the space pattern images 48A and 49A of the portion closest to the resist image 38AP of the pad pattern 38 of the resist images 47AP and 47BP in the X direction due to the large local flare.
  • the line width dPl becomes thicker, and the length hi in the Y direction becomes longer accordingly.
  • the wedge-shaped overlapping portion H of the space pattern images 48H and 49H at the most distant resist image 38AP force has almost no local flare
  • the X-direction line width dP n has almost no local flare
  • the length hn in the Y direction is correspondingly shorter.
  • the height hi in the Y direction of the overlapping portion A of the space pattern images 48A and 49A is shown. Is measured. At this time, since the height hi is approximately 10 times larger than the line width dPl, the measurement can be performed with relatively high accuracy even by using the alignment sensor 36 in FIG. 1, for example. Also, the space pattern furthest from the resist image 38AP in Fig.
  • the height hn in the Y direction of the overlapping portion H of the space pattern images 48H and 49H is also measured using, for example, the alignment sensor 36 in FIG. I do.
  • the force of equation (3) also determines the line widths dPl and dPn in the X direction of the space pattern images 48A and 48H, and applies this measurement result to the simulation result in Fig. 7, for example, to obtain the local flare of the projection optical system PL.
  • the quantity can be determined accurately.
  • the images of two L & S patterns 47A and 47B intersecting each other are superposed and exposed, and the length of the overlappingly exposed portion in the longitudinal direction is exposed. Is converted to the line width of each of the space pattern images 48A and 48H, and the line width is indirectly and highly accurately measured with a simpler measuring device such as the alignment sensor 36. It can be measured efficiently. Therefore, flare measurement can be performed only with the projection exposure apparatus of FIG. 1, for example.
  • the lengths hi and hN of the overlapping portions A and H in FIG. 15 can be measured using a scanning electron microscope. In this case, the measurement accuracy of the line width can be further improved, and as a result, the measurement accuracy of the flare can be improved.
  • the amount of flare (particularly, local flare) of the projection optical system PL of FIG. 1 is measured by executing the first step and the second step, for example.
  • the flare (particularly, local flare) of the projection optical system PL is corrected using the flare measurement result in the measurement step.
  • the flare of the projection optical system PL is reduced by exchanging predetermined optical members (lenses and the like) constituting the projection optical system PL.
  • a predetermined optical member in the projection optical system PL may be reworked.
  • the line width uniformity of a device such as a semiconductor integrated circuit finally obtained can be improved.
  • Device yield can be improved.
  • line width uniformity is deteriorated in the exposure step
  • by performing the measurement step it is possible to accurately determine whether or not the cause is the flare of the projection optical system. become. Further, it is possible to accurately measure the temporal change of the flare of the projection optical system. Therefore, it is possible to appropriately cope with a trouble occurring in the exposure process.
  • the projection exposure apparatus includes an illumination optical system and a projection optical system each composed of a plurality of lenses, is optically adjusted by being incorporated into the exposure apparatus main body, and includes a large number of mechanical parts.
  • the reticle stage and wafer stage can be mounted on the exposure apparatus body, connect the wiring and piping, and then make comprehensive adjustments (electrical adjustment, operation confirmation, etc.) to manufacture the product. It is desirable that the exposure apparatus be manufactured in a clean room in which temperature, cleanliness, etc. are controlled.
  • the semiconductor device includes a step of designing the function and performance of the device, a step of manufacturing a reticle based on this step, A step of forming a wafer from a silicon material; a step of exposing a reticle pattern to the wafer by performing alignment using the projection exposure apparatus of the above-described embodiment; a step of forming a circuit pattern such as etching; a device assembling step (dicing step) , Bonding process, package process), and inspection step.
  • the present invention can be applied not only to the scanning exposure type projection exposure apparatus but also to the case where the flare of the projection optical system of the collective exposure type projection exposure apparatus is measured.
  • the present invention can also be applied to a case where a flare of a projection optical system is measured by an immersion type exposure apparatus disclosed in, for example, International Publication No. WO 99/49504.
  • the projection optical system for measuring the flare according to the present invention is not limited to application to an exposure apparatus for manufacturing a semiconductor device.
  • a liquid crystal display element formed on a square glass plate, or a plasma The present invention can be widely applied to an exposure apparatus for a display apparatus such as a display, and an exposure apparatus for manufacturing various devices such as an imaging device (eg, a CCD), a micro machine, a thin film magnetic head, and a DNA chip.
  • an imaging device eg, a CCD
  • a micro machine e.g., a micro machine
  • a thin film magnetic head e.g., a DNA chip
  • the projection optical system in which flare is measured according to the present invention can be used in an exposure step (exposure step) when manufacturing a mask (photomask, reticle, etc.) on which mask patterns of various devices are formed using a photolithographic process. Equipment) Can be applied.
  • the flare of the projection optical system can be accurately measured. For example, by performing exposure using a projection optical system with reduced flare based on the measurement result, In addition, various devices can be manufactured with high line width uniformity and high accuracy.

Abstract

 投影光学系のフレアを正確に計測できるフレア計測技術である。計測対象の投影光学系を介してレジストの塗布されたウエハ(W)上に、次第に間隔が広くなる3対の開口パターン及びライン・アンド・スペースパターンの像(38AP,39AP)、(38BP,39BP)、及び(38CP,39CP)を転写した後、そのウエハ(W)を現像する。現像後に得られるレジスト像の内で、開口パターンの像(38AP,38BP,38CP)に最も近いスペースパターン像(40A,40B,40C)の線幅を計測し、この計測結果からその投影光学系のフレアを求める。

Description

明 細 書
フレア計測方法、露光方法、及びフレア計測用のマスク
技術分野
[0001] 本発明は、第 1面上のパターンの像を第 2面上に投影する投影光学系のフレアを 計測するためのフレア計測技術に関し、例えば半導体集積回路、液晶表示素子、又 は薄膜磁気ヘッド等の各種デバイスを製造するためのリソグラフイエ程において、マ スクパターンを基板上に転写するために使用される投影露光装置の投影光学系のフ レアを計測する際に使用して好適なものである。本発明は更に、フレア計測技術を用 レ、た露光技術、及びフレアを計測する際に使用できるマスクに関する。
背景技術
[0002] 例えば半導体集積回路を製造するためのリソグラフイエ程中で、マスクとしてのレチ クル (又はフォトマスク等)のパターンを投影光学系を介して感光基板 (感応物体)とし てのレジストが塗布されたウェハ(又はガラスプレート等)の各ショット領域に転写する ために、ステッパー型又はスキャニングステッパー型等の投影露光装置が使用され ている。近年の集積回路の一層の微細化に伴い、投影露光装置に要求される転写 後のパターンに対する線幅均一性も高まっている。そのため、従来は無視することが できた投影光学系のフレアによる線幅均一性の劣化が問題となりつつある。なお、光 学系で発生するフレアには大きく分けて、光学系を構成する光学部材(レンズ等)の 表面やコーティング膜で小さい角度範囲で発生する前方散乱光に起因する結像に は望ましくない迷光(又はかぶり光)であるいわゆるローカルフレア (local flare)と、光 学部材のコーティング膜における反射に起因する結像には望ましくない迷光である いわゆるロングレンジフレアとがある。パターンの線幅均一性の劣化に寄与するのは 主にローカルフレアであるため、以下ではフレアとしてローカルフレアを例にとって説 明する。
[0003] 図 16は、ウェハ上に投影されるパターンに付随するローカルフレアを示す模式図 であり、この図 16において、遮光部の中央に細長い所望の結像パターン 31が投影さ れ、結像パターン 31に付随してローカルフレア 32が投影されている。ローカルフレア は、ウェハ上の本来の結像パターンの周辺の数 lOOnm—数 10 μ m程度の幅の範 囲内に発生する「かぶり光」である。通常、ローカルフレア 32の強度は、結像パターン 31を形成する結像光束の強度に対してほぼ 1%程度以下である。このようなロー力ノレ フレアによって次のように線幅均一性が劣化する。
[0004] 図 17は、転写対象のレチクル R1のパターンを示し、この図 17において、クロムの 遮光膜 35中に開口部(光透過部)よりなる第 1のレチクルウィンドウ 34と、開口部より 成されている。そして、レチクルウィンドウ 34及び 37の中央部に互いに同一形状のク ロムの遮光膜よりなる細長いレチクルパターン 33及び 36が形成されている。図 18は 、図 17のレチクル R1のパターンを投影光学系を介してレジストの塗布されたウェハ 上に投影した後、そのレジストを現像して得られるレジスト像 R1Pを示し、この図 18に おいて、レジスト像 33P及び 36Pは、それぞれ図 17のレチクルパターン 33及び 36に 対応して形成された凹凸のパターンである。レジストがポジ型であれば、レジスト像 33 P及び 36Pはそれぞれ凸パターンであり、レジストがネガ型であれば、レジスト像 33P 及び 36Pはそれぞれ凹パターンである。
[0005] ローカルフレアが無い理想的な状態では、同一パターンであるレチクルパターン 33 及び 36に対応するレジスト像は同一形状になる。一方、ローカルフレアが存在する 場合、レチクルパターン 33の周辺に存在するレチクルウィンドウ 34より、レチクルパタ ーン 36の周辺に存在するレチクルウィンドウ 37の方が広いため、後者のレチクルウイ ンドウ 37から発生するローカルフレアの方が前者のレチクルウィンドウ 34から発生す るローカルフレアよりも量が大きくなる。即ち、図 18のレジスト像 33Pにかぶさる、レチ クルウィンドウ 34で発生するローカルフレアの量より、レジスト像 36Pにかぶさる、レチ クルウィンドウ 37で発生するローカルフレアの量の方が大きくなるため、レジスト像 36 Pの線幅は、レジスト像 33Pの線幅より細くなる。このように、同じ形状のレチクルパタ ーンを投影して形成されるレジスト像が、各パターンの周辺の開口パターン力も発生 するローカルフレアのため、形状(線幅)が変化してしまう。これがローカルフレア起因 の線幅のばらつきとなり、これによつて露光性能が低下して、露光プロセスの歩留まり が悪化する。このようなローカルフレア起因の線幅のばらつきを低減するためには、口 一カルフレアを低減する必要がある。そのためには、ローカルフレアを正確に計測す る必要がある。
[0006] 従来、投影光学系のフレアを計測する方法としては、例えばテストレチクルの照明 領域の全面にほぼ均一な分布で複数のほぼ同一形状の遮光パターンを形成してお き、そのテストレチクルのパターンを投影光学系を介してレジストの塗布されたウェハ 上に投影露光する方法が知られている (例えば、特許文献 1参照)。この方法では、 積算露光量を変えて複数回の露光を行い、露光後、現像によって得られるそれぞれ のレジスト像の形状を計測することによって、それらの遮光パターンに対応する部分 が露光されないとき(レジスト像が形成されないとき)の露光量と、それらの遮光パター ンに対応する部分が露光されるとき(レジスト像が形成されるとき)の露光量との関係 からフレアの量が求められる。
特許文献 1:国際公開第 02/09163号パンフレット
発明の開示
発明が解決しょうとする課題
[0007] 一般に現像後のレジスト像の線幅を変化させるのはフレアだけではなぐ投影光学 系の収差によってもレジスト像の線幅、又はレジスト像が形成されるときの露光量は変 化する。
し力、しながら、従来のフレア計測方法では、レジスト像の線幅の変化がフレアに起 因するものか収差に起因するものかを必ずしも正確に判別することができなかった。 そのため、投影光学系のフレアの量、特にローカルフレアの量を正確に計測すること が困難であるという問題があった。
[0008] また、解像度を向上するために、露光光の短波長化が進んでおり、最近では露光 光として波長 248nmの KrFエキシマレーザ、及びより短い波長 193nmの ArFェキ シマレーザなどのパルス発光のエキシマレーザが使用されている。このエキシマレー ザに対する感光材料としては、高感度の化学増幅型レジストが使用される。ところが、 化学増幅型レジストでは、特に現像前ベータである PEB(Post_Exposure Bake)工程 におけるレジストからの酸の揮発によって、現像後のレジスト像の線幅が僅かに変化 すること力 Sある。そのため、エキシマレーザを用いて露光した場合、レジスト像の線幅 の変化には、上記のフレア及び収差に起因する変化分の他に、レジスト中の酸の揮 発に起因する変化分も含まれる。し力しながら、従来はレジスト中の酸の揮発に起因 するレジスト像の線幅の変化分のみを正確に求める方法は知られておらず、これがフ レア計測精度を更に高める上での一つの問題となっていた。
[0009] 本発明は、斯かる点に鑑み、投影光学系のフレアを正確に計測できるフレア計測 技術を提供することを第 1の目的とする。
また、本発明は、投影光学系のフレアを低減させて高い線幅均一性が得られる露 光技術を提供することを第 2の目的とする。
また、本発明は、投影光学系のフレア計測に使用できるマスクを提供することを第 3 の目的とする。
課題を解決するための手段
[0010] 本発明によるフレア計測方法は、第 1面上のパターンの像を第 2面上に投影する投 影光学系(PL)のフレアを計測するためのフレア計測方法において、その第 1面に配 置された開口パターン(38A; 38)及びこの開口パターンに隣接して配置された 1本 又は複数本の線状パターン(39A; 39)の像をその投影光学系を介してその第 2面 上に投影する第 1工程と、その第 2面上に投影されたその線状パターンの像(39AP )の線幅に基づいてその投影光学系のフレアを求める第 2工程とを有するものである
[0011] 斯カ、る本発明によれば、その開口パターンとその線状パターンとの組み合わせによ つて、収差の発生に対しては投影された線状パターンの像の線幅変化が小さぐフレ ァの発生に対してはその線状パターンの像の線幅変化が大きくなる。従って、その線 状パターンの像の線幅又はその変化を計測することによって、投影光学系のフレア を正確に計測できる。なお、その線状パターンの像の線幅は、現像後の感光材料の 凹凸パターンとして計測してもよぐ感光材料の潜像又は空間像の段階で計測しても よい。感光材料上に像を投影する場合には、その開口パターンと線状パターンとは 同時に露光する必要はなぐ多重露光で個別に露光してもよい。
[0012] この場合、その第 1工程において、その開口パターン及びその線状パターンとそれ ぞれ同じ形状で、かつ互いに間隔の異なる複数組の開口パターン(38A, 38B, 38 C)及び線状パターン(39A, 39B, 39C)の像をその投影光学系を介してその第 2面 上に投影し、その第 2工程において、複数のその線状パターンの像の線幅に基づい てその投影光学系のフレアを求めてもよい。
[0013] その開口パターンと線状パターンとの間隔によって、その線状パターンの像に対す るフレアの影響が異なるため、複数のその線状パターンの像の線幅の計測値を用い ることによって、より正確にフレアを計測することができる。
また、その第 1工程において、その開口パターン(38)及びその線状パターン(39) の像を、互いに重ならないように、かつ間隔を変えながら複数回露光してもよい。この ように同一のパターンを多重露光することによって、マスクパターンの製造誤差や、投 影光学系中の光束の通過位置の相違によるフレアの計測誤差を低減することができ る。
[0014] また、その第 1工程において、その線状パターン (47A)の像の上に所定の小さレヽ 交差角で別の線状パターン (47B)の像を重ねて露光し、その第 2工程において、そ の線状パターンの像とその別の線状パターンの像とが重なった部分 (47AP, 48BP) の長手方向の幅 (hi, hn)を計測し、この計測結果に基づいてその投影光学系のフ レアを求めるようにしてもよい。
[0015] そのように 2つの線状パターンの像を小さい交差角で重ねて露光することによって、 得られるパターンの像の長手方向の幅は、その線状パターンの像の線幅を大きく拡 大した値となる。従って、実質的にその線状パターンの像の線幅を拡大して高精度 に計測することができるため、フレアをより高精度に計測できるカ 又はより簡単な計 測装置を用いてそのフレアを計測できる。
[0016] また、本発明において、一例として、その開口パターンの大きさはほぼ数 x m—数 1 00 z m角であり、その線状パターンの幅はほぼ数 lOOnm 数 x mであり、その開口 パターンとその線状パターンとの間隔はほぼ 1 μ m—数 10 μ mである。これによつて 、例えば縮小倍率の投影光学系を用いた場合に、その第 2面上で開口パターンの像 の周辺にほぼ数 lOOnm 数 10 μ m程度の幅に広がるローカルフレアを正確に計測 できる。
[0017] また、本発明において、その第 1工程は、基板 (W)上に感光材料 (PR)を塗布した 後、この感光材料上に上層膜 (TC)を塗布する塗布工程 (ステップ 101 , 102)と、そ の基板の表面をその第 2面にほぼ合わせ込んで、その開口パターン及びこの開口パ ターンに隣接して配置された 1本又は複数本の線状パターンの像をその投影光学系 を介してその基板の表面に投影する投影工程 (ステップ 103)と、その基板上のその 感光材料の現像前ベータを行う予備現像工程 (ステップ 104)と、その基板上のその 感光材料の現像を行ってその線状パターンの凹凸の像を形成する現像工程 (ステツ プ 105)とを含むことが望ましい。
[0018] このように、感光材料上に上層膜を塗布することによって、現像前ベータ時にその 感光材料からの線幅に影響を与える物質の揮発が抑制されるため、現像後の線状 パターンの像の線幅は実質的にフレアのみに応じた値となる。従って、感光材料に 起因する誤差を排除して、高精度にフレアを計測できる。
この場合、その感光材料の一例は化学増幅型レジストである。また、その上層膜は 、その予備現像工程においてその感光材料からの酸の揮発を抑制することが望まし レ、。化学増幅型レジストを使用することによって、エキシマレーザのような短波長の露 光ビームを使用できるため、転写する線状パターンの線幅をより微細化でき、フレア 計測精度を向上できる。その際に、その上層膜によって酸の揮発を抑制することで、 計測精度の劣化を防止できる。
[0019] 次に、本発明による露光方法は、第 1物体 (R)のパターンを投影光学系(PL)を介 して 2物体 (W)上に投影露光する露光方法において、本発明のフレア計測方法を用 レ、てその投影光学系のフレアを計測する計測工程と、その計測工程での計測結果 に基づいてその投影光学系のフレアを補正する補正工程とを有するものである。 この露光方法によれば、本発明のフレア計測方法を用いることにより、その投影光 学系のフレアを正確に計測できる。従って、その補正工程において、例えばその投 影光学系中のレンズ等の光学部材の再加工を行うか、又はその光学部材を交換す ることによって、その投影光学系のフレアを低減することができる。従って、高い線幅 均一性が得られる。
また、例えば線幅均一性が悪化したような場合に、本発明の計測工程を実施するこ とで、その原因がその投影光学系のフレアであるか否力 ^正確に判別できるようにな る。更に、フレアの経時変化も正確に計測できるようになる。従って、露光工程で発生 するトラブルへの適切な対応が可能になる。
[0020] また、本発明によるマスクは、投影光学系のフレア計測用のマスクであって、開口パ ターン(38A; 38)及びこの開口パターンに隣接して配置された 1本又は複数本の線 状パターン(39A; 39)が形成されたものである。このマスクを用いることによって、本 発明のフレア計測方法を使用できる。
この場合、一例としてその開口パターンはほぼ数 μ m 数 100 μ m角の角形パタ ーンであり、その線状パターンは、ラインパターンの幅がほぼ数 lOOnm 数 x mのラ イン 'アンド'スペースパターンであり、その開口パターンとその線状パターンとの間隔 はほぼ 1 m 数 10 x mである。これによつて、特にローカルフレアを正確に計測で きる。
発明の効果
[0021] 本発明によれば、線状パターンの投影像の線幅の変化は、ほぼ投影光学系のフレ ァに起因するため、実質的に投影光学系のフレアのみを正確に計測することができ る。
また、感光材料上に上層膜を塗布することによって、例えば高感度の感光材料を 用いた場合に、その感光材料に起因するフレア計測精度の劣化を防止できる。 更に、その計測結果に基づいてその投影光学系のフレアを補正することによって、 転写パターンの線幅均一性を高めることができる。
図面の簡単な説明
[0022] [図 1]図 1は、本発明の実施形態の一例の投影露光装置を示す斜視図である。
[図 2]図 2は、投影光学系のフレア計測用のテストレチクルのパターンの一例を示す 平面図である。
[図 3]図 3は、図 2のテストレチクルのパターンを投影光学系を介してウェハ上に転写 して得られるレジスト像を示す平面図である。
[図 4]図 4は、ウェハ上にフォトレジスト及びトップコートを塗布してから、そのウェハ上 にフレア計測用のパターンが形成されるまでのウェハの状態を示す拡大図である。
[図 5]図 5は、ウェハ上にフォトレジストを塗布してから、そのウェハ上にフレア計測用 のパターンが形成されるまでのウェハの状態を示す拡大図である。
[図 6]図 6は、本発明の実施形態におけるフレア計測動作の一例を示すフローチヤ一 トである。
[図 7]図 7は、投影光学系の収差が無い状態での、図 3のレジスト像のパッド一スぺー ス間距離とスペースパターン像の線幅との関係のシミュレーション結果を示す図であ る。
[図 8]図 8は、投影光学系の収差が有る状態での、図 3のレジスト像のパッド一スぺー ス間距離とスペースパターン像の線幅との関係のシミュレーション結果を示す図であ る。
[図 9]図 9は、投影光学系の収差がより多く有る状態での、図 3のレジスト像のパッド一 スペース間距離とスペースパターン像の線幅との関係のシミュレーション結果を示す 図である。
[図 10]図 10は、その実施形態の一例で使用される別のテストレチクルを示す平面図 である。
[図 11]図 11は、図 10のテストレチクルのパターンの一部を投影光学系を介してゥェ ハ上に転写して得られるレジスト像を示す平面図である。
[図 12]図 12は、図 10のテストレチクルのパターンの一部を投影光学系を介してゥェ ハ上に 3回転写して得られるレジスト像を示す平面図である。
[図 13]図 13は、図 10のテストレチクルのパターンを投影光学系を介してウェハ上に 6 回転写して得られるレジスト像を示す平面図である。
[図 14]図 14は、本発明の実施形態の他の例で使用されるテストレチクルのパターン を示す平面図である。
[図 15]図 15は、図 14のテストレチクルのパターンを投影光学系を介してウェハ上に 重ねて転写して得られるレジスト像を示す平面図である。
[図 16]図 16は、ウェハ上に投影されるパターンに付随するローカルフレアを示す模 式図である。
[図 17]図 17は、フレアの説明に供するレチクルパターンの一例を示す図である。
[図 18]図 18は、図 17のレチクルパターンを投影光学系を介して転写した後に、現像 によって得られるレジスト像を示す図である。
発明を実施するための最良の形態
[0023] 以下、本発明の好ましい実施の形態の一例につき図 1一図 13を参照して説明する 。本例は、走査露光型の投影露光装置に装着される投影光学系のフレアを計測する 場合に本発明を適用したものである。投影光学系のフレアは、例えば投影光学系の 組立調整時に、照明光学系と、マスク及びウェハを保持する簡単なステージ機構とを 備える検査装置を用いても計測することができる。以下では、実際の投影露光装置を 検査装置として使用するものとして説明する。このような実際の投影露光装置を用い るフレアの計測は、例えば露光工程において線幅均一性が低下したような場合に、 その要因を解析するために行うことができる。
[0024] 図 1は、フレアが計測される投影光学系 PLが装着された投影露光装置の概略構成 を示し、この図 1において、露光光源 6としては ArFエキシマレーザ光源(波長 193η m)が使用されている。なお、露光光源としては、 KrFエキシマレーザ光源(波長 247 nm)、 F レーザ光源(波長 157nm)、 Kr レーザ光源(波長 146nm)、 Ar レーザ光 源(波長 126nm)などの紫外パルスレーザ光源、 YAGレーザの高調波発生光源、 固体レーザ(半導体レーザなど)の高調波発生装置、又は水銀ランプ (i線等)なども 使用すること力 Sできる。
[0025] 露光時に露光光源 6からパルス発光された露光ビームとしての露光光(露光用の照 明光) ILは、ミラー 7、不図示のビーム整形光学系、第 1レンズ 8A、ミラー 9、及び第 2 レンズ 8Bを経て断面形状が所定形状に整形されて、オプティカル 'インテグレータ( ュニフォマイザ又はホモジナイザ)としてのフライアイレンズ 10に入射して、照度分布 が均一化される。フライアイレンズ 10の射出面(照明光学系の瞳面)には、露光光の 光量分布を円形、複数の偏心領域、輪帯状などに設定して照明条件を決定するた めの開口絞り(σ絞り) 13A, 13B, 13C, 13Dを有する照明系開口絞り部材 11が、 駆動モータ 12によって回転自在に配置されている。照明系開口絞り部材 11中の開 口絞りを通過した露光光 ILは、反射率の小さいビームスプリッタ 14及びリレーレンズ 17Aを経て、固定視野絞りとしての固定ブラインド 18A及び可動視野絞りとしての可 動ブラインド 18Bを順次通過する。この場合、可動ブラインド 18Bは、マスクとしての レチクル Rのパターン面(レチクル面)とほぼ共役な面に配置され、固定ブラインド 18 Aは、そのレチクル面と共役な面から僅かにデフォーカスされた面に配置されている
[0026] 固定ブラインド 18Aは、レチクル面の照明領域 21Rをレチクル Rの走查方向に直交 する非走查方向に細長レ、スリット状の領域に規定するために使用される。可動ブライ ンド 18Bは、レチクル Rの走查方向及び非走查方向に対応する方向にそれぞれ相対 移動自在な 2対のブレードを備え、露光対象の各ショット領域への走查露光の開始 時及び終了時に不要な部分への露光が行われなレ、ように、照明領域を走查方向に 閉じるために使用される。可動ブラインド 18Bは、更に照明領域の非走查方向の中 心及び幅を規定するためにも使用される。ブラインド 18A, 18Bを通過した露光光 IL は、サブコンデンサレンズ 17B、光路折り曲げ用のミラー 19、及びメインコンデンサレ ンズ 20を経て、マスクとしてのレチクル Rのパターン領域の照明領域 21Rを均一な照 度分布で照明する。
[0027] 一方、ビームスプリッタ 14で反射された露光光は、集光レンズ 15を介して光電セン サよりなるインテグレータセンサ 16に受光される。インテグレータセンサ 16の検出信 号は露光量制御系 3に供給され、露光量制御系 3は、その検出信号と予め計測され ているビームスプリッタ 14から基板(感光基板)としてのウェハ Wまでの光学系の透過 率とを用いてウェハ W上での露光エネルギーを間接的に算出する。露光量制御系 3 は、その算出結果の積算値及び装置全体の動作を統轄制御する主制御系 1からの 制御情報に基づいて、ウェハ W上で適正露光量が得られるように露光光源 6の発光 動作を制御する。露光光源 6、ミラー 7, 9、レンズ 8A, 8B、フライアイレンズ 10、照明 系開口絞り部材 11、ビームスプリッタ 14、リレーレンズ 17A、ブラインド 18A, 18B、 サブコンデンサレンズ 17B、ミラー 19、及びメインコンデンサレンズ 20を含んで照明 光学系 5が構成されている。
[0028] 露光光 ILのもとで、レチクル Rの照明領域 21R内のパターンは、両側テレセントリツ クの投影光学系 PLを介して投影倍率 /3 ( /3は 1Z4, 1Z5等)で、レジストが塗布さ れたウェハ W上の一つのショット領域 SA上の非走查方向に細長いスリット状の露光 領域 21Wに投影される。ウェハ Wは、例えば半導体(シリコン等)又は SO silicon on insulator)等の直径が 200— 300mm程度の円板状の基板である。レチクル Rのパタ ーン面(レチクル面)及びウェハ Wの表面(ウェハ面)がそれぞれ投影光学系の第 1 面(物体面)及び第 2面(像面)に対応している。また、レチクル R及びウェハ Wをそれ ぞれ第 1物体及び第 2物体 (感応物体)とみなすこともできる。以下、図 1において、投 影光学系 PLの光軸 AXに平行に Z軸を取り、 Z軸に垂直な平面内で走查露光時のレ チクル R及びウェハ Wの走查方向に直交する非走查方向に X軸を取り、その走查方 向に Y軸を取って説明する。
[0029] 先ず、レチクル Rはレチクルステージ(可動体) 22上に保持され、レチクルステージ
22はレチクルベース 23上で Y方向に一定速度で移動すると共に、後述するウェハス テージ 28との同期誤差を補正するように X方向、 Y方向、回転方向に微動して、レチ クル Rの走查を行う。レチクルステージ 22の位置は、この上に設けられた移動鏡(不 図示)及びレーザ干渉計 (不図示)によって計測され、この計測値及び主制御系 1か らの制御情報に基づいて、ステージ駆動系 2は不図示の駆動機構(リニアモータなど )を介してレチクルステージ 22の位置及び速度を制御する。本例では前述したレチク ノレステージ 22、ステージ駆動系 2、駆動機構、及びレーザ干渉計によってレチクルス テージシステムが構成されている。また、レチクル Rの周辺部の上方には、レチクルァ ライメント用のレチクルァライメント顕微鏡 (不図示)が配置されている。また、レチクル ステージ 22の近傍には、不図示であるがレチクルステージ 22上のレチクルを交換す るレチクルローダ、及び複数のレチクルが収納されたレチクルライブラリが設置されて いる。
[0030] 一方、ウェハ Wは、ウェハホルダ 24を介してウェハステージ 28上に保持され、ゥェ ハステージ 28はウェハベース 27上で Y方向に一定速度で移動すると共に、 X方向、 Y方向にステップ移動する XYステージ 26と、 Zチルトステージ 25とを備えている。 Z チルトステージ 25は、不図示のオートフォーカスセンサによるウェハ Wの Z方向の位 置の計測値に基づいて、ウェハ Wのフォーカシング及びレべリングを行う。ウェハス テージ 28の XY平面内での位置、及び X軸、 Y軸、 Z軸の回りの回転角はレーザ干渉 計 (不図示)によって計測され、この計測値及び主制御系 1からの制御情報に基づい て、ステージ駆動系 2は不図示の駆動機構 (リニアモ ジ 28の動作を制御する。本例では前述したウェハホルダ 24、ウェハステージ(可動 体) 28、ステージ駆動系 2、駆動機構、及びレーザ干渉計によってウェハステージシ ステムが構成されている。
[0031] 更に、ウェハステージ 28上のウェハ Wの近傍には、露光領域 21Wよりも大きい受 光面 30Bを有する照射量モニタと、ピンホール状の受光面 30Aを有する照度センサ とを含む光量センサ部 29が固定され、光量センサ部 29の 2つの検出信号は露光量 制御系 3に供給されている。また、ウェハステージ 28の上方には、ウェハァライメント 用のオフ 'ァクシス方式のァライメントセンサ 36が配置されており、この検出結果に基 づレヽて主制御系 1はウェハ Wのァライメントを行う。
[0032] 露光時には、レチクルステージ 22及びウェハステージ 28を駆動して、露光光 ILを 照射した状態でレチクル Rとウェハ W上の一つのショット領域とを Y方向に同期走查 する動作と、ウェハステージ 28を駆動してウェハ Wを X方向、 Y方向にステップ移動 する動作とが繰り返される。これによつて、ステップ ·アンド 'スキャン方式でウェハ W 上の各ショット領域にレチクル Rのパターン像が露光される。
[0033] 次に、本例の投影光学系 PLのフレアを計測する場合の動作の一例につき、図 6の フローチャートを参照して説明する。そのフレア計測動作は、次のように大きく第 1ェ 程及び第 2工程に分けられる。投影光学系のフレアには、前述のように、光学部材の 表面やコーティング膜で小さい角度範囲で発生する前方散乱光に起因するいわゆる ローカルフレアと、光学部材表面のコーティングでの反射に起因するいわゆるロング レンジフレアとがあり、以下ではローカルフレアを計測対象とする。なお、例えば後述 のテストレチクルのパターンの変更等によって、ロングレンジフレアも同様に計測する こと力 Sできる。
[0034] [第 1工程]
本例では、図 1のレチクルステージ 22上に、図 2に示すフレア計測用のマスクとして のテストレチクル R2をロードする。図 2 (以下の図 3、図 10 図 13も同様)の X方向及 び Y方向はそれぞれ図 1の X方向(非走查方向)及び Y方向(走查方向)に対応して いる。
[0035] 図 2において、テストレチクル R2のパターン領域にはクロム等の遮光膜 41を背景と して、 X方向の幅 Dで Y方向の高さ Hの四角形の開口パターンよりなるパッドパターン 38Aが形成されている。また、遮光膜 41中でパッドパターン 38Aに X方向に近接し て間隔 rlで、それぞれ X方向の幅 dで Y方向を長手方向とする長方形の開口パター ンよりなる 8本のスペースパターン 40を X方向にピッチ Pで配列したライン 'アンド'ス ペースパターン(以下、「L&Sパターン」と言う) 39Aが形成されている。 L&Sパター ン 39Aが線状パターンに対応している。なお、 L&Sパターン 39Aのスペースパター ン 40の本数は 1本以上の任意であり、 L&Sパターン 39Aが 1本のスペースパターン 40 (孤立線)からなる場合には、スペースパターン 40が線状パターンに対応する。ま た、 L&Sパターン 39Aとしては、 2本以上の長方形の遮光パターンを X方向に配列 した線状パターンを用いてもょレ、。
[0036] 本例では、パッドパターン 38Aの幅 Dと幅 Hとは互いに等しぐそれぞれ数 μ m— 数 100 z m程度に設定されている。即ち、パッドパターン 38Aは正方形の開ロパタ ーンである。但し、パッドパターン 38Aの幅 Dと幅 Hとは、それぞれ数 μ ΐη—数 100 μ m程度の範囲内で異なる値に設定してもよい。また、 L&Sパターン 39Aを構成する スペースパターン 40の X方向の幅 dは、数 lOOnm—数 μ ΐη程度であり、スペースパ ターン 40の Υ方向の長さは幅 dの数 10倍程度、即ち数 μ m—数 10 μ m程度である。 なお、図 2の例ではスペースパターン 40の Y方向の長さは、パッドパターン 38Aの Y 方向の高さ Hとほぼ等しく設定してある力 両者は異なってもよレ、。そして、スペース パターン 40の配列のピッチ Pは、ほぼ幅 dの 2倍である。
[0037] 更に、テストレチクル R2の遮光膜 41中には、パッドパターン 38A及び L&Sパター ン 39Aに対して Y方向に数/ i m程度の間隔でパッドパターン 38B及び L&Sパター ン 39Bが形成され、このパッドパターン 38B及び L&Sパターン 39Bに対して Y方向 に数 μ m程度の間隔でパッドパターン 38C及び L&Sパターン 39Cが形成されてい る。パッドパターン 38B及び 38Cはそれぞれパッドパターン 38Aと同一形状の開口 パターンであり、 L&Sパターン 39B及び 39Cは、それぞれ L&Sパターン 39Aと同一 構成のパターン(8本のスペースパターン 40を X方向にピッチ Pで配列したもの)であ る。但し、上部のパッドパターン 38Aと L&Sパターン 39Aとの X方向の間隔 rlに対し て、中央のパッドパターン 38Bと L&Sパターン 39Bとの X方向の間隔 r2は数倍に設 定され、その間隔 r2に対して下部のパッドパターン 38Cと L&Sパターン 39Cとの X 方向の間隔 r3は 10倍程度に設定されている。一例として、間隔 rlは 1 /i m程度、間 隔 r2は数 μ m程度、間隔 r3は数 10 μ m程度である。
[0038] 次に、図 6のステップ 101において、不図示のレジストコータを用いて図 4 (A)に示 すように、フレア評価用の基板としてのウェハ(これをウェハ Wとする)の高い平面度 を有する上面に感光材料としてのフォトレジスト PRを塗布する。本例では露光ビーム として ArFエキシマレーザ光が使用されるため、そのフォトレジスト PRとしては、高感 度レジストである化学増幅型レジストが使用される。次のステップ 102において、例え ば別のコータを用いて、ウェハ W上のフォトレジスト PR (本例では化学増幅型レジスト )上に、後述の PEB(Post-Exposure Bake)時のフォトレジストからの酸の揮発を抑制 するための上層膜としてのトップコート TCを重ねて塗布する。一例として、フォトレジ スト PRの厚さは 100— 200nm程度、トップコート TCの厚さは lOOnm程度である。な お、図 4 (A) , (B) , (C) , (D)はそれぞれウェハ Wの一部の拡大側面図を示してい る力 ウェハ W以外の部分の厚さ方向の倍率が大きく設定されている。
[0039] 次に、図 6のステップ 103において、フォトレジスト PR及びトップコート TCが重ねて 塗布された未露光のウェハ Wを、図 1のウェハステージ 28上のウェハホルダ 24上に ロードする。そして、通常の露光時と同様に図 1の投影露光装置を用いて、図 2のテ ストレチクル R2のパターン (フレア評価用パターン)を投影光学系 PLを介して走査露 光方式でウェハ W上の一つのショット領域上に転写露光する。この際に、ウェハ W上 の複数のショット領域上にそれぞれテストレチクル R2のパターンを転写露光してもよ レヽ。例えば図 2のテストレチクル R2のパッドパターン 38A及び L&Sパターン 39Aに それぞれ対応する投影像の結像光束 38A1及び 39A1を含む露光光 ILは、図 4 (B) に示すように、ウェハ W上のフォトレジスト PR及びトップコート TCを露光する。なお、 図 4 (B)及び図 4 (C)において、露光量の多い部分には細かい斜線を施し、露光量 の殆ど無レ、部分には粗レ、斜線を施した。
[0040] そして、露光終了後に、ステップ 104に移行して、不図示のベーキング装置におい て、その露光されたウェハ Wのフォトレジスト PRの現像前ベータである PEBを行う。 本例の露光ビームは単一波長の ArFエキシマレーザ光であるため、定在波効果によ るレジストパターンの変形を軽減するために PEBが必要となる。なお、図 4 (C)に示 すように、 PEBによる加熱処理によって化学増幅型レジストであるフォトレジスト PRの 露光量の多レ、部分には酸 50が発生する力 この酸 50の揮発はトップコート TCによ つて抑制される。従って、 L&Sパターン 39Aを通過した露光光 39A1によって露光さ れた部分にその酸 50が付着することは防止される。次のステップ 105において、不 図示の現像装置において、その PEBが行われたウェハ Wのフォトレジスト PRの現像 を行う。これによつて、ウェハ W上の一つ又は複数のショット領域に図 2のテストレチタ ノレ R2のパターンの投影像に対応する凹凸のレジスト像が形成される。
[0041] 具体的に、フォトレジスト PRをポジ型であるとすると、図 4 (D)のウェハ W上には、図 2のパッドパターン 38Aの像に対応する凹のレジスト像 38AP、及び図 2の L&Sパタ ーン 39Aの像に対応する周期的な凹のレジスト像 39APが形成される。後述のように 本例では、レジスト像 39AP中の最もレジスト像 38APに近いスペースパターン像 40 Aの線幅 dPlが計測される。この際に、本例では図 4 (C)のフォトレジスト PR中で発 生した酸 50は、他の部分には付着しないため、スペースパターン像 40Aの線幅 dPl には、酸 50の揮発による変化分は生じていなレ、。従って、化学増幅型レジストを使用 しても、フレア(特にローカルフレア)によるレジストパターンの線幅の変化のみを計測 でき、その結果としてフレアを高精度に計測できる。
[0042] これに対して、比較のために、上記のステップ 102のトップコートの塗布工程を省略 した場合の、図 4に対応するウェハ Wの状態の変化を図 5 (A) (レジスト塗布)、図 5 ( B) (露光)、図 5 (C) (PEB)、及び図 5 (D) (現像)に示す。この場合、トップコートが 無いため、図 5 (C)に示すように、化学増幅型レジストよりなるフォトレジスト PRの PEB (Post-Exposure Bake)を行うと、露光量の多いパッドパターン(開口パターン)の像の 部分で発生した酸 50が揮発し、この揮発した酸 50が、パッドパターンの像に近接し た L&Sパターンの像の部分に混入する。そのため、フォトレジスト PRの現像後に、図 5 (D)に示すように、レジスト像 38APに近接しているスペースパターン像 40A1の線 幅 dQlは、本来の線幅よりも狭くなり、フレアの計測精度が低下する。
[0043] なお、本例では露光ビームとしてエキシマレーザ光を用い、感光材料として化学増 幅型レジストを用いてレ、るため、酸の揮発を抑制するためにトップコートを用いてレ、る 。し力しながら、例えば、酸の揮発量の少ないフォトレジストを用いる場合、 L&Sパタ ーンの像の線幅が大きく酸の揮発の影響が少なレ、場合、又は露光ビームとして水銀 ランプの輝線 (i線等)を用いる場合などでは、トップコートを省略しても、フレアを高精 度に計測することができる。
[0044] [第 2工程]
次に、図 6のステップ 106において、現像で形成されたレジストパターンの線幅を計 測する。以下では、その線幅計測によってフレアが計測できる原理力 説明する。 図 3は、図 2のテストレチクル R2のパターン像の転写及び現像によってウェハ W上 に形成されるレジスト像を示す。図 1の投影光学系 PLは反転投影を行うが、説明の 便宜上図 3においては、正立像が投影されるものとしている。また、ウェハ W上のレジ ストはポジ型又はネガ型のどちらでもよレ、が、ここではポジ型であるとすると、図 2の遮 光膜 41に対応する図 3の背景部 41Pはレジストが残された凸の部分である。また、図 3において、ウェハ W上には図 2のパッドパターン 38A, 38B, 38Cの像に対応する 四角形の凹のレジスト像 38AP, 38BP, 38CPが形成され、図 2の L&Sパターン 39 A, 39B, 39Cの像に対応する L&Sパターン状の凹のレジスト像 39AP, 39BP, 39 CPが形成されている。このとき、投影光学系 PLのレチクルからウェハへの投影倍率 βを用いると、レジスト像 38ΑΡ及び 39ΑΡ、レジスト像 38ΒΡ及び 39ΒΡ、並びにレ ジスト像 38CP及び 39CPの X方向の間隔は、それぞれ図 2の対応する間隔 rl , r2, 及び r3のほぼ 倍となる。また、仮に投影光学系 PLのフレアが無いものとすると、レ ジスト像 39AP, 39BP, 39CPを構成する凹部よりなる各スペースパターン像の X方 向の幅は、図 2のスペースパターン 40の幅 dの β倍となる。
[0045] し力、しながら、投影光学系 PLにおいてローカルフレアが発生しているものとすると、 ローカルフレアは図 2のパッドパターン 38A, 38B, 38Cの像に対応する図 3のレジス ト像 38AP, 38BP, 38CPに近い領域ほど多くなる。従って、上部のレジスト像 38AP 及び 39APの間隔が最も狭ぐ下部のレジスト像 38CP及び 39CPの間隔が最も広い ため、ローカルフレアの量は上部のレジスト像 39AP、中央のレジスト像 39BP、下部 のレジスト像 39CPの順に少なくなる。即ち、図 3の上部のレジスト像 38APに X方向 に近接するレジスト像 39AP中でも、更に最もレジスト像 38APに近いスペースパター ン像 40Aでは、最も多くローカルフレアをかぶるため、現像後の線幅 dPlは本来の幅 β ' dよりもかなり広くなる。一方、下部のレジスト像 38CPに X方向に近接するレジスト 像 39CP中の、最もレジスト像 38CPに近いスペースパターン像 40Cでは、ロー力ノレ フレアは殆ど届かないため、現像後の線幅 dP3はほぼ本来の幅 /3 ' dと同じになる。 そして、中央のレジスト像 38BPに X方向に近接するレジスト像 39BP中の、最もレジ スト像 38BPに近レ、スペースパターン像 40Bでは、ローカルフレアの量は上下のスぺ ースパターン像 40A及び 40Cのほぼ中間となるため、現像後の線幅 dP2は上下の 線幅 dPl及び dP3のほぼ中間となる。従って、以下の関係が成立する。
[0046] dPl > dP2 > dP3 = β - d
また、ローカルフレアの量が多くなる程、スペースパターン像 40A, 40B, 40Cの線 幅変化は大きくなるため、それらの線幅の計測値からローカルフレアの量を評価する (求める)ことができる。そこで、本例では例えば走查型電子顕微鏡を用いて、図 3の 現像後のレジスト像中の 3個のスペースパターン像 40A, 40B, 40Cの X方向の線幅 dPl , dP2, dP3を高精度に計測する。この際に、ウェハ W上の複数のショット領域に それぞれ図 2のテストレチクル R2のパターンを転写した場合には、その複数のショット 領域のそれぞれでスペースパターン像 40A, 40B, 40Cの X方向の線幅 dPl , dP2 , dP3を計測し、これらの計測結果の平均値をスペースパターン像 40A, 40B, 40C の線幅としてもよい。これによつて、露光誤差及び計測誤差等が平均化されて、計測 精度が向上する。なお、ウェハ W上のレジストがネガ型の場合には、図 3のレジスト像 の凹凸が反転するだけで、 ( 1 )式の線幅の関係は同じである。
[0047] 次に、図 6のステップ 107において、投影光学系 PLのローカルフレアの計測(評価 )を行う。このために、投影光学系 PLのローカルフレアの量とスペースパターン像 40 A— 40Cの線幅との関係について、本発明者がコンピュータのシミュレーションを行 つて求めた結果を図 7 図 9を参照して説明する。
図 7、図 8、図 9の横軸は、図 2のテストレチクル R2上のパッドパターン 38A, 38B, 38Cと、対応する L&Sパターン 39A, 39B, 39C中の最もパッドパターンに近いスぺ ースパターン 40との X方向の間隔(パッド—スペース間距離) rl, r2, r3 (nm)を示し、 それらの縦軸は、図 3のレジスト像中で最もパッドパターンのレジスト像 38AP, 38BP , 38CPiこ近レヽスペースノ ターン像 40A, 40B, 40Cの ,線幅 dPl , dP2, dP3 (nm) を示している。
[0048] また、シミュレーションに際して、図 1の露光光 ILの波長 λは 193nm (ArFエキシマ レーザ)、検查対象の投影光学系 PLの開口数 NAは 0. 78、照明光学系 5のコヒーレ ンスファクタである σ値は 0. 85とした。また、図 2のパッドパターン 38Αの幅 D及び高 さ Ηは共に l x m、 L&Sパターン 39Aのスペースパターン 40の幅 dは 140nmとした 。なお、これらの幅 D、高さ H、及び幅 dの値は、投影光学系 PLによる投影像での値 である。そして、 n次(nは 1以上の整数)の Zemike Polynomial (ゼルニケの多項式)を Znで表して、本例では投影光学系 PLの収差を Zemike Polynomialの 37次まで(Z1 一 Z37)の波面収差の全体の RMS(Root Mean Square)で表し、投影光学系 PLの口 一カルフレアを Zernike Polynomialの 38次(Z38)以上の高次の波面収差の全体の R MSで表した。なお、波面収差の単位は露光波長; Iである。
[0049] 具体的に、本例では投影光学系 PLの収差、即ち Zemike Polynomialの 37次まで( Z1— Z37)の波面収差の全体の RMSが Om λ、 13mえ、及び 26m λの場合にっレヽ て、パッド スペース間距離に対するスペースパターン像の線幅を計算した。それぞ れ、図 7が収差としての RMS = 0mえ、図 8が収差としての RMS = 13mえ、図 9が収 差としての RMS = 26m の場合の計算結果である。また、それぞれの収差状態に ついて、投影光学系 PLのローカルフレア、即ち Zemike Polynomialの 38次(Z38)以 上の高次の波面収差の全体の RMSが Omえ、 10mえ、及び 20mえの場合につい て、パッド スペース間距離に対するスペースパターン像の線幅を計算した。図 7、図 8、図 9においてそれぞれ実線の曲線 42A, 43A, 44Aがローカルフレアとしての R MS = 0m の場合、破線の曲線 42B, 43B, 44Bがローカルフレアとしての RMS = 10m λの場合、点線の曲線 42C, 43C, 44Cがローカルフレアとしての RMS = 20 m λの場合の計算結果である。
[0050] 図 7—図 9の計算結果より、パッド—スペース間距離が短くなると、ローカルフレアの なレ、ときの曲線 42Α, 43Α, 44Αに比べて、ローカルフレアが生じたときの曲線 42Β , 43Β, 44Βは大きく上方に変化し (線幅が太くなり)、更にローカルフレアが大きくな つたときの曲線 42C, 43C, 44Cは更に大きく上方に変化している(線幅が更に太く なっている)ことが分かる。即ち、パッド-スペース間距離が短くなつて図 2のパッドパ ターン 38A— 38Cが L&Sパターン 39A— 39Cに近づくにつれ、 L&Sパターン 39A 一 39Cの最もパッドパターン 38A— 38Cに近いスペースパターンのレジスト像の線 幅は太くなつてくることが分かる。更に、図 7の曲線 42A— 42C、図 8の曲線 43A— 4 3C、及び図 9の曲線 44A— 44Cは互いにほぼ同じ傾向であることから、本例のスぺ ースパターンのレジスト像の線幅の変化は、投影光学系 PLの収差(Z1— Z37の RM S)には実質的に影響されず、主に投影光学系 PLのローカルフレア(Z38以上の R MS)によって発生していることが分かる。つまり、このスペースパターン像の線幅計測 の結果は、実質的にローカルフレアに起因する線幅変化のみを表していることになる
[0051] そこで、上記のように走查型電子顕微鏡によって図 3のスペースパターン像 40A, 4 OB, 40Cの線幅 dPl , dP2, dP3を計測した後、それぞれ対応する図 2のパッドース ペース間距離 rl, r2, r3を例えば図 7の横軸上の位置として、線幅 dPl , dP2, dP3 をその縦軸の位置としてプロットする。次に、それらのプロットした点を曲線 42A— 42 Cに対して補間することによって、対応する線幅 dPl, dP2, dP3が示す投影光学系 PLのローカルフレア(Z38以上の RMS) LF1, LF2, LF3を、本例ではほぼ Omえ一 20mえの範囲内で定量的に正確に求めることができる。このように線幅の計測値から ローカルフレアを求める処理は、例えば不図示のホストコンピュータ(フレアを求める ための演算装置)によって実行される。この場合、 3個のローカルフレアの LF1 , LF2 , LF3の平均値を投影光学系 PLのローカルフレアとしてもよレ、。このように平均化す ることによって、計測精度が向上する場合がある。また、パッド一スペース間距離が最 も短レ、場合が最もスペースパターン像の線幅の変化が大きレ、 (検出感度が高レ、)た め、パッド一スペース間距離が最も短い場合の線幅の計測結果のみからローカルフレ ァを求めてもよい。
[0052] 上記のように本例によれば、投影光学系 PLの収差の発生に対してはレジスト像の 線幅の変化が殆ど無ぐ投影光学系 PLのローカルフレアの発生に対してはレジスト 像の線幅の変化が大きいレチクルパターンを用いているため、このレチクルパターン を使ってレジスト像の線幅(又は線幅の変化)を計測するだけで、投影光学系 PLの口 一カルフレアの量を正確に計測することができる。
[0053] なお、本例においては、図 2のテストレチクル R2のパターンを 1回の露光でゥ: 上に転写しているため、テストレチクル R2の描画誤差によるパターン線幅の誤差が、 レジスト像の線幅の計測結果に混入して、ローカルフレアの計測誤差を生ずる恐れ がある。そこで、レチクルパターンの線幅誤差 (描画誤差)によるローカルフレアの計 測誤差を排除するため、以下の図 10—図 13を参照して説明するような重ね露光を 適用してもよい。
[0054] [第 1工程の変形例]
この上記の第 1工程に対する変形例では、図 1のレチクルステージ 22上に、図 10 に示すフレア計測用のマスクとしてのテストレチクル R3をロードする。
図 10において、テストレチクル R3のパターン領域を X方向に挟むように 1対の 2次 元のァライメントマーク 45A及び 45Bが形成されている。また、テストレチクル R3のパ ターン領域にはクロム等の遮光膜 46を背景として、 X方向の幅 Dで Y方向の高さ Hの 四角形の開口パターンよりなるパッドパターン 38が形成されている。また、遮光膜 46 中でパッドパターン 38に X方向に近接して例えば数 mmの間隔で、 X方向の幅 dで Y 方向を長手方向とする長方形の開口パターンよりなる 8本のスペースパターン 40を X 方向にピッチ Pで配列した L&Sパターン 39が形成されている。 L&Sパターン 39が 線状パターンに対応している。パッドパターン 38及び L&Sパターン 39の形状は、そ れぞれ図 2のパッドパターン 38A及び L&Sパターン 39Aと同じであり、ここでは幅 D は高さ Hと等しく設定されている。また、ァライメントマーク 45A, 45Bとパッドパターン 38及び L&Sパターン 39との位置関係は、図 1の主制御系 1に露光データとして記 憶されている。
[0055] 次に、図 1のウェハステージ 28上のウェハホルダ 24上にレジストの塗布された未露 光のウェハ(これをウェハ W1とする)をロードする。そして、先ず図 1の不図示のレチ クルァライメント顕微鏡を用いて図 10のァライメントマーク 45A, 45Bの位置を検出す ることによって、テストレチクル R3のァライメントを行う。次に、図 1の可動ブラインド 18 Bの非走查方向の幅を調整することによって、露光光 ILの照明領域が図 10の照明 領域 21RAで示すように、 Y方向への走查露光時に L&Sパターン 39のみを照明す るように設定する。この照明状態で、通常の露光時と同様に図 1の投影露光装置を用 いて、図 10のテストレチクル R3の L&Sパターン 39のみを投影光学系 PLを介して走 查露光方式でウェハ W1上の第 1のショット領域上に転写露光する。この際に、ゥェ ハ W1上の他の複数の第 2、第 3等のショット領域上にもそれぞれ L&Sパターン 39を 転写露光してもよレ、(以下同様)。これによつて、図 11に示すように、ウェハ W1上の 第 1のショット領域に L&Sパターン 39の第 1のレジスト像 39APが転写される。
[0056] 次に、ウェハ W1を Y方向にレジスト像 39APの Y方向の幅及び数 x mの幅だけス テツプ移動させた後、同様に図 10のテストレチクル R3の L&Sパターン 39のみを投 影光学系 PLを介して走查露光方式でウェハ W1上の第 1のショット領域上に転写露 光する。次に、再びウェハ W1を Y方向にレジスト像 39APの Y方向の幅及び数 z m の幅だけステップ移動させた後、同様に図 10のテストレチクル R3の L&Sパターン 3 9のみを投影光学系 PLを介して走查露光方式でウェハ W1上の第 1のショット領域上 に転写露光する。これによつて、図 12に示すように、ウェハ W1上の第 1のショット領 域に L&Sパターン 39の第 1、第 2、及び第 3のレジスト像 39AP, 39BP, 39CPが Y 方向に数 μ m間隔で転写される。
[0057] 次に、図 1の可動ブラインド 18Bの非走査方向の幅を調整することによって、露光 光 ILの照明領域が図 10の照明領域 21RBで示すように、 Y方向への走査露光時に パッドパターン 38のみを照明するように設定する。また、図 12のウェハ W1を、パッド パターン 38が図 3のレジスト像 38APの位置関係で投影されるように X方向、 Y方向 にステップ移動した後、通常の露光時と同様に図 1の投影露光装置を用いて、図 10 のテストレチクル R3のパッドパターン 38のみを投影光学系 PLを介して走査露光方 式でウェハ W1上の第 1のショット領域上に転写露光する。同様にして、ウェハ W1の 位置を順次、パッドパターン 38が図 3のレジスト像 38BP及び 38CPの位置関係で投 影されるように X方向、 Y方向にステップ移動して、それぞれ図 10のテストレチクル R 3のパッドパターン 38のみを投影光学系 PLを介して走查露光方式でウェハ W1上の 第 1のショット領域上に転写露光する。これによつて、図 13に示すように、ウェハ W1 上の第 1のショット領域にレジスト像 39AP, 39BP, 39CPに X方向に近接するように パターン 38の第 1、第 2、第 3のレジスト像 38AP, 38BP, 38CPが転写される 。また、この図 13のレジスト像 38AP— 38CPとレジスト像 39AP— 39CPとの位置関 係は、図 3のレジスト像 38AP— 38CPとレジスト像 39AP— 39CPとの位置関係と実 質的に同一である。即ち、上部のレジスト像 38AP及び 39APの X方向の間隔が最も 狭ぐ中央のレジスト像 38BP及び 39BPの間隔、並びに下部のレジスト像 38CP及 び 39CPの間隔は次第に広くなつている。
[0058] そして、露光終了後に、不図示の現像装置においてその露光されたウェハ W1の 現像を行う。これによつて、ウェハ W1上の一つ又は複数のショット領域に図 13のレジ スト像に対応する凹凸のレジスト像が形成される。
[0059] [第 2工程の変形例]
ここでは、上記の実施形態の第 2工程と同様に、例えば走查型電子顕微鏡を用い て、図 13のウェハ W1上の L&Sパターン 39のレジスト像 39AP, 39BP, 39CP中で 最もパッドパターン 38のレジスト像 38AP, 38BP, 38CPに近いスペースパターン像 40A, 40B, 40Cに対応するレジスト像の X方向の線幅を計測する。そして、この計 測結果を例えば図 7のシミュレーションに当てはめることによって、投影光学系 PLの ローカルフレアの量を正確に求めることができる。
[0060] この変形例では、図 13のレジスト像 39AP— 39CPの原版パターンとしては同一の 図 10の L&Sパターン 39を用いているため、図 7のパッド—スペース間距離の変化に よるスペースパターン像の線幅変化の中に、レチクルパターンの線幅誤差 (描画誤差 )による変化分は入らなくなる。よって、より正確に投影光学系 PLのローカルフレアに よる線幅変化分だけを計測できる。更に、例えば 2重露光時に、ローカルフレアの影 響で露光量の多い部分が発生して L&Sパターンの線幅が変化する等の理由により 、ローカルフレアをより高精度に計測できる場合もあり得る。
[0061] なお、上記の実施形態では、投影光学系 PLのローカルフレアを計測している。しか しながら、例えば図 2において、パッドパターン 38Cと L&Sパターン 39Cとの X方向 の間隔を広くした計測用パターンを用いて、その L&Sパターン 39Cのレジスト像の 線幅の変化を計測することによって、投影光学系 PLの光学部材のコーティング膜で の反射に起因するロングレンジフレアを求めることができる可能性もある。
[0062] また、上記の実施形態では、現像後のレジスト像の線幅を計測している力 例えば ウェハ上に塗布されたレジスト像 (潜像)の段階でその線幅を計測するようにしてもよ レ、。この際に感光材料 (感光体)として熱感光樹脂などを用いてもよい。
また、図 1の投影露光装置のレチクルステージ 22を静止させて、例えば図 2のテスト レチクル R2のパッドパターン 38A及び L&Sパターン 39Aの像(この Y方向の一部の 像でもよレ、)を、図 1の投影光学系 PLを介してウェハステージ 28上に投影した状態 で、ウェハステージ 28を X方向に移動させて、光量センサ部 29のピンホール状の受 光面 30Aで L&Sパターン 39Aの像を X方向に走査して、その検出信号から L&Sパ ターン 39Aの像(空間像)の線幅を直接計測してもよい。この線幅の計測結果を図 7 のシミュレーション結果に適用しても、投影光学系 PLのローカルフレアの量を大まか に求めること力 Sできる。更に、光量センサ 29とは別に、リレー結像系とラインセンサ(C CD等)等の撮像素子とを含む空間像計測系をウェハステージ 28上に設け、この空 間像計測系を用いて L&Sパターンの像の線幅を直接計測してもよい。これによつて 、レジストの塗布及び現像工程を実行することなぐ極めて短時間に投影光学系 PL のフレアを計測することができる。
[0063] 次に、本発明の実施の形態の他の例につき図 14及び図 15を参照して説明する。
本例はレジスト像の線幅を実質的に拡大して計測できるようにしたものであり、図 14 及び図 15において、図 2及び図 3に対応する部分には同一又は類似の符号を付し てその詳細説明を省略する。本例でも図 1の投影露光装置を用いて投影光学系 PL のフレアを計測するものとして、その計測動作について以下の第 1工程、及び第 2ェ 程に分けて説明する。
[0064] [第 1工程]
本例では、図 1のレチクルステージ 22上に、図 14に示すフレア計測用のマスクとし てのテストレチクル R4をロードする。
図 14において、テストレチクル R4のパターン領域を X方向に挟むように 1対の 2次 元のァライメントマーク 45A及び 45Bが形成されている。また、テストレチクル R3のパ ターン領域にはクロム等の遮光膜 46を背景として、 X方向の幅 Dで Y方向の高さ Hの 四角形の開口パターンよりなるパッドパターン 38が形成されている。また、遮光膜 46 中でパッドパターン 38に X方向に近接して Y方向の中央での間隔 r 1で、 X方向の幅 dでほぼ Y方向を長手方向とする長方形の開口パターンよりなる 8本のスペースパタ ーン 48を X方向にピッチ Ρで配列した第 1の L&Sパターン 47Αが形成されている。 但し、本例では L&Sパターン 47Αの各スペースパターン 48は、 Υ方向に対して反時 計方向に角度 Θだけ傾いている。
[0065] また、遮光膜 46中に第 1の L&Sパターン 47Αに対して Υ方向に数 z mの間隔で、 X方向の幅 dでほぼ Y方向を長手方向とする長方形の開口パターンよりなる 8本のス ペースパターン 49を X方向にピッチ Pで配列した第 2の L&Sパターン 47Bが形成さ れている。但し、第 2の L&Sパターン 47Bの各スペースパターン 49は、 Y方向に対し て時計方向に角度 Θだけ傾いている。即ち、第 1の L&Sパターン 47Aを構成するス ペースパターン 48と、第 2の L&Sパターン 47Bを構成するスペースパターン 49とは 角度 2 Θで交差するように傾いている。 L&Sパターン 47A及び 47B (又はスペース パターン 48及び 49)力 それぞれ線状パターンに対応している。パッドパターン 38 の形状は、図 2のパッドパターン 38Aと同じで、幅 Dと高さ Hとは等しく設定されている 。また、スペースパターン 48, 49の幅 d、配列のピッチ P ( = 2d)、及び間隔 rlは、図 2のスペースパターン 40の場合と同じである。そして、ァライメントマーク 45A, 45Bと パッドパターン 38及び L&Sパターン 47A, 47Bとの位置関係は、図 1の主制御系 1 に露光データとして記憶されてレ、る。
[0066] また、仮にスペースパターン 48, 49の Y方向の長さを高さ Hに等しいものとすると、 傾斜角 Θは、一例として次のように高さ Hでスペースパターン 48, 49の端部が X方向 にほぼ幅 Pだけ位置ずれする角度に設定されている。
θ =P/H = 2d/H (rad) …(2)
[0067] 次に、図 1のウェハステージ 28上のウェハホルダ 24上にフォトレジストの塗布され た未露光のウェハをロードする。この例でも、フォトレジストが化学増幅型レジストであ る場合には、その上にトップコートを施すことが望ましい。そして、先ず図 1の不図示 のレチクルァライメント顕微鏡を用いて図 14のァライメントマーク 45A, 45Bの位置を 検出することによって、テストレチクル R4のァライメントを行う。次に、通常の露光時と 同様に図 1の投影露光装置を用いて、図 14のテストレチクル R4のパッドパターン 38 及び第 1の L&Sパターン 47Aのみを投影光学系 PLを介して走查露光方式でウェハ 上の第 1のショット領域上に転写露光する。この際に、ウェハ上の他の複数の第 2、第
3等のショット領域上にもそれぞれそのパターンを転写露光してもよい(以下同様)。
[0068] 次に、ウェハを Y方向に第 1の L&Sパターン 47Aの像の Y方向の幅及び数/ i mの 幅だけステップ移動させた後、図 14の第 2の L&Sパターン 47Bのみを投影光学系 P Lを介して走查露光方式でウェハ上の第 1のショット領域上に転写露光する。そして、 露光終了後に、不図示の現像装置においてその露光されたウェハの PEB及び現像 を行う。これによつて、ウェハ上の一つ又は複数のショット領域の遮光部 46に対応す る背景部 46P中に、図 15に示すように、図 14のパッドパターン 38のレジスト像 38AP と、図 14の 2つの L&Sパターン 47A及び 47Bを重ねて露光して得られるレジスト像 4 7AP及び 47BPとが形成される。なお、レジストをポジ型としている。図 15において、 レジスト像 47AP, 47BPの内で最もパッドパターン 38のレジスト像 38APに近い部分 のスペースパターン像 48A, 49Aのくさび型(菱形)の重複部 Aは、ローカルフレアが 多いため X方向の線幅 dPlが太くなり、それに応じて Y方向の長さ hiも長くなつてい る。一方、最もレジスト像 38AP力 離れた部分のスペースパターン像 48H, 49Hの くさび型の重複部 Hは、ローカルフレアのかぶり光が殆ど無いため、 X方向の線幅 dP nは、ほぼローカルフレアが無い場合と同じであり、それに応じて Y方向の長さ hnも短 くなつている。
[0069] 即ち、スペースパターン 48, 49の傾斜角 Θを用いると、重複部 A, Hの X方向の幅 dPl, dPnに対して Y方向の長さ hi , hnは次のように大きく拡大されている。
hi =dPl/ θ , hn = dPn/ θ …(3)
(2)式において、ピッチ Ρを数 100nm、高さ Hを数 μ ΐηとすると、傾斜角 Θはほぼ 0 . l (rad)となり、(3)式より X方向の幅は Y方向の高さにほぼ 10倍拡大される。
[0070] [第 2工程]
ここでは、図 15の最もパッドパターンのレジスト像 38APに近いスペースパターン像 48Aの X方向の線幅 dPlを計測する代わりに、スペースパターン像 48A, 49Aの重 複部 Aの Y方向の高さ hiを計測する。この際に、高さ hiは線幅 dPlに対してほぼ 10 倍に拡大されているため、例えば図 1のァライメントセンサ 36を用いても比較的高精 度に計測することができる。また、図 15のレジスト像 38APから最も離れたスペースパ ターン像 48Hの X方向の線幅 dPnを計測する代わりに、スペースパターン像 48H, 4 9Hの重複部 Hの Y方向の高さ hnをも、例えば図 1のァライメントセンサ 36を用いて計 測する。その後、(3)式力もスペースパターン像 48A及び 48Hの X方向の線幅 dPl, dPnを求め、この計測結果を例えば図 7のシミュレーション結果に当てはめることによ つて、投影光学系 PLのローカルフレアの量を正確に求めることができる。
[0071] この実施形態では、 L&Sパターンの像を露光する代わりに、互いに交差する 2つ の L&Sパターン 47A及び 47Bの像を重ねて露光し、重複して露光された部分の長 手方向の長さの計測値を各スペースパターン像 48A, 48Hの線幅に換算しているた め、その線幅を例えばァライメントセンサ 36等のより簡単な構造の計測装置で間接的 に高精度に、且つ効率的に計測することができる。従って、フレア計測を例えば図 1 の投影露光装置のみで行うことが可能となる。
[0072] なお、図 15の重複部 A, Hの長さ hi , hNを走查型電子顕微鏡を用いて計測するこ とも可能である。この場合には、線幅の計測精度をより高めることができ、その結果と してフレアの計測精度を高めることができる。
[0073] 次に、上記の投影光学系 PLのフレアの計測方法を用いた露光方法の一例につき 、次の計測工程及び補正工程に分けて説明する。
[計測工程]
この計測工程では、例えば上記の第 1工程及び第 2工程を実行することによって、 図 1の投影光学系 PLのフレア(特にローカルフレア)の量を計測する。
[0074] [補正工程]
この補正工程では、その計測工程でのフレアの計測結果を用いて投影光学系 PL のフレア(特にローカルフレア)を補正する。具体的に、投影光学系 PLを構成する所 定の光学部材(レンズ等)を交換する等によって、投影光学系 PLのフレアを低減させ る。また、例えばその第 1工程を投影光学系 PLの組立調整中に実行する場合には、 投影光学系 PL中の所定の光学部材の再加工等を行うようにしてもよい。
[0075] その後、フレアの補正された投影光学系 PLを用いて露光工程を実行することによ つて、最終的に得られる半導体集積回路等のデバイスの線幅均一性を高めることが でき、そのデバイスの歩留まりを向上できる。 また、例えば露光工程において、線幅均一性が悪化したような場合に、その計測ェ 程を実施することで、その原因がその投影光学系のフレアであるか否かを正確に判 別できるようになる。更に、投影光学系のフレアの経時変化も正確に計測できるように なる。従って、露光工程で発生するトラブルへの適切な対応が可能になる。
[0076] なお、上記の実施の形態の投影露光装置は、複数のレンズから構成される照明光 学系、投影光学系を露光装置本体に組み込み光学調整をして、多数の機械部品か らなるレチクルステージやウェハステージを露光装置本体に取り付けて配線や配管 を接続し、更に総合調整 (電気調整、動作確認等)をすることにより製造することがで きる。なお、その露光装置の製造は温度及びクリーン度等が管理されたクリーンノレ一 ムで行うことが望ましい。
[0077] また、上記の実施の形態の投影露光装置を用いて半導体デバイスを製造する場合 、この半導体デバイスは、デバイスの機能'性能設計を行うステップ、このステップに 基づいてレチクルを製造するステップ、シリコン材料からウェハを形成するステップ、 上記の実施の形態の投影露光装置によりァライメントを行ってレチクルのパターンを ウェハに露光するステップ、エッチング等の回路パターンを形成するステップ、デバイ ス組み立てステップ (ダイシング工程、ボンディング工程、パッケージ工程を含む)、 及び検査ステップ等を経て製造される。
[0078] なお、本発明は、走査露光型の投影露光装置のみならず、一括露光型の投影露 光装置の投影光学系のフレアを計測する場合にも同様に適用することができる。また 、例えば国際公開(WO)第 99/49504号などに開示される液浸型露光装置で投影 光学系のフレアを計測する場合にも本発明を適用することができる。また、本発明に よってフレアが計測される投影光学系は、半導体デバイス製造用の露光装置への適 用に限定されることなぐ例えば、角型のガラスプレートに形成される液晶表示素子、 若しくはプラズマディスプレイ等のディスプレイ装置用の露光装置や、撮像素子(CC D等)、マイクロマシーン、薄膜磁気ヘッド、及び DNAチップ等の各種デバイスを製 造するための露光装置にも広く適用できる。更に、本発明によってフレアが計測され る投影光学系は、各種デバイスのマスクパターンが形成されたマスク(フォトマスク、レ チクル等)をフォトリソグラフイエ程を用いて製造する際の、露光工程 (露光装置)にも 適用することができる。
[0079] なお、本発明は上述の実施の形態に限定されず、本発明の要旨を逸脱しない範囲 で種々の構成を取り得ることは勿論である。また、明細書、特許請求の範囲、図面、 及び要約を含む 2003年 7月 18日付け提出の日本国特許出願第 2003—277008、 並びに 2003年 10月 14日付け提出の日本国特許出願第 2003—353965の全ての 開示内容は、そっくりそのまま引用して本願に組み込まれている。
産業上の利用可能性
[0080] 本発明のフレア計測方法を用いることにより、投影光学系のフレアを正確に計測で きるため、例えばその計測結果に基づいてフレアを低減させた投影光学系を用いて 露光を行うことによって、各種デバイスを高い線幅均一性で高精度に製造することが 可能となる。

Claims

請求の範囲
[1] 第 1面上のパターンの像を第 2面上に投影する投影光学系のフレアを計測するた めのフレア計測方法において、
前記第 1面に配置された開口パターン及びこの開口パターンに隣接して配置され た 1本又は複数本の線状パターンの像を前記投影光学系を介して前記第 2面上に 投影する第 1工程と、
前記第 2面上に投影された前記線状パターンの像の線幅に基づいて前記投影光 学系のフレアを求める第 2工程とを有することを特徴とするフレア計測方法。
[2] 前記第 1工程において、前記開口パターン及び前記線状パターンとそれぞれ同じ 形状で、かつ互いに間隔の異なる複数組の開口パターン及び線状パターンの像を 前記投影光学系を介して前記第 2面上に投影し、
前記第 2工程にぉレ、て、複数の前記線状パターンの像の線幅に基づレ、て前記投 影光学系のフレアを求めることを特徴とする請求項 1に記載のフレア計測方法。
[3] 前記第 1工程において、
前記開口パターン及び前記線状パターンの像を、互いに重ならないように、かつ間 隔を変えながら複数回露光することを特徴とする請求項 2に記載のフレア計測方法。
[4] 前記第 1工程において、前記線状パターンの像の上に所定の小さい交差角で別の 線状パターンの像を重ねて露光し、
前記第 2工程にぉレ、て、前記線状パターンの像と前記別の線状パターンの像とが 重なった部分の長手方向の幅を計測し、この計測結果に基づいて前記投影光学系 のフレアを求めることを特徴とする請求項 1から 3のいずれか一項に記載のフレア計 測方法。
[5] 前記開口パターンの大きさはほぼ数 x m 数 100 x m角であり、前記線状パター ンの幅はほぼ数 lOOnm 数 x mであり、前記開口パターンと前記線状パターンとの 間隔はほぼ 1 μ m—数 10 μ mであることを特徴とする請求項 1から 4のいずれか一項 に記載のフレア計測方法。
[6] 前記第 1工程は、
基板上に感光材料を塗布した後、該感光材料上に上層膜を塗布する塗布工程と、 前記基板の表面を前記第 2面にほぼ合わせ込んで、前記開口パターン及び該開 口パターンに隣接して配置された 1本又は複数本の線状パターンの像を前記投影光 学系を介して前記基板の表面に投影する投影工程と、
前記基板上の前記感光材料の現像前ベータを行う予備現像工程と、
前記基板上の前記感光材料の現像を行って前記線状パターンの凹凸の像を形成 する現像工程とを含むことを特徴とする請求項 1から 5のいずれか一項に記載のフレ ァ計測方法。
[7] 前記感光材料は化学増幅型レジストであることを特徴とする請求項 6に記載のフレ ァ計測方法。
[8] 前記上層膜は、前記予備現像工程において前記感光材料からの酸の揮発を抑制 することを特徴とする請求項 6又は 7に記載のフレア計測方法。
[9] 第 1物体のパターンを投影光学系を介して 2物体上に投影露光する露光方法にお いて、
請求項 1から 8のいずれか一項に記載のフレア計測方法を用いて前記投影光学系 のフレアを計測する計測工程と、
前記計測工程での計測結果に基づいて前記投影光学系のフレアを補正する補正 工程とを有することを特徴とする露光方法。
[10] 投影光学系のフレア計測用のマスクであって、
開口パターン及びこの開口パターンに隣接して配置された 1本又は複数本の線状 パターンが形成されたことを特徴とするフレア計測用のマスク。
[11] 前記開口パターンはほぼ数/ i m—数 100 /i m角の角形パターンであり、前記線状 パターンは、ラインパターンの幅がほぼ数 lOOnm—数 z mのライン'アンド 'スペース パターンであり、前記開口パターンと前記線状パターンとの間隔はほぼ 1 μ m—数 1 0 μ mであることを特徴とする請求項 10に記載のフレア計測用のマスク。
PCT/JP2004/009979 2003-07-18 2004-07-13 フレア計測方法、露光方法、及びフレア計測用のマスク WO2005008754A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005511825A JPWO2005008754A1 (ja) 2003-07-18 2004-07-13 フレア計測方法、露光方法、及びフレア計測用のマスク

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003-277008 2003-07-18
JP2003277008 2003-07-18
JP2003-353965 2003-10-14
JP2003353965 2003-10-14

Publications (1)

Publication Number Publication Date
WO2005008754A1 true WO2005008754A1 (ja) 2005-01-27

Family

ID=34082373

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/009979 WO2005008754A1 (ja) 2003-07-18 2004-07-13 フレア計測方法、露光方法、及びフレア計測用のマスク

Country Status (2)

Country Link
JP (1) JPWO2005008754A1 (ja)
WO (1) WO2005008754A1 (ja)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005085791A (ja) * 2003-09-04 2005-03-31 Semiconductor Leading Edge Technologies Inc フレアの測定方法
JP2006313815A (ja) * 2005-05-09 2006-11-16 Nikon Corp 結像性能シミュレーション方法及び装置、並びに露光方法及び装置
JP2007180216A (ja) * 2005-12-27 2007-07-12 Fujitsu Ltd フレア量の計測方法、フレア量計測用マスク及びデバイスの製造方法
JP2008533475A (ja) * 2005-03-17 2008-08-21 カール ツァイス エスエムテー アクチェンゲゼルシャフト 波面計測及び散乱光測定方法及び装置、ならびに関連装置及び製造方法
JP2009088527A (ja) * 2007-09-28 2009-04-23 Asml Holding Nv 放射キルクテスト
US7691542B2 (en) 2004-01-16 2010-04-06 Kabushiki Kaisha Toshiba Exposure system, test mask for flare testing, method for evaluating lithography process, method for evaluating exposure tools, method for generating corrected mask pattern, and method for manufacturing semiconductor device
JP2010206199A (ja) * 2009-03-03 2010-09-16 Nikon Corp フレア計測用マスク、フレア計測方法、及び露光方法
JP2010536167A (ja) * 2007-08-10 2010-11-25 カール・ツァイス・エスエムティー・アーゲー 光学系上の散乱光測定方法及び装置
KR101082099B1 (ko) * 2008-12-22 2011-11-10 주식회사 하이닉스반도체 플레어 계측 레티클, 플레어 센싱 모듈 및 극자외선 리소그래피를 위한 노광 장치
JP2012104670A (ja) * 2010-11-10 2012-05-31 Toshiba Corp 露光量評価方法およびフォトマスク
US8446579B2 (en) 2008-05-28 2013-05-21 Nikon Corporation Inspection device and inspecting method for spatial light modulator, illumination optical system, method for adjusting the illumination optical system, exposure apparatus, and device manufacturing method
US8451427B2 (en) 2007-09-14 2013-05-28 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
US8462317B2 (en) 2007-10-16 2013-06-11 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US8520291B2 (en) 2007-10-16 2013-08-27 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US20130271945A1 (en) 2004-02-06 2013-10-17 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US8675177B2 (en) 2003-04-09 2014-03-18 Nikon Corporation Exposure method and apparatus, and method for fabricating device with light amount distribution having light larger in first and second pairs of areas
US8854601B2 (en) 2005-05-12 2014-10-07 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US9057877B2 (en) 2007-10-24 2015-06-16 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9097981B2 (en) 2007-10-12 2015-08-04 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method
US9116346B2 (en) 2007-11-06 2015-08-25 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
US9140992B2 (en) 2003-10-28 2015-09-22 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9164209B2 (en) 2003-11-20 2015-10-20 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical member with optical rotatory power having different thicknesses to rotate linear polarization direction
US20220146926A1 (en) * 2020-11-12 2022-05-12 United Microelectronics Corp. Photo-mask and semiconductor process

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0555104A (ja) * 1991-08-26 1993-03-05 Canon Inc 半導体製造装置
JP2001272766A (ja) * 2000-03-27 2001-10-05 Toshiba Corp フォトマスクの製造方法
WO2002009163A1 (fr) * 2000-07-26 2002-01-31 Nikon Corporation Procede et appareil de mesure de lumiere parasite, procede et systeme d'exposition, procede de reglage d'un systeme d'exposition
JP2003100624A (ja) * 2001-08-08 2003-04-04 Samsung Electronics Co Ltd フレア測定用マスク、マスクの製造方法、ウェーハ上にフレア影響領域を設定する方法及びフレアを補正するためのマスク製作方法
JP2003318095A (ja) * 2002-04-24 2003-11-07 Nikon Corp フレア計測方法及びフレア計測装置、露光方法及び露光装置、露光装置の調整方法
JP2004064024A (ja) * 2002-07-31 2004-02-26 Fujitsu Ltd 試験用フォトマスク、フレア評価方法、及びフレア補正方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0555104A (ja) * 1991-08-26 1993-03-05 Canon Inc 半導体製造装置
JP2001272766A (ja) * 2000-03-27 2001-10-05 Toshiba Corp フォトマスクの製造方法
WO2002009163A1 (fr) * 2000-07-26 2002-01-31 Nikon Corporation Procede et appareil de mesure de lumiere parasite, procede et systeme d'exposition, procede de reglage d'un systeme d'exposition
JP2003100624A (ja) * 2001-08-08 2003-04-04 Samsung Electronics Co Ltd フレア測定用マスク、マスクの製造方法、ウェーハ上にフレア影響領域を設定する方法及びフレアを補正するためのマスク製作方法
JP2003318095A (ja) * 2002-04-24 2003-11-07 Nikon Corp フレア計測方法及びフレア計測装置、露光方法及び露光装置、露光装置の調整方法
JP2004064024A (ja) * 2002-07-31 2004-02-26 Fujitsu Ltd 試験用フォトマスク、フレア評価方法、及びフレア補正方法

Cited By (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9885959B2 (en) 2003-04-09 2018-02-06 Nikon Corporation Illumination optical apparatus having deflecting member, lens, polarization member to set polarization in circumference direction, and optical integrator
US9146474B2 (en) 2003-04-09 2015-09-29 Nikon Corporation Exposure method and apparatus, and method for fabricating device with light amount distribution having light larger and different linear polarization states in an on-axis area and a plurality of off-axis areas
US9164393B2 (en) 2003-04-09 2015-10-20 Nikon Corporation Exposure method and apparatus, and method for fabricating device with light amount distribution having light larger in four areas
US8675177B2 (en) 2003-04-09 2014-03-18 Nikon Corporation Exposure method and apparatus, and method for fabricating device with light amount distribution having light larger in first and second pairs of areas
US9678437B2 (en) 2003-04-09 2017-06-13 Nikon Corporation Illumination optical apparatus having distribution changing member to change light amount and polarization member to set polarization in circumference direction
JP4537028B2 (ja) * 2003-09-04 2010-09-01 三星電子株式会社 フレアの測定方法
JP2005085791A (ja) * 2003-09-04 2005-03-31 Semiconductor Leading Edge Technologies Inc フレアの測定方法
US9423698B2 (en) 2003-10-28 2016-08-23 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9244359B2 (en) 2003-10-28 2016-01-26 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9423697B2 (en) 2003-10-28 2016-08-23 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9140993B2 (en) 2003-10-28 2015-09-22 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9760014B2 (en) 2003-10-28 2017-09-12 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9146476B2 (en) 2003-10-28 2015-09-29 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9140992B2 (en) 2003-10-28 2015-09-22 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US10281632B2 (en) 2003-11-20 2019-05-07 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical member with optical rotatory power to rotate linear polarization direction
US9885872B2 (en) 2003-11-20 2018-02-06 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical integrator and polarization member that changes polarization state of light
US9164209B2 (en) 2003-11-20 2015-10-20 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical member with optical rotatory power having different thicknesses to rotate linear polarization direction
US7691542B2 (en) 2004-01-16 2010-04-06 Kabushiki Kaisha Toshiba Exposure system, test mask for flare testing, method for evaluating lithography process, method for evaluating exposure tools, method for generating corrected mask pattern, and method for manufacturing semiconductor device
US9429848B2 (en) 2004-02-06 2016-08-30 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US9423694B2 (en) 2004-02-06 2016-08-23 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US20130271945A1 (en) 2004-02-06 2013-10-17 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US10007194B2 (en) 2004-02-06 2018-06-26 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US9140990B2 (en) 2004-02-06 2015-09-22 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US10241417B2 (en) 2004-02-06 2019-03-26 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US10234770B2 (en) 2004-02-06 2019-03-19 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
JP2008533475A (ja) * 2005-03-17 2008-08-21 カール ツァイス エスエムテー アクチェンゲゼルシャフト 波面計測及び散乱光測定方法及び装置、ならびに関連装置及び製造方法
JP2006313815A (ja) * 2005-05-09 2006-11-16 Nikon Corp 結像性能シミュレーション方法及び装置、並びに露光方法及び装置
US9429851B2 (en) 2005-05-12 2016-08-30 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US9360763B2 (en) 2005-05-12 2016-06-07 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US9891539B2 (en) 2005-05-12 2018-02-13 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US8854601B2 (en) 2005-05-12 2014-10-07 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US9310696B2 (en) 2005-05-12 2016-04-12 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
JP2007180216A (ja) * 2005-12-27 2007-07-12 Fujitsu Ltd フレア量の計測方法、フレア量計測用マスク及びデバイスの製造方法
US7982851B2 (en) 2005-12-27 2011-07-19 Fujitsu Semiconductor Limited Method for measuring flare amount, mask for measuring flare amount, and method for manufacturing device
JP2010536167A (ja) * 2007-08-10 2010-11-25 カール・ツァイス・エスエムティー・アーゲー 光学系上の散乱光測定方法及び装置
US8451427B2 (en) 2007-09-14 2013-05-28 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
US9366970B2 (en) 2007-09-14 2016-06-14 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
US9057963B2 (en) 2007-09-14 2015-06-16 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
JP2009088527A (ja) * 2007-09-28 2009-04-23 Asml Holding Nv 放射キルクテスト
US9097981B2 (en) 2007-10-12 2015-08-04 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method
US10101666B2 (en) 2007-10-12 2018-10-16 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method
US8520291B2 (en) 2007-10-16 2013-08-27 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US8508717B2 (en) 2007-10-16 2013-08-13 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US8462317B2 (en) 2007-10-16 2013-06-11 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US9857599B2 (en) 2007-10-24 2018-01-02 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9341954B2 (en) 2007-10-24 2016-05-17 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9057877B2 (en) 2007-10-24 2015-06-16 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9116346B2 (en) 2007-11-06 2015-08-25 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
US9678332B2 (en) 2007-11-06 2017-06-13 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
US8456624B2 (en) 2008-05-28 2013-06-04 Nikon Corporation Inspection device and inspecting method for spatial light modulator, illumination optical system, method for adjusting the illumination optical system, exposure apparatus, and device manufacturing method
US8446579B2 (en) 2008-05-28 2013-05-21 Nikon Corporation Inspection device and inspecting method for spatial light modulator, illumination optical system, method for adjusting the illumination optical system, exposure apparatus, and device manufacturing method
KR101082099B1 (ko) * 2008-12-22 2011-11-10 주식회사 하이닉스반도체 플레어 계측 레티클, 플레어 센싱 모듈 및 극자외선 리소그래피를 위한 노광 장치
US8945802B2 (en) 2009-03-03 2015-02-03 Nikon Corporation Flare-measuring mask, flare-measuring method, and exposure method
JP2010206199A (ja) * 2009-03-03 2010-09-16 Nikon Corp フレア計測用マスク、フレア計測方法、及び露光方法
US9529251B2 (en) 2009-03-03 2016-12-27 Nikon Corporation Flare-measuring mask, flare-measuring method, and exposure method
JP2012104670A (ja) * 2010-11-10 2012-05-31 Toshiba Corp 露光量評価方法およびフォトマスク
US20220146926A1 (en) * 2020-11-12 2022-05-12 United Microelectronics Corp. Photo-mask and semiconductor process
US11662658B2 (en) * 2020-11-12 2023-05-30 United Microelectronics Corp. Photo-mask and semiconductor process

Also Published As

Publication number Publication date
JPWO2005008754A1 (ja) 2007-09-20

Similar Documents

Publication Publication Date Title
WO2005008754A1 (ja) フレア計測方法、露光方法、及びフレア計測用のマスク
JP2002100561A (ja) 露光方法及び装置、並びにデバイス製造方法
US20080259353A1 (en) Measurement method, exposure method and device manufacturing method
US7209215B2 (en) Exposure apparatus and method
JP2008263194A (ja) 露光装置、露光方法、および電子デバイス製造方法
US20040095662A1 (en) Adjustment method and apparatus of optical system, and exposure apparatus
JP2001274080A (ja) 走査型投影露光装置及びその位置合わせ方法
US8343693B2 (en) Focus test mask, focus measurement method, exposure method and exposure apparatus
JP2008263193A (ja) 露光方法、および電子デバイス製造方法
JPH10284377A (ja) 露光方法及び該方法を用いたデバイスの製造方法
US20100296074A1 (en) Exposure method, and device manufacturing method
JP4835921B2 (ja) 計測方法、露光方法、デバイス製造方法、及びマスク
JP2004146702A (ja) 光学特性計測方法、露光方法及びデバイス製造方法
JP2006313815A (ja) 結像性能シミュレーション方法及び装置、並びに露光方法及び装置
KR100781099B1 (ko) 리소그래피 시스템의 평가방법, 기판처리장치의 조정방법,리소그래피 시스템, 및 노광장치
JP2006080245A (ja) フレア計測方法、露光方法、及びフレア計測用のマスク
JP2002169266A (ja) マスク、結像特性計測方法、及び露光方法
JP2006030021A (ja) 位置検出装置及び位置検出方法
JPH11176726A (ja) 露光方法、該方法を使用するリソグラフィシステム、及び前記方法を用いるデバイスの製造方法
JP2006080444A (ja) 測定装置、テストレチクル、露光装置及びデバイス製造方法
JPH1131652A (ja) 残存収差補正板及びそれを用いた投影露光装置
JP2002139406A (ja) 光学特性計測用マスク、光学特性計測方法、及び露光装置の製造方法
JP2001358059A (ja) 露光装置の評価方法、及び露光装置
JP5354339B2 (ja) 露光方法及び露光装置、並びにデバイス製造方法
JP2003045794A (ja) 光学特性計測方法、投影光学系の調整方法、露光方法、及び露光装置の製造方法、並びにマスク検査方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2005511825

Country of ref document: JP

122 Ep: pct application non-entry in european phase