WO2004112115A1 - Liquide decapant et procede pour enlever un film antireflet et un materiau enterre contenant du silicium - Google Patents

Liquide decapant et procede pour enlever un film antireflet et un materiau enterre contenant du silicium Download PDF

Info

Publication number
WO2004112115A1
WO2004112115A1 PCT/JP2004/008411 JP2004008411W WO2004112115A1 WO 2004112115 A1 WO2004112115 A1 WO 2004112115A1 JP 2004008411 W JP2004008411 W JP 2004008411W WO 2004112115 A1 WO2004112115 A1 WO 2004112115A1
Authority
WO
WIPO (PCT)
Prior art keywords
mass
film
water
organic
acid
Prior art date
Application number
PCT/JP2004/008411
Other languages
English (en)
Japanese (ja)
Inventor
Shingo Nakamura
Takehiko Kezuka
Fumihiro Kamiya
Takashi Kanemura
Mitsushi Itano
Original Assignee
Daikin Industries, Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Daikin Industries, Ltd. filed Critical Daikin Industries, Ltd.
Publication of WO2004112115A1 publication Critical patent/WO2004112115A1/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Definitions

  • the present invention relates to a remover for removing an antireflection film and a filling material during rework such as formation of a damascene structure or a dual damascene structure and reworking of lithography.
  • a wiring layer for supplying a current in a horizontal direction to a wafer to be processed and a via layer which is a vertical hole-shaped wiring connecting each wiring layer are separately formed.
  • the wiring layer is formed by squeezing a metal wiring such as a convex A1 by metal dry etching, and filling the periphery of the wiring with an interlayer insulating film such as a Si02 film.
  • the via layer is formed by depositing an interlayer insulating film such as a Si02 film, processing holes (via holes) by dry etching, and burying metals such as A1 and W.
  • dry etching first processes grooves (trench) and holes (via holes) in the low_k film, and forms wiring structure mainly by embedding wiring material such as copper in the processed part Processing is performed by a method referred to as damascene. Furthermore, in the dual damascene method, trenches and via holes for wiring are simultaneously formed in a low-k film, and then wiring materials such as copper are embedded. To form a dual damascene structure, a via-first process in which a trench for wiring is formed after forming a via hole, and a trench in which a via hole is formed after forming a trench for wiring in the reverse order.
  • the embedding material is mainly used for reworking some processes such as dual damascene process and lithography. For example, in a via-first process, after a via hole is formed by dry etching, an embedding material is embedded, Lithography for forming a trench is performed and then etched. After that, the embedding material must be selectively removed.
  • the filling material must be selectively removed from the low-k film. Attempting to remove the filling material with a stripping solution such as the resist currently proposed will etch the low-k film and other films that make up the device, making it impossible to process to the original design dimensions. As described above, there has been no dedicated chemical solution for removing the filling material. In particular, in the conventional post-etching cleaning using a polymer stripper, the silicon-containing buried material was not sufficiently selectively removed from the silicon-containing low-k film. Chemicals that can selectively remove silicon-containing buried materials in the presence of silicon-containing low-k films are still being developed.
  • the present invention relates to a remover for removing an anti-reflection film and a filling material when a process such as formation of a damascene structure or a dual damascene structure or lithography is re-executed (reworked).
  • the present invention provides the following removal solution, removal method, rinsing method, and removal product.
  • a group consisting of an organic acid and an organic solvent A group consisting of an anti-reflection coating containing silicon and containing hydrogen fluoride (HF) and at least one selected from the group consisting of an organic acid and an organic solvent Removal liquid to remove.
  • HF hydrogen fluoride
  • the anti-reflection coating Z or the filling material contains silicon bonded to OH (Si-OH bond) and silicon bonded to Z or H (Si-H bond). Remover as described.
  • At least one selected from the group consisting of organic acids and organic solvents is an organic acid, and the removal liquid further contains water, and the weight ratio of HF: organic acid: water is 0.001 to 5 mass%.
  • Organic acid and organic solvent power At least one selected from group powers is an organic solvent, and the removal liquid further contains water, and the weight ratio of HF: organic solvent: water is 0.01 to 10 mass%: Item 4.
  • At least one selected from HF and organic acids and organic solvents further contains water, and the weight ratio of HF: at least one selected from organic acids and organic solvents: water is 0.001 to 10 mass%: 60
  • Item 1 The removal solution according to Item 1, wherein the removal solution is 0.009 to 30% by mass.
  • HF at least one selected from the group consisting of ammonia and amine; organic acid and at least one selected from the group consisting of organic solvents; and water; HF: from ammonia and amine At least one selected from the group consisting of: an organic acid and an organic solvent; at least one selected from the group consisting of: an organic acid and an organic solvent: a weight ratio of water: 0.001 to 10 mass%: 0.001 to 30 mass%: 10 to 99 998rnass%: The removal solution according to item 4, which is 0 to 50 mass%.
  • HF hydrogen fluoride
  • organic acids and organic solvents having 25 or more acids and donors
  • a fluorine-containing organic solvent HF at least one selected from the group consisting of
  • HF at least one kind of organic acid and organic solvent, and at least one kind of ammonia and amine, water, acid, polar prophilic solvent having 25 or more donors
  • fluorine-containing organic compound HF At least one kind of organic acid and organic solvent: At least one kind of ammonia and diamine: Water: Acid: Polar protic solvent having 25 or more donors: Fluorine-containing organic
  • the weight ratio of the compound is 0.05 to 10 mass%: 30 to 99.78 mass%: 0.05 to 20 mass%: 0.02 to 30 mass%: 0 to 10 mass%: 0 to 50 mass%: 0 to 70 mass% (however, one acid or Item 4.
  • the removal solution according to Item 4 wherein the total amount of at least one selected from the group consisting of a polar protic solvent and a fluorine-containing organic compound is 0.1 to 69.88 mass%.
  • HF (poly) alkylene glycol monoalkyl ethers (at least one selected from the group consisting of (poly) alkylene glycol dialkyl ethers: weight of water); drier power 0.50 to 5 mass%: 85 00 ⁇ 99. 30% by mass: 0.20 ⁇ : 10% by mass.
  • An article to be processed which has a low-k film on the surface of a semiconductor substrate, and has a resist and an antireflection film on the surface of the low-k film and / or a filling material in a groove or hole is described in item 1.
  • the anti-reflection coating and / or the burying material are removed simultaneously or separately with the resist without substantially damaging the low-k film, characterized in that the treatment is performed using the anti-reflection coating and the burying material removing liquid. To remove the antireflection film and / or the filling material.
  • Item 15 The method according to Item 14, wherein the associating process is performed.
  • Substantially no damage to the low-k film means that the low-k film is not substantially etched, and the relative permittivity of the low-k film before and after Z or treatment is substantially changed Item 14.
  • the present invention relates to an antireflection film and a liquid for removing an embedding material.
  • the remover for the reflective P-blocking film and the filling material of the present invention is a composition containing at least one selected from the group consisting of organic acids and organic solvents, and hydrogen fluoride (HF) as essential components. .
  • the present invention adjusts the dissociation of hydrogen fluoride (HF) to reduce HF 2 —, which is an etching species for low-k films and silicon oxide films, and mainly reduces etching species HF and (HF) n.
  • HF 2 — which is an etching species for low-k films and silicon oxide films
  • HF and (HF) n By producing H +, the anti-reflection film containing silicon and the filling material are selectively removed from the low-k film and the silicon oxide film.
  • the dissociation of hydrogen fluoride (HF) can be adjusted by changing the properties of the organic acid and the organic solvent.
  • the physical properties of the main organic acids and organic solvents involved in the dissociation of hydrogen fluoride (HF) are relative permittivity ( ⁇ r) and acidity and basicity.
  • Indicators of acidity and basicity include the number of acceptors (AN), the number of donors (DN), and the self-protolysis constant.
  • Self-protolysis refers to the transfer of protons between solvents in a neutral solvent such as water or an alcohol, a proton-donating solvent such as an acid, or an amphoteric solvent such as a pro-philic solvent such as formamide. U. That is, these solvents have low self-protolysis constant pK SH .
  • the acceptor number A N is a measure of the acceptor property proposed by Mayer-Gutmann, that is, a measure of the solvent as a Lewis acid. It was ⁇ in hexane n- and (C 2 F 5) 31 P -NMR I spoon Science shift value of 3 PO is 0, 1, 2 - in Jikuroroetan of (C 2 F 5) 3 PO 'SbCl 5 complex when the 31 P- NMR chemical shift values 100, 31 P certain was ⁇ pure solvent (C 2 F 5) 3 PO - the NMR chemical shift values to a N.
  • ⁇ ⁇ 100 ⁇ (solvent) / [ ⁇ ((C 2 F 5 ) 3 in 1,2-dichloroethane 3 P ⁇ 'SbCI 5 )- ⁇ ( ⁇ -dissolved in hexane (C 2 F 5 ) 3 PO)].
  • the number of donors, DN is a measure of donorness proposed by Gutmann, that is, a measure as a Lewis base of a solvent.
  • organic solvents that have the same number of acceptors and donors even if they are not reported as measured values.
  • the acceptor property and the donor property of the organic solvent can be estimated. For example, as the number of alkyl groups increases, the number of acceptors tends to decrease.
  • C 4 H 9 no reports of A N of ⁇ _H but can be assumed to be a value close to 33.5 following 33.5.
  • the donor and acceptor properties of the substance are determined.
  • the degree can be known, and measurement values such as literature values are not necessarily required.
  • the fact that the organic solvent has a strong acceptor property means that the Lewis acidity of the solvent is strong, and that the donor property is strong, and that the solvent has a strong Lewis basicity. Re, and re, that is.
  • solvents having an acceptor number of 20 or more are amphoteric solvents, and the amphoteric solvents are classified as neutral, proton donating, and pro-philic solvents.
  • Solvents with less than 20 sceptors are aprotic solvents, and solvents with relatively high dipole moment and relative permittivity are polar, non-polar solvents, and dipole moments with low dielectric constant.
  • a weaker solvent is called an inert solvent.
  • Polar aprotic solvents are classified as polar aprotic aprotic solvents, which are more basic than water, and polar aprotic aprotic solvents, which are less basic than water. Solvents with very low dipole moment and relative dielectric constant and very weak acidity and basicity are called inert solvents.
  • Hydrogen fluoride is known to polymerize even in water ( ⁇ r: 78), which has a high relative dielectric constant due to its strong hydrogen bonding property, and a hydrogen bonding polymer such as (HF) n exists. Let's do it.
  • HF 2 — is formed as soon as the concentration of hydrogen fluoride is high to some extent, and this is the main etching species.
  • the antireflection film containing silicon and the filling material are selectively removed by H + supplied from SH 2 + generated by self-protolysis, H + generated from hydrogen fluoride, and (HF) n , HF.
  • organic acids and organic solvents include alcohols such as methanol, ethanol, and propanol.
  • A is the strongest acid that can be present in a solvent SH is SH 2 +. Any acids stronger than SH 2 + are completely dissociated and leveled to the strength of SH 2 + .
  • the ease with which H 2 + is given to the SH 2 + object to be processed is determined by the basicity of the solvent SH.
  • the smaller the number of donors the smaller the ability of H + to be retained in the solvent, so that the solvent SH easily gives H + to the surface of the object to be treated, because of the shape of SH 2 + . Therefore, among the amphoteric solvents, the smaller the donor power S, the greater the effect of selectively removing the antireflection film and the filling material.
  • the removal of organic substances such as a resist is insufficient due to ashing or the like, a large amount of residues containing organic substances generated by etching are present around the antireflection film and the filling material.
  • Isopropyl alcohol IPA
  • Equilibrium (1) shifts to the right, HF increases, and the increased HF and (HF) n amplify the effect of selectively removing the silicon-containing antireflection film and the buried material.
  • the equilibrium (2) is more likely to occur with an amphoteric solvent having a relatively low relative dielectric constant ( ⁇ r ⁇ 20) than a relatively high dielectric constant ( ⁇ r ⁇ 20).
  • the amount of HF increases.
  • these solvents in the case of a solvent that generates H + such as acetic acid, the effect of selectively removing the silicon-containing antireflection film and the filling material is increased by the increased HF, H + and (HF) n . Many of these solvents are strongly acidic and have a large number of acceptors.
  • the equilibrium (1) shifts to the right, HF increases, and H + is also generated by generating H + from the solvent itself, such as acetic acid.
  • the increased H + and HF and (HF) n amplify the effect of selectively removing the silicon-containing anti-reflective coating and buried material.
  • an aprotic solvent having a relatively high relative dielectric constant ( ⁇ 20) is called a polar aprotic solvent.
  • a polar aprotic solvent Those which are more basic than water, those which are polar aprotic aprotic solvents, those which are less basic than water, and those which are polar aprotic aprotic solvents are classified.
  • a polar aprotic aprotic solvent has a strong basicity and a strong donor property, and has a large amount of F. Although F-- is highly reactive, HF is strongly solvated, so the equilibrium (3) is either left or right. Is also less biased. Since the H + generated by slight dissociation is also strongly solvated, the reactivity between the etching species HF and (HF) n and H + is so large that the silicon-containing anti-reflective coating and the filling can be selectively used. The effect of removing the filler is smaller than other solvents. As described above, when the polar protic aprotic solvent is added to another solvent, the ability to remove the antireflection film and the filling material decreases. However, an effect of increasing the speed of removing an oxide film formed on copper as a wiring material can be provided. Leaving the copper oxide film may cause insulation failure. Therefore, it is possible to effectively remove the antireflection film and the filling material and remove the copper oxide film.
  • Examples of such a solvent include some esters such as ethyl acetate and methyl acetate, and some ethers such as tetrahydrofuran, dioxane, dimethoxyethane, and triethylene glycol dimethyl ether.
  • the dissociation of hydrogen fluoride is adjusted by the physical properties of the organic acid and organic solvent to reduce HF 2- as an etching species, and to generate (HF) n , HF and H +, which are abundant, -Selectively remove silicon-containing anti-reflective coating and filling material from k-film and silicon oxide film.
  • the effect of selectively removing the silicon-containing antireflection film and the burying material is amplified, as in the case where the dielectric constant is relatively high ( ⁇ r ⁇ 20).
  • Low dielectric constant ( ⁇ r ⁇ 20) such as amphoteric solvent, acetic acid, etc .
  • Low dielectric constant ( ⁇ r ⁇ 20) such as dimethoxyethane
  • aprotic solvent such as aprotic solvent
  • high dielectric constant ( ⁇ r ⁇ 20) such as propylene carbonate ⁇ r ⁇ 20) Protophophobic aprotic solvents.
  • the organic acid or organic solvent to be added to the removing solution of the present invention desirably has the following self-protolysis constant, one-hundred-and-one and an acceptor number.
  • the preferred range of the self-protolysis constant is 2 to 30, more preferably 2 to 23, and particularly preferably 14 to 23. This range contains a large amount of the amphoteric solvent.
  • the preferred range of the number of donors is usually 2 to 50, preferably 18 to 50, more preferably 20 to 37 for amphoteric solvents, and usually 2 to 25, preferably 10 to 50 for polar aprotic aprotic solvents. 25, more preferably 14-18.
  • the preferred range of the number of acceptors is usually 32-130, preferably 33-106, more preferably 33-55 for amphoteric solvents, and usually 2-20, preferably 2-13, for polar aprotic aprotic solvents. Preferably it is 8-11.
  • Organic acids include formic acid, acetic acid, propionic acid, butyric acid, isobutyric acid, valeric acid, isovaleric acid, caproic acid, caprylic acid, monochloroacetic acid, dichloroacetic acid, and trichloroacetic acid, which are proton-donating amphoteric solvents.
  • Monocarboxylic acids such as acetic acid, monofluoroacetic acid, difluoroacetic acid, trifluoroacetic acid, a-chlorobutyric acid, ⁇ -chlorobutyric acid, ⁇ -chlorobutyric acid, lactic acid, glycolic acid, pyruvic acid, dalioxalic acid, methacrylic acid and acrylic acid; Sulfonic acids such as methanesulfonic acid, benzenesulfonic acid, and toluenesulfonic acid; polycarboxylic acids such as malonic acid, glutanoleic acid, maleic acid, fumaric acid, oxalic acid, succinic acid, didipic acid, malic acid, tartaric acid, and citric acid Is mentioned.
  • an organic acid as an amphoteric solvent which is a water-soluble proton donating solvent is preferable. If it is water-soluble, it can be easily removed by treating with the removing solution of the present invention and then rinsing with pure water to remove the removing solution of the present invention remaining on the object to be treated, such as a wafer. .
  • the water-soluble organic acid formic acid, acetic acid, trifluoroacetic acid and methanesulfonic acid are particularly preferred.
  • Organic solvents include neutral amphoteric solvents such as methanol, ethanol and isopropanol (IP).
  • neutral amphoteric solvents such as methanol, ethanol and isopropanol (IP
  • Neutral amphoteric solvents ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropynoleate, ethylene glycol monoethyl propyl ether, ethylene glycol monobutyl ether, ethylene glycol monoethyl ether Petinole ethere, diethylene glycolone monomethinoleate, diethylene glycolone monoethylenate, diethylene glycolone monopropizoleate, diethylene glycolone isopropinoleate, diethylene glycol monobutynoate ether, diethylene glycol monobutynoate ether, Diethylene glycol monoisobutyl ether, triethylene glycol monomethyl ether, triethylene glycol monomethyl ether, triethylene glycol Monopropyl ether, triethylene glycol monoisopropyl ether, triethylene glycol monobutyl ether, triethylene glycol monoisobutyl isobutyl ether, polyethylene glycol monomethyl ether,
  • Polyols such as ethylene glycol, diethylene glycol, 1,2-propanediol, propylene glycol, 2,3-butanediol and glycerin, which are neutral amphoteric solvents; Formamide, N-methylformamide, N-methylacetoamide, N-methylpropionamide,
  • N, N-dimethylformamide with relative permittivity of 78 or less N, N-dimethylacetamide, N, N-getylacetamide, hexamethylphosphoric triamide, 1,1,3 , 3-tetramethylurea, N-methyl-2-pyrrolidone, N-ethyl-2-pyrrolidone, N-propynole-1-pyrrolidone, N-hydroxymethyl-12-pyrrolidone, N-hydroxyethyl-2-pyrrolidone 1 Amides such as 1,3-dimethyl-12-imidazolidinone, 1,3-getyl-2-imidazolidinone, 1,3-diisopropyl-pyr-2-imidazolidinone;
  • Ketones such as acetone, acetylacetone, methylethylketone, methylisobutylketone, cyclohexanone, getylketone, and diisobutylketone, which are protophobic aprotic solvents;
  • Nitriles such as acetonitrile, propio-tolyl, butyronitrile, isopyronitrile, and benzonitrile, which are protophobic aprotic solvents;
  • Aldehydes such as formaldehyde, acetoaldehyde and propionaldehyde, which are protophobic aprotic solvents;
  • Protophobic aprotic solvents such as getyl ether, diisopropyl ether, dibutyl ether, tetrahydropyran, anisol, tetrahydrofuran, dioxane, trioxane, Ethers such as dimethoxymethane, diethoxymethane, 1,1-dimethoxyethane, 1,2-dimethoxyethane, dimethoxypropane and diglyme;
  • Proton-phobic aprotic solvents such as ethylene glycol methyl ethyl ether, ethylene glycolone methyl ethynoleate, diethylene glycolone methinoleetinoethylatene, diethylene glycol getyl ether, triethylene glycol dimethyl ether, and triethylene glycol cornole
  • Echinolemethineoleatene triethylene glycolone resin, tetraethylene glycol dimethyl ether, tetraethylene dalicol getyl ether, polyethylene glycolone resinemethineate, diethylene glycolone monoethyleneateneoleate, dipropylene glycol dimethyl ether , Ethylene glycol dibutyl ether, diethylene glycol dibutyl ether and tripropylene glycol (Poly) alkylene glycol dialkyl ethers such as toluene dimethyl ether;
  • (Poly) alkylene glycol dialkyl acetates such as diethylene glycol monomethyl ether acetate, which is a protophobic aprotic solvent, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, and diethylene glycol monoethyl ether acetate ;
  • Protophophobic aprotic solvents methyl acetate, ethyl acetate, propyl acetate, isopropyl acetate, butyl acetate, isoptyl acetate, pentyl acetate, hexyl acetate, methyl propionate, ethyl propionate, propyl propionate, propionic acid Isopropyl, butyl propionate, isobutyl propionate, pentyl propionate, hexyl propionate, methyl butyrate, ethyl butyrate, propyl butyrate, isopropyl butyrate, butyl butyrate, isobutyl butyrate, pentyl butyrate, hexyl butyrate, methyl isobutyrate, methyl isobutyrate Ethyl butyrate, propyl isobutyrate, isopropyl isobutyrate, butyl isobuty
  • Halogen compounds such as black-mouthed form, 0-dichlorobenzene, perfluorohexane, and perfluoromethylcyclohexane which are inert solvents;
  • Fluoroalcohols such as trifluoroethanol, pentafluoropropanol and 2,2,3,3-tetrafluoropropanol which are neutral amphoteric solvents;
  • Phosphoesters such as dimethyl phosphate, dibutyl phosphate, diphenyl phosphate, dibenzyl phosphate, trimethyl phosphate, triethyl phosphate, tripropyl phosphate, tributyl phosphate, and triphenyl phosphate, which are aprotic aprotic solvents System solvent;
  • Sulfur-containing compounds such as dimethyl sulfoxide, snoreholane, dimethylthioformamide, N-methylthiopyrrolidone, dimethyl sulfone, getyl sulfone, bis (2-hydroxyethyl) sulfone and tetramethylene sulfone which are protic aprotic solvents;
  • Protic phophophobic aprotic solvents such as acetic anhydride, propionic anhydride, butyric anhydride, hexane anhydride, benzoic anhydride, maleic anhydride, succinic anhydride, phthalic anhydride, 1,2-cyclohexane Acid anhydrides such as dicarboxylic anhydrides are exemplified.
  • the following water-soluble organic solvents are preferable. If it is water-soluble, it is possible to easily remove the removal liquid of the present invention remaining on the processing object such as a wafer by rinsing with pure water after treating with the removal liquid of the present invention.
  • a water-soluble organic solvent As a water-soluble organic solvent,
  • Dioxane trioxane, 1,1-dimethoxyethane, 1,2-dimethoxyethane, tetrahydrofuran, dimethoxymethane, dimethoxypropane, ethoxymethane, diglyme, formanolaldehyde, acetaldehyde, acetone, acetic anhydride;
  • Ethylene glycolone monoethylenoate, diethyleneglyconolemonomethinolate Triethylene glycol monomethyl ether, polyethylene glycol monomethyl ether, ethylene glycol monoisopropyl ether, ethylene glycol butyl ether, diethylene glycol monobutyl ether, triethylene glycol monobutyl ether monoethylene ether, ethylene glycol monomethyl monoisobutyl ether ether , Diethylene glycolone monoisobutylinoleate / propylene glycol glycol monomethyl ether / triene glycol, propylene glycol monomethyl ether, tripropylene glycol monomethyl ether, propylene glycol monopropynoleate polyethylene glycol, ethylene glycol monoarynooleate Tenoré, diethylene glycolone monobenzinoleateno, diethylene glycolone monohexinoleateno Ethylene glycol dimethinole ether, diethylene glycol dimethyl ether,
  • polyethylene glycol monomethinole ether diethylene glycol monobenzyl ether, triethylene glycolone monobutynoate ether, and diethylene glycol monomethyl ether can be used.
  • the water-soluble organic acids and organic solvents are treated with the removal solution of the present invention, and then rinsed with a water-soluble organic solvent such as isopropyl alcohol (IPA), so that the residues remaining on the object to be treated such as wafers are removed.
  • IPA isopropyl alcohol
  • the removal solution of the invention can be removed.
  • organic acids and organic solvents contain at least one kind, a polar protic aprotic solvent having a donor number of 25 or more, an acid and a fluorine-containing organic compound may be added thereto. .
  • a polar protic aprotic solvent with 25 or more donors reduces the ability to remove the antireflective coating and the implant.
  • the effect of increasing the speed of removing the oxide film formed on the copper, which is the wiring material, can be imparted while maintaining the force. Leaving the copper oxide film may cause insulation failure. Therefore, it is possible to effectively remove the antireflection film and the filling material and the copper oxide film.
  • Examples of polar aprotic aprotic solvents having 25 or more donors include dimethylformamide, dimethinoleacetamide, hexamethylphosphoric acid triamide, N-methyl-2-pyrrolidone, 1,1,3,3-tetramethylurea, N- Amides such as methylpropionamide and dimethylimidazolidinone ⁇ Sulfur compounds such as dimethylsulfoxide, sulfolane, dimethylthioonoleamide, N-methylthiopyrrolidone, dimethylsulfone, getylsulfone, bis (2-hydroxyxetinole) sulfone and tetramethylenesulfone Include
  • the anti-reflection film and the filling material can be more selectively removed from the low-k film and the insulating film barrier by the effect of hydrogen ions.
  • examples of such acids include hydrogen chloride, hydrogen bromide, hydrogen iodide and their aqueous solutions, sulfuric acid, nitric acid, phosphoric acid, carboxylic acid and the like.
  • Examples of the carboxylic acid include the above-mentioned monocarboxylic acids and polycarboxylic acids.
  • fluorine-containing organic compounds include fluorinated ethers (HFE) such as CHF2CF20CH2CF3 and CHF2CF20CH3, and fluorinated carbons (HCFC) such as CH3CC12F.
  • HFE fluorinated ethers
  • HCFC fluorinated carbons
  • the content of HF can be appropriately set according to the type of other components, and is not particularly limited. Reflection Based on the total amount of the P-blocking film and the removing material for the embedding material (hereinafter, the content of each component is the same)
  • an organic acid when containing an organic acid (when the mixture contains an organic acid and does not contain an organic solvent, or when it contains an organic acid and an organic solvent), it is about 0.001 to 5 mass %, preferably about 0.05 to 3 mass %. More preferably, it is about 0 :! to lm aSS %, and when no organic acid is contained (when an organic solvent is contained and no organic acid is contained), about 0.001 to: about 10 mass%, preferably 0 to 10 mass%. It is about 0.05 to 5 mass%, more preferably about 0.1 to 3 mass%.
  • Dilute hydrofluoric acid (50% by weight aqueous solution) is usually used as hydrogen fluoride, but if water is not contained in the removing solution, 100% hydrogen fluoride can also be used.
  • the water content is about 98 mass% or less, preferably about 50 mass% or less, more preferably about 5 mass% or less.
  • the organic acid and the organic solvent power are at least one kind selected from the group strengths of about 2 to 99.999 mass%, preferably about 50 to 99.999 mass%, more preferably about 90 to 99.999 mass%, and more. It is preferably about 95 to 99.999 mass%.
  • the organic acid is a carboxylic acid, it is about 2 to 99.999 mass%, preferably about 50 to 99.999 thigh ss%, more preferably about 90 to 99.999 mass%, and still more preferably about 95 to 99. It is about 999 mass%.
  • the content of the polar protic aprotic solvent having a donor number of 25 or more is about 0.1 to 50 mass%, preferably about 0.1 to 30 mass%, more preferably 0.1 to about L0 mass%, and still more preferably about 0 mass%. It is about l ⁇ 5mass%.
  • the content of the acid is 0.1 to: about L0 mass%, preferably about 0.1 to 7 mass%, more preferably about 0.1 to 5 mass%.
  • the content of the fluorine-containing organic compound is: about! 5 to about 5% by mass, preferably about 1 to 30 % by mass. '
  • the antireflection film and the embedding material-removing solution of the present invention are shown below.
  • the carboxylic acid means at least one selected from the group consisting of the above monocarboxylic acids and polycarboxylic acids.
  • the removal solution of the present invention further comprises ammonia and amine.
  • the composition is preferably a composition containing a composition containing at least one member selected from the group.
  • amines examples include hydroxylamines, alkanolamines, primary, secondary, and tertiary amines represented by NR3, alicyclic amines, and heterocyclic amines.
  • hydroxylamines include hydroxynoreamine and N, N-getylhydroxylamine.
  • alkanolamine examples include monoethanolamine, diethanolamine, and triethanolamine.
  • the three R are the same or different, are substituted with fluorine atom, it may also be, charcoal hydrocarbon group, or a hydrogen atom. Except when all three R are hydrogen atoms.
  • the hydrocarbon group which may be substituted with a fluorine atom may be a straight-chain or branched carbon number:! To 18, preferably 1 to 12 alkyl groups, and may be substituted with a fluorine atom. Hueni And the like. Among these, an unsubstituted alkyl group having 1 to 18 carbon atoms is preferable.
  • Aromatic amines include aniline, methyla-line and the like.
  • Alicyclic amides such as cyclohexylamine and dicyclohexylamine; heterocyclic compounds such as pyrrole, pyrrolidine, pyrrolidone, pyridine, monoreforin, pyrazine, piperidine, N-hydroxyethylpiperidine, oxazole and thiazole. Amin.
  • a 1: 1 or 1: 2 salt of hydrofluoric acid and ammonium and / or amine is formed by mixing the liquids.
  • hydrofluoric acid, ammonia and ⁇ ⁇ or amine may be present as salts.
  • the mixing amount is preferably smaller than the molar ratio of hydrofluoric acid.
  • hydrofluoric acid and ammonia are preferably used in the removal solution containing the above two components.
  • the removing solution of the present invention may contain at least one surfactant selected from the group consisting of anionic, cationic and nonionic surfactants.
  • the content of the surfactant is not particularly limited as long as the intended effect of the present invention is exhibited, but is usually about 0.0001 to 10 mass%, and preferably about 0.001 to 5 mass%. 0.01 ⁇ lmass% preferred
  • a polar protic aprotic solvent having a donor number of 25 or more, an acid, a fluorine-containing organic compound, or the like may be added to these.
  • HF hydrogen fluoride
  • ammonia and / or amine at least one kind of organic acid and organic solvent: water: acid: polar prophilic solvent having 25 or more donors: weight ratio of fluorine-containing organic compound: 0.05-: 10mass%: 0.05-05-20 mass%: 30 ⁇ 99. 88mass%: 0.02 ⁇ 30mass%: 0.05 ⁇ 10mass%: 0. l ⁇ 50mass%: preferably l ⁇ 70mass%.
  • the removing solution of the present invention can selectively remove an antireflection film containing silicon and / or a filling material.
  • the antireflection film and the burying material to be removed by the method of the present invention include an antireflection film and a burying material containing silicon, for example, an antireflection film and a burying material containing a Si-OH bond, and a Si-H bond.
  • Anti-reflection film and burying material, etc. also include anti-reflection film and burying material after being etched with an etching gas such as C4F8.
  • antireflection film and burying material includes those in which part or all of the surface of the antireflection film and the burying material have been altered by the etching process.
  • the remover for the filling material can also remove such an antireflection film and the degenerated substance of the filling material.
  • the etching gas is present in the polymer produced by polymerization of the etching gas, in the etching residue gas, or in the grooves or holes formed by the etching, the antireflection film and the embedding material are removed at the same time.
  • This polymer and residue may be removed.
  • Etching residues generated by plasma etching (removal of resist and polymer by plasma process) using oxygen, hydrogen, nitrogen, rare gas, etc. can be removed at the same time by removing the antireflection film and the filling material. it can.
  • the etching residue is a reaction product generated in a dry etching process or a post-dry etching process, a deposit such as a sputtered product, a deposit, and a residue that needs to be removed. Also includes polymers formed by polymerization.
  • deposits and deposits refer to substances such as fluorocarbon-containing polymers generated from the etching gas plasma itself, resists, antireflection films, insulating film barriers, low-k films, and devices such as metals that are wiring materials.
  • a substance produced by the reaction of the constituent material of the above by exposure to plasma during etching and a substance generated when sputtered by ions in the plasma adhere and deposit.
  • Residues that need to be removed are those that need to be removed, including resist and anti-reflective coatings that have been altered by etching and ashing, and that are not required for the next step after etching. Is shown.
  • the antireflection film and the burying material are used during rework of some processes such as lithography, it is possible to remove these simultaneously or separately with the resist.
  • low-k film and anti-reflective film and filling material damaged by dry etching and subsequent asshing by plasma using oxygen, hydrogen, nitrogen, rare gas, etc. It is also possible to remove them simultaneously or separately. Depending on the chemical composition, it is also possible to remove the anti-reflection film and the filling material while leaving the damaged low-k film without removing it.
  • Damaged low-k films are those with increased relative dielectric constant.
  • the relative dielectric constant of a porous low-k film is 2.4 or less. If this porous low-k film is damaged by associating with oxygen plasma, the outermost surface will be a film like Si02, and the relative dielectric constant may be locally close to 4.0 . This may increase the inter-wiring capacitance of the Cu / low-k multilayer wiring structure of the device. In such cases, if possible, it is better to remove the damaged low-k film. However, if the processing dimensions change by removing the damaged low-k film, wiring embedding failure may occur, or the original characteristics of the device may not be realized. In such a case, the damaged low-k film is not removed.
  • the HF concentration is very high
  • the anti-reflection film and the filling material include those containing silicon, Si—OH bonds and / or SH bonds, and the like, and include materials damaged by plasma assing.
  • An anti-reflection film and a burying material containing Si-H bonds are films having no or few Si-CH3 bonds and many Si-H bonds, and have significant Si-H absorption vectors (FT-IR measurement data). 2200-2300 cm-1) is a film represented by SiOxCyHz, generally
  • the low-k film refers to a film that is damaged by 02 plasma assing.
  • the relative dielectric constant is larger than 1 and is about 4 or less, preferably about 3 or less, more preferably 2. It means an insulating film of about 8 or less, more preferably about 2.6 or less.
  • low-k films examples include Black Diamond (trade name, manufactured by Applied Materials), Coral (trade name, manufactured by Novellus), 1KD series (trade name, manufactured by JSR), Aurora (trade name, ASM) ), HSG series (trade name, manufactured by Hitachi Chemical Co., Ltd.), Nanoglass (trade name, manufactured by Honeywell), IPS (trade name, manufactured by Shiroi-Daisei Co., Ltd.), Z3M (trade name, manufactured by Dow Corning), Newly formed films such as X1K (trade name, manufactured by Dow Corning), FOx (trade name, manufactured by Dow Corning), Orion (trade name, manufactured by Tricon) with a relative dielectric constant of 2.4 or less are also available. can give.
  • Low-k films are mainly produced by coating and organic plasma CVD.
  • coating a film-specific film name is given, and in the case of organic plasma CVD, a film-specific film name is given depending on the material and equipment used for film formation.
  • Orion is one of the organic plasma CVD films.
  • the insulating film barrier is used to (1) use the low-k film as a hard mask for patterning, and (2) prevent copper diffusion. (3) Etch stopper (stopper film) to prevent low-k film etching, (4) Protection of low-k film and improvement of adhesion to base, (5) Copper CMP This is an insulating film used to protect the low-k film (cap film) in the process. It is desired that the dielectric constant of the insulating film is small so that it has these functions and does not impair the relative dielectric constant of the low-k film. Insulating film burr Examples of the alloy include compounds containing silicon (Si) such as silicon nitride (SiN), silicon carbide (SiC), and silicon carbonitride (SiCN).
  • the resist examples include a resist for drawing by using a laser light source such as KrF (Krypton F), ArF, and F2.
  • KrF Kerpton F
  • ArF ArF
  • F2 Fluorescence F
  • the resist is not limited to this.
  • a low-k film is formed on a semiconductor substrate (eg, SiN, copper, TaN, SiC, etc.), then an antireflection film and a resist are formed, and then a pattern is formed by photolithography. After the low-k film is etched according to the pattern, the filling material is filled, and when a dual damascene structure or a damascene structure is again formed by photolithography and etching, the remaining filling material or lithography Removal of the buried material and antireflection film filled in the pattern of grooves and holes that have already been etched during reworking of some processes (rework) etc. be able to.
  • a semiconductor substrate eg, SiN, copper, TaN, SiC, etc.
  • the present invention relates to a case where a sacrificial film is formed for an ion implantation cap, a complicated three-dimensional structure capacitor, or the like using a material or a raw material used for an antireflection film and a filling material containing silicon. Also, the sacrificial film can be selectively removed.
  • the removal solution of the present invention is a low-k film and a resist in which a hole or a groove is opened and an antireflection film and / or a filling material is adhered, and the antireflection film and / or Alternatively, it is a liquid for removing the filling material.
  • a polymer polymer of an etching gas
  • an etching residue may be attached to the wall surface and / or Z of the hole of the hole of the low-k film obtained by etching.
  • a SiN, SiC, TaN film or the like is formed on the low-k film, and the SiN, SiC, Ta film, etc. are formed as an anti-reflection film and / or Etching with embedding materials is recommended.
  • An anti-reflection film can be formed on the surface of the resist or under the resist.
  • the anti-reflection film contains silicon, it is peeled off together with the resist, the etching residue, and the embedding material. be able to.
  • the low-k film has a thickness of about 0.01 to 2 ⁇ , a thickness of about 0.001 to 0.2 ⁇ m, and a thickness of about 0.01 to 10 ⁇ , respectively.
  • the SiN film, SiC film, TaN film, anti-reflection film, etc., which are formed as needed, are usually about 0.01 to 2 ⁇ m and about 0.001 to 0.2 m, respectively.
  • the thickness is about 0.01 to 10 / im and about 0.01 to 0.1 ⁇ m. Since the embedding material is embedded in the etched shape, it requires approximately the same amount as the volume of the shape.
  • a plasma assing containing light oxygen e.g., light
  • the changing power of the relative dielectric constant before and after plasma containing oxygen is preferably about 20% or less, more preferably about 10% or less, and still more preferably about 5% or less. You can even sing.
  • plasma etching containing light oxygen and oxygen as a pretreatment, remove the antireflection film and / or filling material directly after etching, even when using the same remover when performing plasma etching containing light hydrogen.
  • Optimal conditions such as temperature and time may be different from the case where
  • the method for removing the antireflection film and / or the filling material using the removing liquid of the present invention can remove the antireflection film and / or the filling material and does not substantially damage the low-k film.
  • the temperature and the time are as follows.
  • the phrase "does not substantially damage the low-k film” means that the physical properties of the low-k film before and after the treatment using the removing solution are changed only to such an extent that the performance is not affected when used for a semiconductor substrate. For example, at the interface between the resist and the low-k film, the cross-sectional shape of the film to be processed in the stacking direction is not substantially changed without substantially invading (etching) the low-k film.
  • the relative dielectric constant of the low-k film does not substantially change before and after the treatment with the removing solution.
  • Substantially no etching of the low-k film means that the etching amount of the low-k film is preferably about 200 nm or less, more preferably about 100 nm or less, and still more preferably about 50 nm or less.
  • the fact that the relative dielectric constant of the low-k film does not substantially change before and after the treatment with the removing liquid means that the change in the relative dielectric constant is preferably about 20% or less, more preferably about 10% or less. It is more preferably about 5% or less.
  • the treatment with the removing liquid can be performed, for example, by immersing the substrate after etching as an object to be treated in the removing liquid of the present invention.
  • the conditions for immersion in the removing solution are not particularly limited as long as the anti-reflection film and / or the filling material can be removed and the low-k film is not substantially damaged. Can be set appropriately. For example, if the temperature of the removing solution is about 15 to 60 ° C., the immersion may be performed for about 0.1 to 30 minutes, preferably for about 0.5 to 20 minutes.
  • the anti-reflection film and / or the filling material can be removed by bringing the removal liquid into contact with the object to be processed. After that, the composition may be washed by continuously spraying the composition on the object to be treated.
  • the treatment with the removing liquid of the present invention when it is difficult to remove the anti-reflective coating and / or the filling material due to the type of the anti-reflective coating and / or the filling material and etching conditions, for example, immersing the object to be treated in the removing liquid. And then perform ultrasonic cleaning.
  • the corrosion proceeds when the amount of dissolved oxygen and the amount of hydrogen ions in the removing solution are large.
  • the amount of dissolved oxygen is important in controlling the corrosion of copper. If the amount of dissolved oxygen in the removal solution can be reduced, copper corrosion can be greatly reduced.
  • an inert gas is mixed in an atmosphere (substantially in an inert gas) in which the oxygen partial pressure is equal to or lower than the oxygen partial pressure of air.
  • An inert gas is dissolved in the anti-reflection coating and the embedding material removal liquid, and the anti-reflection coating and / or the removal liquid whose oxygen partial pressure in the removal liquid is reduced to the oxygen partial pressure of the saturated dissolved air or less.
  • corrosion can be suppressed.
  • further rinsing to remove the removing liquid using water in which the inert gas is dissolved and the oxygen partial pressure in the water is equal to or lower than the oxygen partial pressure of the air in which the dissolved water is saturated can suppress corrosion in the rinsing stage. Can be more effective.
  • the inert gas examples include rare gases such as nitrogen (N2), helium, neon, and argon.
  • the inert gas is not necessarily limited to these, but may be substantially inert to the removing solution.
  • the same effect of removing dissolved oxygen can be obtained with a gas that does not react with the removing liquid, such as fluorocarbon gas, hydrocarbon, and carbon monoxide.
  • the semiconductor substrate from which the antireflection film and / or the filling material has been removed by using the removing liquid of the present invention can be used in a commonly used method such as, for example, copper or aluminum wiring (for example, semiconductor CMP technology, It can be processed into various types of semiconductor devices according to the method described in Toshiro edited by 2001).
  • the removal liquid of an antireflection film and an embedding material which does not substantially impair a low-k film can be provided.
  • the present invention can provide a removal liquid for selectively removing an antireflection film and / or a buried material when a process such as formation of a damascene structure or a dual damascene structure, or lithography is partially performed again (rework).
  • the etching amount of the following composition was obtained by etching each film at 23 ° C. using each composition and calculating the difference between the film thickness before the etching treatment and the film thickness after the etching treatment.
  • the etching amount of the embedding material and the low-k film was measured by measuring the film thickness before and after the etching using Nanospec 3000AF-T manufactured by NanoMetricus Japan KK.
  • the removal properties and cross-sectional shape of the antireflection film and the embedding material were observed with a scanning electron microscope (S-5000) by Hitachi, Ltd.
  • Test example 1 Removability of anti-reflective coating and filling material
  • Filling material A Material containing Si, C, 0, H, and having Si—OH, Si—CH3, and Si—O bonds;
  • Porous low-k film B Coating film containing Si, C, 0, H and having Si-CH3, Si-0 bond
  • Porous low-k film C Containing Si, C, 0, H, Si—CH3 CVD film with Si-0 bond.
  • Examples 1 to 41 after the object to be processed having the above-described dual damascene structure was prepared, a process such as oxygen plasma asshing was not performed.
  • the anti-reflection coating and the filling material A etching rate ratio (A / B, A / C) for low-k film B and low-k film C the values are shown in Tables:
  • the anti-reflection film and the burying material A were selectively removed from the substrate treated with the removing solution of Examples 1-41 selectively with respect to the low-k film B and the low-k film C.
  • the etching rate of the antireflection coating and the burying material A is 6 to 800 A / min.
  • the substrates treated with the liquids of Comparative Examples 1 to 5 shown in Table 4 could not remove the antireflection film and the filling material A.
  • the etching rate of the antireflection film and the filling material A of this comparative example is 6 A / min or less.
  • Comparative Examples 1 to 5 when the HF concentration was increased, the removability was slightly improved, but the effect was small. Further, in a portion where the copper wiring is exposed, it is difficult to use the portion in order to promote corrosion of copper.
  • the organic solvents shown in Comparative Examples:! To 5 are effective in removing the native oxide film of copper. It is desirable to remove the copper native oxide film because it may increase the resistance of the wiring and cause poor contact.
  • Comparative Examples 1 to 5 were mixed with Examples 1 to 41 to remove the antireflection film and the buried film and at the same time to remove only the copper native oxide film in a processing time in which copper corrosion was small.
  • Can be used for Comparative Examples 5 and 6 are examples of treatment with a chemical solution having a typical composition used for a polymer stripping solution. Also in this case, the antireflection film and the filling material have not been removed. It is difficult to remove them selectively.
  • the results obtained by performing the asshing treatment with hydrogen plasma were almost the same in both the examples and the comparative examples.
  • Etch rate ratio of anti-reflective coating and filling material A and porous low-k film C in HF / organic acid 'organic solvent / H20 removal liquid, removal of anti-reflective film and filling material A, and low-k film C Changes in the cross-sectional shape of the formed pattern
  • Etch rate ratio of antireflection film A and buried material A to porous low-k film C, removability of antireflection film and buried material A, and change in cross-sectional shape of pattern formed on low-k film C Comparative example of
  • DMSO dimethyl sulfoxide
  • DMF ⁇ , ⁇ -dimethylformamide
  • DMA N, N-dimethylacetamide
  • NMP N-methyl-2-pyrrolidone
  • An anti-reflection film and an embedding material A were formed on a wafer, and were subjected to etching and / or asking by oxygen plasma. The same plasma treatment was performed on the dual damascene structure to be processed earlier. As shown in the anti-reflection film and the buried material A etching rate ratio (A / B, A / C) for low-k film B and low-k film C, Example 23 shown in Tables 5 and 6 was used. Substrates treated with the isopropyl alcohol (IPA) -removing solution shown in Fig. 34 to 34 show that the low-k film B and low-k film C are selectively plasma-damaged to the anti-reflection film and the filling material. A had been completely removed.
  • IPA isopropyl alcohol
  • the etching rate of the antireflection film and the embedding material A is 20 to 280 A / min.
  • the resist and etching residue were also removed.
  • As an organic solvent acetic acid, a mixed solution of isopropyl alcohol and methanol, 1,2-dimethoxyethane, ethyl acetate, 1,4-dioxane, propylene carbonate, methanesulfonic acid, Similarly, a mixed solution of 1,4-dioxane and acetic acid and / or acetic anhydride completely removes the antireflection film and the filling material A that have been selectively damaged by plasma for the low-k film B and low-k film C. could be removed. Table 5
  • a low-k film B was formed, and the asking by oxygen plasma was processed. A damage layer was formed on the low-k film B. The same plasma treatment was performed on the workpiece having the dual damascene structure manufactured earlier.
  • the removal solution of Examples 36 and 38 shown in Table 7 was used. From the substrate treated for 5 minutes, the low-k film B ′ in which the damage layer was selectively formed with respect to the low-k film B was completely removed together with the antireflection film and the filling material. On the other hand, from the substrate treated with the removing solution of Examples 35, 37, 39, and 40 for 1 to 2 minutes, only the antireflection film and the burying material A were removed, and the low-k film on which the damaged layer was formed was formed. B 'remained. In this case, the resist and etching residue were also removed.
  • the etching rate ratio of B '(B' / B) is large or the difference between them is small, the processing takes some time to complete the low-k film B 'with the damaged layer. Can be removed. In this case, attention must be paid to the amount of etching of the low-k film B by the removing solution.
  • the etching rate ratio (A / B) of the antireflection film and the filling material A to the low-k film B is lower than that of the low-k film B.
  • the etching rate ratio (B '/ B) of the low-k film B' on which the damage layer is formed is very small.By processing in a short time, the antireflection film and the burying material A are completely removed, and the damage layer is removed. The low-k film B ′ on which is formed can be left. At this time, the etching rate of the antireflection film and the filling material A is 7 to 680 A / min. Table 7
  • Test example 2 Cross section

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Wood Science & Technology (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Inorganic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Emergency Medicine (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Paints Or Removers (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)

Abstract

L'invention concerne un liquide décapant destiné à être utilisé pour enlever un film antireflet et un matériau enterré contenant du silicium. Ce liquide contient au moins une substance choisie dans le groupe comprenant les acides organiques et les solvants organiques, ainsi qu'un fluorure d'hydrogène (FH). L'invention concerne également un procédé pour enlever un film antireflet et/ou un matériau enterré contenant du silicium au moyen du liquide décapant selon l'invention.
PCT/JP2004/008411 2003-06-10 2004-06-09 Liquide decapant et procede pour enlever un film antireflet et un materiau enterre contenant du silicium WO2004112115A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003-165683 2003-06-10
JP2003165683A JP2006098421A (ja) 2003-06-10 2003-06-10 シリコンを含有する反射防止膜および埋め込み材の除去液と除去方法

Publications (1)

Publication Number Publication Date
WO2004112115A1 true WO2004112115A1 (fr) 2004-12-23

Family

ID=33549225

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/008411 WO2004112115A1 (fr) 2003-06-10 2004-06-09 Liquide decapant et procede pour enlever un film antireflet et un materiau enterre contenant du silicium

Country Status (3)

Country Link
JP (1) JP2006098421A (fr)
TW (1) TW200504202A (fr)
WO (1) WO2004112115A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1538664A1 (fr) * 2002-09-13 2005-06-08 Daikin Industries, Ltd. Agent d'attaque et procede d'attaque

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1934233B (zh) * 2003-10-28 2015-02-04 塞克姆公司 清洁溶液和蚀刻剂及其使用方法
DE102006030588A1 (de) * 2006-07-03 2008-01-10 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Flüssigkeitsstrahlgeführtes Ätzverfahren zum Materialabtrag an Festkörpern sowie dessen Verwendung
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
JP5913869B2 (ja) * 2011-08-31 2016-04-27 林純薬工業株式会社 エッチング液組成物およびエッチング方法
JP6063404B2 (ja) * 2014-02-28 2017-01-18 富士フイルム株式会社 エッチング液、これを用いるエッチング方法および半導体基板製品の製造方法
JP2018128476A (ja) * 2015-06-19 2018-08-16 富士フイルム株式会社 パターン形成方法、及び、電子デバイスの製造方法
JP2021150644A (ja) 2020-03-19 2021-09-27 東京応化工業株式会社 半導体処理液、及び基板の処理方法
US11807792B2 (en) * 2020-03-19 2023-11-07 Tokyo Ohka Kogyo Co., Ltd. Semiconductor processing liquid and method for processing substrate
JP7407324B1 (ja) * 2023-06-15 2023-12-28 東京応化工業株式会社 半導体デバイス用処理液、基板の処理方法、及び半導体デバイスの製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08104895A (ja) * 1994-10-05 1996-04-23 Mitsubishi Chem Corp リンス液及びリンス方法
JP2001152190A (ja) * 1999-11-26 2001-06-05 Samsung Electronics Co Ltd レジスト除去用組成物及びこれを用いたレジスト除去方法
JP2002169305A (ja) * 2000-12-04 2002-06-14 Dainippon Screen Mfg Co Ltd ポリマー除去液およびポリマー除去装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08104895A (ja) * 1994-10-05 1996-04-23 Mitsubishi Chem Corp リンス液及びリンス方法
JP2001152190A (ja) * 1999-11-26 2001-06-05 Samsung Electronics Co Ltd レジスト除去用組成物及びこれを用いたレジスト除去方法
JP2002169305A (ja) * 2000-12-04 2002-06-14 Dainippon Screen Mfg Co Ltd ポリマー除去液およびポリマー除去装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1538664A1 (fr) * 2002-09-13 2005-06-08 Daikin Industries, Ltd. Agent d'attaque et procede d'attaque
EP1538664A4 (fr) * 2002-09-13 2007-04-04 Daikin Ind Ltd Agent d'attaque et procede d'attaque

Also Published As

Publication number Publication date
JP2006098421A (ja) 2006-04-13
TW200504202A (en) 2005-02-01

Similar Documents

Publication Publication Date Title
JP4434950B2 (ja) 剥離液
KR102266832B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
US8440599B2 (en) Composition for stripping and cleaning and use thereof
KR101382935B1 (ko) 반도체 드라이 프로세스 후의 잔사 제거액 및 이를 이용한 잔사 제거 방법
JP4499751B2 (ja) フォトレジスト、エッチ残留物及びbarcを除去するための配合物及び同配合物を含む方法
WO2014087925A1 (fr) Liquide de nettoyage pour éléments à semiconducteurs et procédé de nettoyage l'utilisant
JPWO2005019499A1 (ja) 金属変質層の除去液及び金属変質層の除去方法
CN110997643B (zh) 清洁组合物
WO2004112115A1 (fr) Liquide decapant et procede pour enlever un film antireflet et un materiau enterre contenant du silicium
JP5278434B2 (ja) 半導体ドライプロセス後の残渣除去液及びそれを用いた残渣除去方法
JP2006059831A (ja) エッチング液およびエッチング方法
JP4758187B2 (ja) フォトレジスト残渣及びポリマー残渣除去液

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase