WO2004040626A1 - マスク、マスクの製造方法及び露光方法 - Google Patents

マスク、マスクの製造方法及び露光方法 Download PDF

Info

Publication number
WO2004040626A1
WO2004040626A1 PCT/JP2003/013825 JP0313825W WO2004040626A1 WO 2004040626 A1 WO2004040626 A1 WO 2004040626A1 JP 0313825 W JP0313825 W JP 0313825W WO 2004040626 A1 WO2004040626 A1 WO 2004040626A1
Authority
WO
WIPO (PCT)
Prior art keywords
mask
pattern
circuit pattern
correction
defect
Prior art date
Application number
PCT/JP2003/013825
Other languages
English (en)
French (fr)
Inventor
Kazuya Ota
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to AU2003280587A priority Critical patent/AU2003280587A1/en
Publication of WO2004040626A1 publication Critical patent/WO2004040626A1/ja

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning

Definitions

  • the present invention relates to a pattern transfer mask used for lithography in a process of manufacturing a semiconductor integrated circuit or the like, a method for manufacturing the mask, and a mask for the same.
  • EUV Extreme Ultra Violet
  • a mask used in such an EUV exposure apparatus (a concept including a reticle in the present specification and claims) is formed by forming a reflective multilayer film on a substrate and forming an absorption layer on the film.
  • the circuit pattern is patterned on the absorption layer.
  • a substrate in which a reflective multilayer film is formed on a substrate and on which an absorption layer has not yet been formed is an intermediate material of a mask, and is called a mask blank. If a defect exists near the upper layer of the reflective multilayer film in the mask blank, the irradiated EUV ray will not be reflected, resulting in a defect called a so-called black defect.
  • One method for solving such a problem is, for example, when forming a circuit pattern on a mask planks, align the defect so that the dark portion of the circuit pattern overlaps, and mask the circuit pattern.
  • a method of transferring onto a blank is described in Japanese Patent Application Laid-Open No. 7-240063. In this method, a silicon wafer is used as a mask blank material, and a defect position on the mask blank is detected in advance. I do. Then, the circuit pattern is changed as necessary so that the dark portion of the circuit pattern and the defect position overlap.
  • FIG. 8 is a diagram showing a state where a dark portion of a circuit pattern and a defect position of a mask planks are overlapped by a conventional method.
  • the mask (reticle) 10 in this figure is a mask pattern in which a plurality of defects DF are present, and a circuit pattern: P is drawn.
  • the circuit pattern P is a lattice pattern in which vertical and horizontal lines are orthogonal to the absorption layer at equal intervals. As described above, the circuit pattern P is shifted in the XY direction or rotated around the Z axis to be aligned with the defect DF. If the defect DF overlaps with the pattern P, the defect DF will be hidden under the absorbing layer, and the defect will not be transferred onto the transferred wafer (sensitive substrate).
  • the number of defects in the mask blank is small (two or three), all defects can be overlapped with the circuit pattern by moving the circuit pattern in the XY direction or rotating it around the Z axis. However, as shown in the figure, the number of defects is large. In some cases, some overlap with the circuit pattern (defects surrounded by solid lines in the figure), but others do not match well with the circuit pattern (defects surrounded by broken lines in the figure). If the number of defects in the mask blanks is large, all of them cannot be superimposed on the circuit pattern, and the defective masks may become defective due to defects that could not be superimposed. is there. It is standardized by SEMI that a mask used in an EUV exposure apparatus is square.
  • the present invention has been made in view of such circumstances, and a mask for pattern transfer capable of improving the yield when manufacturing a mask from a mask blank having a defect, and a method for transferring a mask. It is an object of the present invention to provide a method for manufacturing a mask and an exposure method using such a mask.
  • a mask on which a circuit pattern to be exposed / transferred is formed on a sensitive substrate wherein the mask plan is an intermediate material before a pattern is formed on the mask.
  • a circuit pattern to be exposed and transferred onto a sensitive substrate is corrected by including at least one of magnification and orthogonality (complementary). Then, a correction pattern is formed on the mask such that the correction pattern matches the defect of the mask blank. As a result, the possibility that a mask blank defect becomes a mask defect can be reduced. Therefore, defective mask blanks can be used for the mask, and the manufacturing cost of the mask is reduced. If this means is combined with the conventional means such as rotation around the Z axis and shift in the XY direction, it is possible to perform superposition using five parameters, and furthermore, the mask It is possible to reduce the possibility that a blank defect becomes a mask defect.
  • the difference between the technical idea of the present invention and the conventional technology is that in the conventional technology, only the rotation and parallel movement of the pattern to be formed did not change the shape of the pattern itself to be formed.
  • the shape of the pattern to be formed is changed. This change in shape may be a change similar to the original pattern to be formed, or may be a change other than the similar change.
  • the correction pattern is transferred to a sensitive substrate such as a wafer. Therefore, as will be described later, when performing the exposure transfer, by performing a correction opposite to that in which the correction pattern is manufactured for the design pattern, the design pattern is exposed and transferred to the sensitive substrate such as a wafer.
  • a second invention for achieving the above object is a mask on which a circuit pattern to be exposed / transferred is formed on a sensitive substrate, wherein the mask blank is an intermediate material before a pattern is formed on the mask.
  • a correction pattern obtained by performing a primary coordinate transformation on a pattern to be formed such that a dark portion of the circuit pattern overlaps the defect described above is formed as a circuit pattern having a shape different from that of the pattern to be formed.
  • the primary coordinate transformation means that when the mask surface is a two-dimensional plane, the position coordinates after correction in the two-dimensional coordinate system provided on the plane are expressed by a linear combination formula of the position coordinates before correction. Conversion method. If the two-dimensional coordinate system is an XY rectangular coordinate system, the linear transformation is an affinity transformation.However, the coordinate system may be a rectangular coordinate system based on polar coordinates, and may not be a rectangular coordinate system. I do not care.
  • the difference between the technical idea of the present invention and the conventional technology is that in the conventional technology, only the rotation and parallel movement of the pattern to be formed did not change the shape of the pattern itself to be formed.
  • the shape of the pattern to be formed is changed. This change in shape may be a change similar to the original pattern to be formed, or may be a change other than the similar change.
  • a third invention for achieving the above object is the first invention or the second invention, wherein the correction information is recorded on the mask.
  • the correction information obtained by converting the design pattern into the correction pattern is recorded on the mask itself, when using the mask, the correction information is read out, and based on the correction information, the inverse correction when performing the exposure transfer is performed. You can call.
  • the correction information can be arbitrarily selected, such as a coefficient of a mathematical expression used for correction or a coefficient of a mathematical expression used for inverse correction.
  • a fourth invention for achieving the above object is the third invention, wherein the correction information is recorded as a position of an alignment mark of the mask.
  • the mask In order to expose and transfer the formed pattern to the correct position on the sensitive substrate such as a wafer, the mask is provided with a plurality of alignment marks used to align the mask with the sensitive substrate such as a wafer. .
  • the position where the alignment mark is provided is also determined by design.
  • the position of the alignment mark is also shifted by applying the same correction as the above correction. In this way, at the time of exposure transfer, what kind of correction is applied to the design pattern by reading the difference between the position of the alignment mark actually formed and the position of the alignment mark in the design. This makes it possible to perform reverse correction during exposure transfer based on that information.
  • a fifth invention for achieving the above object is the third invention, wherein the correction information is recorded in an area other than a portion other than the circuit pattern on the mask. Things.
  • the mask has portions where no pattern is formed. Therefore, by recording the correction information in this part, for example, in the form of a barcode, it is possible to read the information at the time of exposure transfer and perform reverse correction based on the information. .
  • a sixth invention for achieving the above object is a method for producing, from a mask blank, a mask on which a circuit pattern to be exposed and transferred onto a photosensitive substrate has been formed, the method comprising: A step of detecting a defect of the mask blank, which is an intermediate material, and the position of the defect; and a method of forming a circuit pattern to be formed such that a dark portion of the circuit pattern is located at a position where the defect on the mask blank is detected. Performing a correction including at least one of magnification and orthogonality on the mask to determine a circuit pattern actually formed on the mask.
  • a mask blank is used.
  • a method for manufacturing a mask on which a circuit pattern to be exposed and transferred on a substrate is formed, and detects a defect in a mask plan, which is an intermediate material of the mask before forming a pattern, and a position of the defect. And performing a correction including a primary coordinate transformation on a pattern to be formed so that a dark portion of the circuit pattern is located at a position where a defect on the mask blank is detected. Determining a circuit pattern having a shape different from the pattern to be formed, which is actually formed.
  • An eighth invention for achieving the above object is to manufacture a mask on which a circuit pattern to be exposed and transferred is formed on a sensitive substrate, illuminate the mask with energy rays, and reflect or pass through the mask.
  • the circuit pattern to be formed is corrected so that at least one of the magnification and the orthogonality is overlapped so that the dark portions overlap, and the circuit pattern actually formed on the mask is determined, and the mask is manufactured.
  • At the time of exposure at least one of the operation of the projection optical system and the stage performs the reverse of the above-described correction to restore the original design pattern.
  • a ninth invention for achieving the above object is to manufacture a mask on which a circuit pattern to be exposed and copied is formed on a sensitive substrate, illuminate the mask with energy rays, and reflect the energy reflected or passed through the mask.
  • a correction including a primary coordinate transformation is performed on a pattern to be formed so that dark portions overlap, and the mask is formed.
  • a circuit pattern having a shape different from the pattern to be formed, which is actually formed, is determined, and the mask is manufactured.At the time of exposure, at least one of the projection optical system and the stage operation is used.
  • the eighth and ninth inventions it is possible to accurately transfer a design pattern to a sensitive substrate such as a wafer by using a mask as in the first to fifth inventions. it can.
  • a tenth invention for solving the above problems is the eighth invention or the ninth invention, wherein a correction amount of a correction performed on the circuit pattern is recorded on an arbitrary medium, At the time of exposure, the stored correction amount is read out, and the reverse of the correction is performed.
  • An eleventh invention for solving the above-mentioned problem is the eighth invention or the ninth invention, wherein a correction amount opposite to the correction amount performed on the circuit pattern is stored in an arbitrary medium. It is characterized in that it is recorded, and at the time of exposure, the stored reverse correction amount is read out and the reverse correction is performed.
  • the correction amount and the reverse correction amount are stored in a medium, and when the mask is used, it is read out from the medium and used. Therefore, at the time of exposure, the design pattern can be transferred to a sensitive substrate such as a wafer by accurately performing reverse correction.
  • FIG. 1 is a plan view showing the structure of a mask manufactured by the mask manufacturing method according to the first embodiment of the present invention.
  • FIG. 2 is a plan view showing the structure of a mask manufactured by the mask manufacturing method according to the second embodiment of the present invention.
  • FIG. 3 is a plan view showing a structure of a mask manufactured by a conventional method.
  • FIG. 4 is a diagram schematically showing a structure of an exposure apparatus (EUV exposure apparatus) according to an embodiment of the present invention.
  • FIG. 5A and 5B are views showing a reticle
  • FIG. 5A is a plan view showing the entire reticle
  • FIG. 5B is a plan view showing an enlarged alignment mark of the reticle.
  • FIG. 6 is a diagram for explaining the aerial image sensor.
  • FIG. 7 is a diagram showing an example of a light intensity signal detected in a photodetector.
  • FIG. 8 is a diagram showing a state where a dark portion and a defect position of a circuit pattern are overlapped by a conventional method.
  • FIG. 4 is a diagram schematically showing a structure of an exposure apparatus (EUV exposure apparatus) according to an embodiment of the present invention.
  • This EUV exposure apparatus has an illumination system IL including a light source.
  • EUV light emitted from the illumination system IL (in general, light having a wavelength of 5 to 20 nm is used, and specifically, a wavelength of 13 nm or 11 nm is used) is reflected by the folding mirror 1.
  • the reticle 2 is irradiated.
  • Reticle 2 is held on reticle stage 3.
  • This reticle Stage 3 has a stroke of 100 nm or more in the scanning direction (Y-axis), and has a minute stop in the direction (X-axis) orthogonal to the scanning direction in the reticle plane, and has a light axis direction (Z-axis).
  • the shaft also has a minute stroke.
  • the position in the XY direction is monitored with high precision by a laser interferometer (not shown), and the position in the Z direction is monitored by a reticle focus sensor including a reticle focus light transmitting system 4 and a reticle focus light receiving system.
  • a reticle alignment sensor PA described later is arranged at a position facing reticle stage 3.
  • the EUV light reflected by the reticle 2 enters the optical barrel 14 on the lower side in the figure.
  • This EUV light contains information on the circuit pattern drawn on reticle 2.
  • the reticle 2 is formed with a multilayer film (for example, Mo / Si or Mo / Be) that reflects EUV light. By providing an absorbing layer (for example, Ni or A1) on this multilayer film, the pattern is formed. Is formed.
  • the EUV light that has entered the optical barrel 14 is reflected by the first mirror 16, then reflected by the second mirror 7, the third mirror 18, and the fourth mirror 19, and finally It is incident perpendicular to the wafer 10.
  • the reduction ratio of the projection system is, for example, 1/4 or 1/5. In this figure, there are four mirrors. However, in order to increase the numerical aperture NA, it is effective to use six or eight mirrors.
  • a wafer alignment sensor 15 for alignment is arranged near the lens barrel 14,
  • the wafer 10 is placed on the wafer stage 11.
  • the wafer stage 11 can move freely in a plane (XY plane) orthogonal to the optical axis, and the stroke is, for example, 300 to 400 mm.
  • the wafer stage 11 can move up and down a minute stroke in the optical axis direction (Z axis), and the position in the Z direction is a wafer focus sensor consisting of a wafer auto focus transmission system 12 and a wafer auto focus light reception system 13. Moni is in the evening. ⁇
  • the position of the stage 11 in the XY direction is monitored with high accuracy by a laser interferometer (not shown).
  • the reticle stage 3 and the wafer stage 11 move synchronously at the same speed ratio as the reduction ratio of the projection system, that is, 4: 1 or 5: 1.
  • a fiducial mark FM which will be described later, is arranged on the wafer stage 11.
  • the reticle alignment When performing exposure with such an exposure apparatus, first, the reticle 2 is taken out of the reticle case and transported to the reticle stage 3 by a reticle transport system (not shown). Reticle 2 is held by a reticle holder (not shown) fixed on reticle stage 3 by electrostatic attraction.
  • reticle prealignment sensor ⁇ ⁇ performs realignment of reticle 2 ⁇ At this time, reticle prealignment sensor sensor ⁇ ⁇ ⁇ detects a reticle prealignment mark on reticle 2, Based on the result, the position of the reticle stage 3 is corrected for three degrees of freedom, that is, the XY direction and 0 rotation (rotation around the Z axis) so that the reticle 2 is at a predetermined position.
  • the reticle pre-alignment sensor PA uses ultraviolet light having a wavelength of 248 nm as a light source, and is fixed to the exposure apparatus main body so as to face the reticle stage 3. It should be noted that although only one is shown in the figure, actually, one pair is arranged for each of the near side and the far side. The position of the reticle pre-alignment sensor PA is previously maintained at a position accuracy of several tens of Aim by some means.
  • FIG. 5 (A) is a plan view showing the entire reticle
  • FIG. 5 (B) is an enlarged plan view showing a reticle alignment mark.
  • a pattern area R is formed
  • the reticle alignment mark AM is It is arranged on the left and right outer circumferences of the turn area R.
  • the reticle alignment marks AM are paired on the left and right sides of the reticle 10, and in this example, five pairs are formed.
  • each reticle alignment mark AM is composed of a pre-alignment mark PM 1 having a cross shape and a vertically extending mark 5 arranged in one section of the cross alignment mark PM 1. It has a fine alignment mark PM2 consisting of five line marks and five line marks extending in the horizontal direction. In each of the marks PM1 and PM2, the absorption layer has been removed and the reflective multilayer film layer has been exposed.
  • the line width of each line of the cross mark PM1 is several hundred nm to several tens / m.
  • Each of the alignment marks is a thin film such as TaN or Cr formed on the reflective multilayer mask blank.
  • the substrate of the multilayer mirror may be formed with irregularities.
  • the pre-alignment by the above-described pre-alignment sensor PA is performed using the cross mark PM1 of these marks.
  • the operating wavelength of the briar alignment sensor PA is 248 nm, and the cross mark PM1 can be sufficiently detected.
  • fine alignment is performed.
  • the alignment is performed by detecting a fine alignment mark PM2 by using an aerial image sensor formed on a reference mark FM arranged on the wafer stage 11. Note that two thick lines perpendicular to each other in the sensor are wafer alignment sensor calibration marks S2 described later.
  • FIG. 6 is a diagram illustrating an aerial image sensor.
  • the aerial image sensor S1 is formed on the fiducial mark FM on the wafer stage 10 as described above, and has five periodic line patterns extending in the vertical direction and five periodic line patterns extending in the horizontal direction. It consists of turns.
  • the pattern portion is formed as an EUV light transmitting portion.
  • the cycle of the line width of the pattern S1 and the line width of the above-mentioned fine alignment mark PM2 is the same on the wafer, and is several tens nm to several hundreds of m.
  • the light intensity of the EUV light that has passed through the power path is detected by a photodetector (not shown) located immediately below the pattern section.
  • the reticle stage 3 and the wafer stage 11 are moved relative to each other as described above, and the light intensity signal from the photodetector is detected in synchronism with the movement, so that the reticle stage 3 and the wafer stage 11 are moved. You can know the relative positional relationship.
  • FIG. 7 is a diagram illustrating an example of the light intensity signal detected in the photodetection.
  • the vertical axis in the figure indicates the light intensity
  • the horizontal axis in the figure indicates the scanning position.
  • the light intensity rises rapidly from a certain position, then rises almost smoothly, and has a peak at a certain position. Then, it descends smoothly and suddenly falls at a certain position. At the position of this peak, the pattern portion of the aerial image sensor and the fine alignment mark almost overlap, and this position is the optimum position.
  • the wafer alignment sensor 15 measures the wafer alignment sensor calibration mark S2 drawn on the reference mark FM.
  • the mark S2 is two thick orthogonal lines drawn on the fiducial mark FM. Thereby, the reticle coordinate system and the wafer coordinate system are associated with each other.
  • FIG. 1 is a plan view showing the structure of a mask manufactured by the mask method according to the first embodiment of the present invention.
  • the mask 10 in this figure is a mask blank in which a plurality of defects DF are present, and a grid-like circuit pattern P in which vertical and horizontal lines are orthogonal at equal intervals is drawn. You.
  • the number and position of defects on the mask blanks are checked by an inspection device. These positions and numbers are managed with reference to defect position reference marks MM provided in regions other than the circuit pattern (four corners of the mask 10).
  • the reference mark MM is formed with a sufficient level difference so that it does not disappear even if the absorption layer is formed. Alternatively, after forming the absorption layer, the absorption layer only at the mark may be removed.
  • the position of the defect DF on the mask blank and the position of the circuit pattern P are shifted by shifting the circuit pattern P in the XY direction, rotating around the Z axis, and scaling in the X and Y directions. By overlapping. As a result, all the defects DF on the mask are superimposed on the pattern P.
  • the pattern on the mask P changes the magnification in the X and Y directions, and in this example, the pattern is a horizontally long lattice.
  • the difference between the mask 10 of this example and the mask of FIG. 8 is that the mask of FIG. 8 has the circuit pattern drawn at the designed magnification, whereas the mask 10 of this example has the circuit pattern P
  • the magnification in the horizontal direction (X direction) and the magnification in the vertical direction (Y direction) are set (corrected) to different magnifications from the design values.
  • the pattern P is shifted in the X and Y directions and Correction was made only by rotation around the Z axis, and the position was aligned with the defect DF. That is, the shape of the pattern P does not change only by receiving rotation and shift.
  • the actual drawing position (Rx, Ry) is represented by a coordinate conversion formula expressed by formula (2).
  • is the shift amount in the X direction
  • Ay is the shift amount in the ⁇ direction
  • S is the rotation angle.
  • the shape of the mask is square, and the mask cannot be rotated by 90 ° due to the alignment marks when the mask is mounted on the exposure apparatus. For this reason, the angle that can be used for the relative alignment between the defect and the dark part of the circuit pattern is about ⁇ 0.5 ° with respect to 0 ° or 180 °. Attempting to rotate more than that will exceed the mechanical constraints of mounting the mask on the exposure tool, or the pattern will protrude from the area where the flatness of the mask is guaranteed.
  • the magnification is adjusted.
  • the actual drawing position (Rx, Ry) is represented by the coordinate conversion formula shown in Expression (3).
  • hi,? Is a parameter related to the magnification conversion in the X and Y directions, and is 1 ⁇ £. Is about 2 ⁇ 10 6 , for example, which is within the magnification adjustment range of the exposure apparatus. People /? Need not be the same number.
  • magnification adjustment in the direction perpendicular to the scanning direction can be performed by changing the distance between the projection optical system and the reticle.
  • Magnification adjustment in the scanning direction can be achieved by adjusting the scanning speed between the mask and wafer by the projection optical system. This can be done by making the reduced projection magnification different from the above. Specifically, by adjusting the projection optical system and the stage speed, the magnification in the X direction is adjusted by 1 / multiple, and the magnification in the Y direction is adjusted by 1Z ?. For this reason, / 5 may be a different number.
  • information on these values may be provided in a mask alignment mark of the mask, as described later. Further, it may be recorded as a bar code in a portion other than the circuit pattern.
  • FIG. 2 is a plan view showing the structure of a mask manufactured by the mask manufacturing method according to the second embodiment of the present invention.
  • the skew (orthogonality) is adjusted in addition to the shift in the ⁇ direction and rotation about the ⁇ axis.
  • the actual drawing position (RxRy) is represented by the coordinate conversion formula shown in Expression (4).
  • the circuit pattern is restored using these values called from the media.
  • the scan direction of the mask stage and wafer stage can be shifted from parallel-skew can be imparted to the pattern transferred to the wafer.
  • the amount of skew is too large, the line width of the pattern parallel to the scanning direction becomes narrower, so the amount of skew is limited. It indicated by the difference between the amount of skew, 2 X 1 0 - about 6 radians are preferred.
  • FIG. 3 is a plan view showing a structure of a mask manufactured by a conventional method.
  • the position of the defect DF and the dark portion of the pattern P are overlapped only by the XY shift and the rotation around the Z axis. According to this method, some overlap with the circuit pattern (defects surrounded by solid lines in the figure), but others do not fit well with the circuit pattern (defects surrounded by broken lines in the figure).
  • the above parameters ( ⁇ , ⁇ ⁇ ⁇ , £, etc.) can be assigned to the reticle alignment mark itself. Usually, multiple reticle alignment marks are drawn, and the position of each is shifted from the original design position. The shift amount is equal to the shift amount of the position of the circuit pattern described above, and is obtained according to the equations (3), (4), and (5).
  • the projection optical system and the stage are operated by performing the reverse of the above correction. Specifically, it is performed by controlling the rotation of the mask, controlling the magnification and speed, and controlling the scanning direction of the stage.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

 パターン転写用マスク10は、パターン形成前の中間材であるマスクブランクスの全ての欠陥DFに回路パターンPが重なるように、XY方向の倍率及び直交度の少なくとも一方をを含む補正を行って作製されている。これにより、欠陥があるマスクブランクスもマスクの製造に使用することができ、コストが低減される。露光時には、投影光学系及びステージ動作の少なくとも一方により、前記補正の逆の補正を行って本来の設計パターンを復元するように露光する。

Description

マスク、 マスクの製造方法及び露光方法 技術分野
本発明は、 半導体集積回路等の製造工程においてリソグラフィに用い られるパターン転写用のマスク、 そのマスクの製造方法、 及びそのマス 明
クを使用した露光方法に関するもの細である。 背景技術
近年、 半導体集積回路の微細化に伴い、 光の回折限界によって制限さ れる光学系の解像力を向上させるために、 従来の紫外線に代えてこれよ り短い波長 ( 1 1〜 1 4 n m ) の X線を使用した投影リソグラフィ技術 が開発されている。 この技術は、 E U V ( Extreme Ultra Violet) リソ グラフィ と呼ばれており、 従来の波長 1 9 0 n mの光線を用いた光リソ グラフィでは実現不可能な、 7 0 n m以下の解像力を得られる技術とし て期待されている。
このような E U V露光装置に使用されるマスク (本明細書、 請求の範 囲においては、 レチクルを含む概念である) は、 基板上に反射型多層膜 を成膜し、 その膜上に吸収層を形成したもので、 吸収層に回路パターン がパ夕一ニングされる。 基板上に反射型多層膜を成膜した状態であり、 未だ吸収層が形成されていないものは、 マスクの中間材であり、 マスク ブランクスと呼ばれる。 マスクブランクスにおける反射多層膜の上層付 近に欠陥が存在すると、 照射される E U V線を反射しなくなり、 いわゆ る黒欠陥と呼ばれる欠陥となる。 また、 反射多層膜の中層、 下層、 また は基板上に欠陥が存在すると、 反射光の位相が変化し、 転写されるパ夕 —ンの線幅変化等を引き起こす。 このような欠陥の存在はマスク製造の 歩留に影響し、 最終的にはコス トに直接作用する。 このため、 このよう な欠陥によって発生する問題を解消するための研究、 開発が行われてい る。
このような問題を解消するための一つの方法として、 例えば、 回路パ ターンをマスクプランクスに形成するときに、 欠陥に回路パターンの暗 部が重なるように位置合わせを行って、 回路パターンをマスクブランク ス上に転写する方法が特閧平 7 - 2 4 0 3 6 3号公報に記載されている, この方法においては、マスクブランクス材としてシリコンゥェハを用い、 事前にマスクブランクス上の欠陥位置を検出する。 そして、 回路パ夕一 ンの暗部と欠陥位置とが重なるように、回路パターンを、必要に応じて、
Z軸 (マスクパターンを描画装置より描画するときの光軸方向) 回りに 回転させたり、 X— Y— Z直交座標系における X Y方向へシフ トさせた り、 この両方を行ってマスクブランクス上に描画する。
図 8は、 従来の方法による回路パターンの暗部と、 マスクプランクス の欠陥位置とを重ねた状態を示す図である。
この図のマスク (レチクル) 1 0, は、 複数の欠陥 D Fが存在するマ スクプランクス上に、 回路パターン: Pが描画されたものである。 回路パ ターン Pは吸収層に縦横のラインが等間隔で直交する格子状パターンで ある。 この回路パターン Pは、 上述のように、 X Y方向へシフ トさせた り、 Z軸回りに回転させて、 欠陥 D Fに位置合わせされている。 欠陥 D Fがパターン Pと重なると、 欠陥 D Fは吸収層の下に隠れてしまい、 転 写されるウェハ (感応基板) 上には欠陥が転写されなくなる。 マスクブ ランクスの欠陥の数が少ない ( 2、 3個) 場合は、 回路パターンを X Y 方向へ動かしたり、 Z軸回りに回転させることにより全ての欠陥を回路 パターンと重ねることができる。 しかし、 図に示すように欠陥の数が多 くなると、回路パターンに重なるものもあるが(図の実線で囲んだ欠陥)、 回路パターンにうまく合わせられないものもある (図の破線で囲んだ欠 陥)。 このように、 マスクブランクスの欠陥の数が多い場合には、 これら の全てを回路パターンと重ね合わすことができないので、 重ね合わすこ とができなかった欠陥のために、 マスクが不良品となることがある。 また、 E U V露光装置に使用されるマスクは正方形であることが S E M Iにより規格化されている。 このため、 マスクの Z軸回りの回転量は 0 ° 又は 1 8 0 ° を中心として若干量 (例えば土 0 . 5 ° 程度) しか許 されず、 位置合わせの自由度が狭い。 従って、 この制約のために、 特開 平 7— 2 4 0 3 6 3号公報に記載される技術を適用できるマスクブラン クスが制限されるという問題があった。 発明の開示
本発明はこのような事情に鑑みてなされたものであり、 欠陥のあるマ スクブランクスからマスクを製造する場合の歩留を向上させることがで きるパターン転写用のマスク、さらには、このようなマスクの製造方法、 加えて、 このようなマスクを使用した露光方法を提供することを目的と する。
前記課題を解決するための第 1の発明は、 感応基板上に露光 · 転写さ れる回路パターンが形成されているマスクであって、 当該マスクのパ夕 —ン形成前の中間材であるマスクプランクスの欠陥に、 前記回路パ夕一 ンの喑部が重なるように、 形成すべき回路パターンに対して倍率及び直 交度の少なく とも一方を含む補正を行った補正パターンが、 回路パ夕一 ンとして形成されていることを特徴とするマスクである。
本発明においては、 感応基板上に露光 ' ·転写すべき回路パターンに対 して、 倍率、 直交度の少なく とも一方を含む補正を加えたパターン (補 正パターン) を作成し、 補正パターンがマスクブランクスの欠陥に一致 するようにして、 マスク上には補正パターンを形成する。 これにより、 マスクブランクスの欠陥が、 マスクの欠陥になる可能性を少なくするこ とができる。 よって、 欠陥のあるマスクブランクスもマスクに使用する ことができるようになり、 マスクの製造コス トが低減される。 なお、 本 手段は、 従来の手段である、 Z軸まわりの回転、 X Y方向へのシフ トと 組み合わせれば、 5つのパラメ一夕を用いて重ね合わせを行うことがで きるため、 さらに、 マスクブランクスの欠陥が、 マスクの欠陥になる可 能性を少なくすることができる。
本発明と、 従来技術との技術的思想の違いは、 従来技術においては、 形成すべきパターンの回転、 平行移動を行うだけで、 形成すべきパター ンそのものの形状を変化させることはなかったのに対し、 本発明におい ては、 形成すべきパターンの形状を変化させることを行っている点にあ る。 この形状の変化は、 形成すべき本来のパターンと相似な変化でもよ いし、 相似な変化以外の変化でもよい。
このようなマスクを使用した場合は、 従来の露光方法を使用した場合 には、補正パターンがウェハ等の感応基板に転写されてしまう。よって、 後に述べるように、 露光転写を行う際に、 設計パターンにかけて補正パ ターンを製造したのと逆の補正をかけることにより、 ウェハ等の感応基 板には、 設計パターンが露光転写されるようにする。
前記目的を達成するための第 2の発明は、 感応基板上に露光 · 転写さ れる回路パターンが形成されているマスクであって、 当該マスクのパ夕 ーン形成前の中間材であるマスクブランクスの欠陥に、 前記回路パター ンの暗部が重なるように、 形成すべきパターンに対して一次の座標変換 を行った補正パターンが、 前記形成すべきパターンと形状の異なる回路 パターンとして形成されていることを特徴とするマスクである。 本手段においては、 変換の計算が容易であると共に、 逆変換の計算が 容易であり、 かつ、 露光装置の投影光学系とステージ位置の組み合わせ により、 容易に逆変換を行った露光を行うことができる。
ここに、 一次の座標変換とは、 マスク面を 2次元平面とした場合に、 その平面に設けられた 2次元座標系における補正後の位置座標が、 補正 前の位置座標の一次結合式で表される変換方式をいう。 なお、 前記 2次 元座標系が X— Y直交座標系であれば、 一次変換はァフィ ン変換となる が、 座標系は極座標による直交座標系でもよく、 さらには、 直交座標系 でなくても構わない。
本発明と、 従来技術との技術的思想の違いは、 従来技術においては、 形成すべきパターンの回転、 平行移動を行うだけで、 形成すべきパター ンそのものの形状を変化させることはなかったのに対し、 本発明におい ては、 形成すべきパターンの形状を変化させることを行っている点にあ る。 この形状の変化は、 形成すべき本来のパターンと相似な変化でもよ いし、 相似な変化以外の変化でもよい。
前記目的を達成するための第 3の発明は、 前記第 1の発明又は第 2の 発明であって、 前記補正の情報が、 前記マスクに記録されていることを 特徴とするものである。
本発明においては、 設計パターンを補正パターンに変換した補正情報 がマスク自身に記録されているので、 当該マスクを使用するとき、 その 補正情報を読み出し、 それに基づいて露光転写を行う際の逆補正をかけ ることができる。なお、 この補正情報は、補正に使用した数式の係数や、 逆補正に使用する数式の係数等、 任意に選ぶことができる。
前記目的を達成するための第 4の発明は、 前記第 3の発明であって、 前記補正の情報が、 前記マスクのァライメントマークの位置として記録 されていることを特徴とするものである。 マスクには、 形成されたパターンをウェハ等の感応基板の正しい位置 に露光転写するために、 そのマスクと、 ウェハ等の感応基板との位置合 わせに使用するァライメントマークが複数設けられている。 そして、 ァ ライメン トマークを設ける位置も、 設計上決められている。 本発明にお いては、 このァライメン トマークの位置にも、 前記補正と同じ補正をか けて、 その位置をずらしておく。 このようにすると、 露光転写時に、 実 際に形成されたァライメントマ一クの位置と、 設計上のァラィメン トマ ークの位置の差を読み取ることによ り、 設計パターンにどのような補正 がかけられたのかを知ることができ、 その情報を元に、 露光転写の際に 逆補正をかけることが可能となる。
前記目的を達成するための第 5の発明は、 前記第 3の発明であって、 前記補正の情報が、 前記マスク上の回路パターン以外の部分以外の領域 に記録されていることを特徴とするものである。
マスクには、 パターンが形成されていない部分が存在する。 よって、 この部分に、 前記補正の情報を、 例えばバーコードの形で記録しておく ことにより、 露光転写の際にその情報を読み取り、 その情報を元に、 逆 補正をかけることが可能となる。
前記目的を達成するための第 6の発明は、 マスクブランクスから、 感 応基板上に露光 ·転写される回路パターンが形成されたマスクを製造す る方法であって、 当該マスクのパターン形成前の中間材であるマスクブ ランクスの欠陥とその欠陥の位置を検出する工程と、 前記マスクブラン クス上の欠陥が検出された位置に、 前記回路パターンの暗部が位置する ように、 形成すべき回路パターンに対して倍率及び直交度のうち少なく とも一方を含む補正を行って、 前記マスクに実際に形成される回路パ夕 —ンを決定する工程とを含むことを特徴とするマスクの製造方法である, 前記目的を達成するための第 7の発明は、 マスクブランクスから、 感 応基板上に露光 ·転写される回路パターンが形成されたマスクを製造す る方法であって、 当該マスクのパ夕一ン形成前の中間材であるマスクプ ランクスの欠陥とその欠陥の位置を検出する工程と、 前記マスクブラン クス上の欠陥が検出された位置に、 前記回路パターンの暗部が位置する ように、 形成すべきパターンに対して一次の座標変換を含む補正を行つ て、 前記マスクに実際に形成される、 前記形成すべきパターンと形状の 異なる回路パターンを決定する工程とを含むことを特徴とするマスクの 製造方法である。
前記目的を達成するための第 8の発明は、 感応基板上に露光 ·転写す べき回路パターンが形成されるマスクを製造し、 当該マスクをエネルギ —線により照明し、 前記マスクを反射又は通過した前記エネルギー線を 感応基板面上に投影結像させて前記回路パターンを前記感応基板上に転 写する露光方法であって、 前記マスクのパターン形成前の中間材である マスクブランクスの欠陥に回路パターンの暗部が重なるように、 形成す べき回路パターンに対して倍率及び直交度のうち少なく とも一方を含む 補正を行って、前記マスクに実際に形成される回路パターンを決定して、 前記マスクを作製し、 露光時には、 投影光学系及びステージ動作の少な く とも一方により、 前記補正の逆の補正を行って、 本来の設計パターン を復元するように露光することを特徴とする露光方法である。
前記目的を達成するための第 9の発明は、 感応基板上に露光 写す べき回路パターンが形成されるマスクを製造し、 当該マスクをエネルギ —線により照明し、 前記マスクを反射又は通過した前記エネルギー線を 感応基板面上に投影結像させて前記回路パターンを前記感応基板上に転 写する露光方法であって、 前記マスクのパターン形成前の中間材である マスクプランクスの欠陥に回路パターンの暗部が重なるように、 形成す べきパターンに対して一次の座標変換を含む補正を行って、 前記マスク に実際に形成される、 前記形成すべきパターンと形状の異なる回路パ夕 ーンを決定して、 前記マスクを作製し、 露光時には、 投影光学系及びス テ一ジ動作の少なく とも一方により、 前記補正の逆の補正を行って、 本 来の設計パターンを復元するように露光することを特徴とする露光方法 である。
これら、 第 8の発明、 第 9の発明によれば、 前記第 1の発明から第 5 の発明のようなマスクを使用して、 設計パターンを正確にウェハ等の感 応基板に転写することができる。
前記課題を解決するための第 1 0の発明は、 前記第 8の発明又は第 9 の発明であって、 前記回路パターンに対して行った補正の補正量を任意 のメディアに記録しておき、 露光時には、 記憶しておいた前記補正量を 読み出して、 前記補正の逆の補正を行うことを特徴とするものである。 前記課題を解決するための第 1 1の発明は、 前記第 8の発明又は第 9 の発明であって、 前記回路パターンに対して行った補正の補正量の逆の 補正量を任意のメディアに記録しておき、 露光時には、 記憶しておいた 前記逆の補正量を読み出して、 前記補正の逆の補正を行うことを特徴と するものである。
これら、第 1 0の発明及び第 1 1の発明においては、それそれ補正量、 逆の補正量をメディアに記憶しておき、 そのマスクを使用するとき、 メ ディアから読み出して使用するようにしているので、 露光時には、 正確 に逆補正を行って、 設計パターンをウェハ等の感応基板に転写すること ができる。 図面の簡単な説明
図 1は、 本発明の第一の実施の形態であるマスクの製造方法によって 製造されたマスクの構造を示す平面図である。 図 2は、 本発明の第二の実施の形態であるマスクの製造方法によって 製造されたマスクの構造を示す平面図である。
図 3は、 従来の方法によって製造されたマスクの構造を示す平面図で ある。
図 4は、 本発明の実施の形態である露光装置 (E U V露光装置) の構 造を模式的に示す図である。
図 5は、 レチクルを示す図であり、 (A ) は、 レチクルの全体を示す平 面図、 (B )は、 レチクルのァライメントマークを拡大して示す平面図で ある。
図 6は、 空間像センサを説明するための図である。
図 7は、 フォトディテク夕で検出された光強度信号の一例を示す図で める。
図 8は、 従来の方法により、 回路パターンの暗部と欠陥位置とを重ね た状態を示す図である。 発明を実施するための最良の形態
以下、 本発明を実施するための形態のうち最良と思われるものについ て、 図面を参照しながら説明する。 しかし、 これらの説明は、 あくまで も本発明の一例として示すものであり。 本発明の権利範囲を制約するも のではない。
図 4は、 本発明の実施の形態である露光装置 (E U V露光装置) の構 造を模式的に示す図である。 この E U V露光装置は、 光源を含む照明系 I Lを備えている。 照明系 I Lから放射された E U V光 (一般に、 波長 5〜 2 0 n mの光が用いられ、 具体的には 1 3 n mや 1 1 n mの波長が 用いられる)は、折り返しミラー 1で反射してレチクル 2に照射される。
レチクル 2は、 レチクルステージ 3に保持されている。 このレチクル ステージ 3は、 走査方向 (Y軸) に 100 nm以上のス トロークを持つ と共に、 レチクル面内の走査方向と直交する方向 (X軸) に微小ス ト口 —クを持ち、 光軸方向 (Z軸) にも微小ス トロークを持っている。 XY 方向の位置は図示しないレーザ干渉計によって高精度にモニタされ、 Z 方向の位置はレチクルフォ一カス送光系 4とレチクルフォーカス受光系 からなるレチクルフォーカスセンサでモニタされている。 レチクルステ —ジ 3に対向する位置には、 後述するレチクルァライメン トセンサ PA が配置されている。
レチクル 2で反射した E U V光は、 図中下側の光学鏡筒 14内に入射 する。 この EUV光は、 レチクル 2に描かれた回路パターンの情報を含 んでいる。 レチクル 2には E UV光を反射する多層膜 (例えば Mo/S iや Mo/B e) が形成されており、 この多層膜の上に吸収層 (例えば N iや A1) を設けることによってパターンを形成している。
光学鏡筒 14内に入射した E UV光は、第一ミラ一 6で反射された後、 第二ミラー 7、 第三ミラ一 8、 第四ミラ一 9によって順次反射され、 最 終的にはウェハ 10に対して垂直に入射する。 投影系の縮小倍率は、 例 えば 1/4や 1/5である。 この図では、 ミラーは 4枚であるが、 開口 数 NAをより大きくするためには、 ミラーを 6枚あるいは 8枚にすると 効果的である。 鏡筒 14の近傍には、 ァライメント用のウェハァライメ ン トセンサ 15が配置されている ,
ウェハ 10は、 ウェハステージ 1 1上に載せられている。 ウェハステ ージ 1 1は、 光軸と直交する面内 (XY平面) を自由に移動することが でき、 ス トロークは例えば 300〜 400 mmである。 ウェハステージ 1 1は、 光軸方向 (Z軸) にも微小ス トロークの上下が可能で、 Z方向 の位置はゥェハオートフォーカス送光系 12とウェハオートフォーカス 受光系 13からなるウェハフォーカスセンサでモニ夕されている。 ゥェ ハステージ 1 1の X Y方向の位置は図示しないレーザ干渉計によって高 精度にモニタされている。 露光動作において、 レチクルステージ 3 とゥ ェハステージ 1 1は、 投影系の縮小倍率と同じ速度比、 すなわち、 4 : 1あるいは 5 : 1で同期して移動する。 ウェハステージ 1 1上には、 後 述する基準マーク F Mが配置されている。
次に、 レチクルァライメン トについて説明する。 このような露光装置 で露光を行う際には、まず、レチクル 2をレチクルケースから取り出し、 レチクル搬送系(図示せず)によってレチクルステージ 3まで搬送する。 レチクル 2はレチクルステージ 3上に固定されているレチクルホルダ (図示せず) に静電吸着により保持される。 そして、 レチクルプリアラ ィメン トセンサ Ρ Αによってレチクル 2のブリアライメン トが行われる < このとき、 レチクルプリアライメン トセンサセンサ Ρ Αによって、 レチ クル 2上のレチクルプリァライメン トマ一クが検出され、 この結果に基 づいて、 レチクル 2が所定の位置となるように、 レチクルステージ 3の 位置が X Y方向及び 0回転 ( Z軸まわりの回転) の 3つの自由度に対し て修正される。
レチクルプリアライメン トセンサ P Aは波長が 2 4 8 n mの紫外光を 光源とし、 レチクルステージ 3に対向するように露光装置本体に固定さ れている。 なお、 図では 1個しか示されていないが、 実際には、 手前側 と奥側の各々 1個ずつ一対配置されている。 レチクルプリアライメン ト センサ P Aの位置は、 前もって何らかの手段により数十 Ai mの位置精度 に保たれている。
次に、 レチクルァライメン トマークについて説明する。図 5 ( A )は、 レチクルの全体を示す平面図である、 図 5 ( B ) は、 レチクルァライメ ントマークを拡大して示す平面図である。 レチクル 1 0の中央には、 パ ターン領域 Rが形成されており、 レチクルァライメントマーク A Mはパ ターン領域 Rの左右の外周に配置されている。 レチクルァライメン トマ ーク AMは、 レチクル 10の左右で一対とされ、 この例では 5対が形成 されている。
図 5 (B ) に示すように、 各レチクルァライメン トマーク AMは、 十 文字のプリアライメントマーク PM 1と、 この十文字ァライメン トマ一 ク PM 1の一区画に配置されている縦方向に延びる 5本のラインマーク 及び横方向に延びる 5本のラインマ一クとからなるファインァライメン トマ一ク PM2を有する。 各マーク PM 1、 PM2の部分では吸収層が 取り除かれて、 反射多層膜層が露出している。 十文字マーク PM 1の各 線の線幅は数百 nm〜数十/ mである。
なお、 ァライメントマークの各マークは、 反射多層膜層マスクブラン クス上に成膜した T aNや C r等の薄膜である。 また、 多層膜反射鏡の 基板に凹凸をつけて形成することもある。
上述のプリアライメン トセンサ PAによるプリアライメン トは、 これ らのマークの内、 十文字マーク P M 1を用いて行われる。 ブリアライメ ントセンサ P Aの使用波長は 248 nmであり、 この十文字マーク P M 1を十分に検出することができる。
ブリアライメント終了後、 ファイ ンァライメントを行う。 同ァライメ ン トは、 ウェハステージ 1 1上に配置された基準マーク FMに形成され た空間像センサを用いて、 ファイ ンァライメン トマーク PM 2を検出す ることにより行われる。 なお、 同センサ内の直交する 2本の太い線は、 後述するウェハァライメン トセンサキャリブレーション用マーク S 2で ある。
図 6は、 空間像センサを説明する図である。 空間像センサ S 1は上述 のようにウェハステージ 1 0上の基準マーク F Mに形成されており、 縦 方向に延びる 5本の周期線パターン及び横方向に延びる 5本の周期線パ ターンとからなる。パターン部は E U V光透過部として形成されている。 このパターン S 1 と、 上述のフアインァライメン トマーク P M 2の線幅 の周期は、 ウェハ上では同一であり、 数十 n m〜数百〃 mである。 パ夕 一ン部を通過した E U V光の光強度は、 パターン部の直下に配置されて いるフォ トディテクタ (図示せず) で検出される。 レチクルステージ 3 とゥヱハステージ 1 1を上述のように相対して移動し、 この移動に同期 してフォ トディテク夕からの光強度信号を検出することにより、 レチク ルステ一ジ 3とウェハステージ 1 1 との相対位置関係を知ることができ る。
図 7は、 フォ トディテク夕で検出された光強度信号の一例を示す図で ある。図の縦軸,は光強度、図の横軸は走査位置を示す。図に示すように、 光強度はある位置から急激に立ち上がり、 その後ほぼ滑らかに上昇し、 ある位置でピークをもつ。 そして、 滑らかに下降し、 ある位置で急激に 立ち下がる。 このピークの位置では、 空間像センサのパターン部とファ インァライメン トマークとがほぼ重なっており、 この位置が最適位置で ある。
ファインァライメント終了後、 ウェハァライメン トセンサ 1 5で、 基 準マーク F Mに描かれたウェハァライメン トセンサキャ リブレーション 用マーク S 2を計測する。 同マーク S 2は、 基準マーク F Mに描かれて いる、 直交する 2本の太い線である。 これにより、 レチクル座標系とゥ ェハ座標系の関連付けが行われる。
次に、本発明の実施の形態であるマスクの製造方法について説明する。 図 1は、 本発明の第一の実施の形態であるマスクの方法によって製造 されたマスクの構造を示す平面図である。 この図のマスク 1 0も、 図 8 と同様に、 複数の欠陥 D Fが存在するマスクブランクス上に、 縦横のラ ィンが等間隔で直交する格子状の回路パターン Pが描画されたものであ る。
このようなマスク 1 0を作製するには、 まず、 マスクブランクス上の 欠陥の数と位置を検査装置で検査して確認する。 この位置と数は、 回路 パターン以外の領域 (マスク 1 0の四隅) に設けられている欠陥位置基 準マーク M Mを基準として管理されている。 この基準マーク M Mは、 吸 収層を成膜しても消えないように、十分に段差をもって形成されている。 または、 吸収層を成膜した後、 マークの部分のみの吸収層を除去したも のでもよい。
そして、 マスクブランクス上の欠陥 D Fの位置と回路パターン Pの位 置とを、 回路パターン Pを X Y方向へシフ ト、 Z軸回りの回転、 及び、 X方向へ変倍、 Y方向へ変倍することにより、 重ね合わせる。 これによ り、マスク上の全ての欠陥 D Fをパターン Pに重ね合わせるようにする。 なお、 マスク上のパターン: Pは X Y方向の倍率を変えているため、 この 例においては、 横長の格子状となっている。
この例のマスク 1 0と図 8のマスクとの違いは、 図 8のマスクは回路 パターンが設計値通りの倍率で描画されているのに対し、 この例のマス ク 1 0は、 回路パターン Pの横方向 (X方向) の倍率及び縦方向 (Y方 向) の倍率がそれそれ設計値と異なる倍率に設定 (補正) されているこ とである。
以下、 この補正について詳細に説明する。 本来の回路パターンの設計 位置を (D x、 D y ) とすると、 実際に描画される位置 (R x、 R y ) は、 以下の ( 1 ) 式で示される一次座標変換式 (ァフィ ン変換) で表さ れる。
…の
Figure imgf000016_0001
図 8に示す従来例の場合は、 パターン Pを、 X Y方向へのシフ トと、 Z軸回りの回転のみで補正して、 欠陥 D Fと位置合わせしていた。 すな わち、 パターン Pは回転及びシフ トを受けるだけでその形状は変化しな い。 この場合の実際の描画位置 (Rx、 R y ) は、 (2) 式で示される座 標変換式で表される。 ここで、 Δχは X方向へのシフ ト量、 Ayは Υ方 向へのシフ ト量、 Sは回転角度を示す。
Figure imgf000017_0001
前述のように、 マスクの形状は正方形であり、 また、 露光装置へマス クを装着する際の位置合わせマークの都合により、 マスクを 90° 回転 させることができない。 このため、 欠陥と回路パターンの暗部との相対 位置合わせに使用可能な角度は、 0 ° または 1 80 ° に対して ±0.5 ° 程度である。 それ以上回転させようとすると、 露光装置にマスクを装着 する際の機械的な制約を超えたり、 マスクの平坦度が保証された領域が らパターンがはみ出したりする。
本実施の形態においては、 XY方向へのシフ ト、 Z軸回りの回転に加 えて、 倍率の調整を行っている。 この場合の実際の描画位置 (Rx、 R y) は、 ( 3) 式で示される座標変換式で表される。
Figure imgf000017_0002
ここで、 ひ、 ?は X方向、 Y方向への倍率変換に関るパラメ一夕で、 1 ±£である。 の値は、 例えば 2 X 1 0_ 6程度であり、 露光装置の倍 率調整範囲内である。 ひと/?は同一の数値である必要はない。
倍率変換に関るパラメ一夕 α、 ?等の数値は、 磁気ディスクや磁気テ
—プ、 CD—R〇M、 紙等のメディアに記録されている。 そして、 該当 するマスクを露光する際には、 メディァから呼び出したこれらの数値を 用いて、 回路パターンを復元する。 このとき、 EUV露光装置は走査露 光を行うため、 走査方向と直交する方向の倍率調整は、 投影光学系とレ チクルの距離を変えることにより行うことができ、 走査方向の倍率調整 は、 マスクとウェハの走査速度を投影光学系の縮小投影倍率と異ならせ ることにより行うことができる。 具体的には、 投影光学系やステージ速 度を調整して、 X方向を 1 /ひ倍だけ倍率調整し、 Y方向を 1 Z ?倍だ け倍率調整する。 このため、 ひと /5は異なる数値でもよい。
また、 これらの値の情報を、 後述するように、 マスクのマスクァライ メントマークに持たせてもよい。 また、 バーコード化して、 回路パター ン以外の部分に記録してもよい。
図 2は、 本発明の第二の実施の形態であるマスクの製造方法によって 製造されたマスクの構造を示す平面図である。
この例では、 Χ Υ方向へのシフ ト、 Ζ軸回りの回転に加えて、 スキュ (直交度) の調整を行っている。 このような補正を行うことにより、 全ての欠陥 D Fをパターン; Ρに重ね合わせることができる可能性がより 高くなる。 この場合の実際の描画位置 (R x R y ) は、 (4 ) 式で示さ れる座標変換式で表される。
Figure imgf000018_0001
ここで、 は X軸の回転角度、 øは Y軸の回転角度である。 と <? ま 同一の数値である必要はない。 と øが異なれば、 変換後の座表軸は直 交せず、 回路パターンにスキューが発生する。 これらの数値もメディア に記録される。
該当するマスクを露光する際には、 メディアから呼び出したこれらの 数値を用いて、 回路パターンを復元する。 スキュ一を補正するには、 マ スクステージとゥェハステージの走査方向を平行からずらすことにより - ウェハに転写されるパターンにスキューを与えることができる。ただし、 スキューの量が大きすぎると、 走査方向に平行なパターンの線幅が狭ま るため、 スキューの量には限度がある。 スキュー量は と の差で示さ れ、 2 X 1 0 - 6ラジアン程度が好ましい。
また、 ( 3 ) 式と ( 4 ) 式を統合した ( 5 ) 式で示される座標変換式を 用いることにより、 欠陥位置と回路パターンとの位置合わせの自由度が さらに向上する。
Figure imgf000019_0001
図 3は、 従来の方法によって製造されたマスクの構造を示す平面図で ある。 この例では、 図 2 と同じ位置に欠陥 D Fが存在するマスクにおい て、 欠陥 D Fの位置とパターン Pの暗部とを、 X Yシフ トと Z軸回りの 回転のみによって重ね合わせた場合である。 この方法によれば、 回路パ ターンに重なるものもあるが(図の実線で囲んだ欠陥)、 回路パターンに うまく合わせられないものもある (図の破線で囲んだ欠陥)。
上述のパラメ一夕 ( α、 βヽ Θ、 £等) は、 レチクルァライメン トマ ーク自身に持たせることができる。 レチクルァライメン トマークは通常 複数描かれており、 それそれの位置を、 元々の設計位置からずらして描 く。 ずれ量は、 上述の回路パターンの位置のずれ量と等しく、 ( 3 ) 式、 ( 4 ) 式、 ( 5 ) 式に従って求められる。
次に、図 2のマスクを用いて露光する際の補正方法について説明する。 露光時には、 投影光学系やステージを、 上述の補正の逆の補正をかけて 動作させる。 具体的には、 マスクの回転、 倍率と速度の制御、 ステージ のスキャン方向の制御などにより行う。

Claims

請 求 の 範 囲
1 . 感応基板上に露光 ·転写される回路パターンが形成されているマ スクであって、 当該マスクのパターン形成前の中間材であるマスクブラ ンクスの欠陥に、 前記回路パターンの暗部が重なるように、 形成すべき 回路パターンに対して倍率及び直交度の少なく とも一方を含む補正を行 つた補正パターンが、 回路パターンとして形成されていることを特徴と するマスク。
2 . 感応基板上に露光 ·転写される回路パターンが形成されているマ スクであって、 当該マスクのパターン形成前の中間材であるマスクブラ ンクスの欠陥に、 前記回路パターンの暗部が重なるように、 形成すべき パターンに対して一次の座標変換を行った、 前記形成すべきパターンと 形状の異なる補正パターンが、 回路パターンとして形成されていること を特徴とするマスク。
3 . 前記補正の情報が、 前記マスクに記録されていることを特徴とす る請求の範囲第 1項又は第 2項に記載のマスク。
4 . 前記補正の情報が、 前記マスクのァライメン トマ一クの位置とし て記録されていることを特徴とする請求の範囲第 3項に記載のマスク。
5 . 前記補正の情報が、 前記マスク上の回路パターン以外の部分以外 の領域に記録されていることを特徴とする請求の範囲第 3項に記載のマ スク。
6 . マスクブランクスから、 感応基板上に露光 ·転写される回路パ夕 ーンが形成されたマスクを製造する方法であって、 当該マスクのパ夕一 ン形成前の中間材であるマスクブランクスの欠陥とその欠陥の位置を検 出する工程と、 前記マスクブランクス上の欠陥が検出された位置に、 前 記回路パターンの暗部が位置するように、 形成すべき回路パターンに対 して倍率及び直交度のうち少なく とも一方を含む補正を行って、 前記マ スクに実際に形成される回路パターンを決定する工程とを含むことを特 徴とするマスクの製造方法。
7 . マスクブランクスから、 感応基板上に露光 ' 転写される回路パ夕 —ンが形成されたマスクを製造する方法であって、 当該マスクのパ夕一 ン形成前の中間材であるマスクブランクスの欠陥とその欠陥の位置を検 出する工程と、 前記マスクブランクス上の欠陥が検出された位置に、 前 記回路パターンの暗部が位置するように、 形成すべきパターンに対して 一次の座標変換を含む補正を行って、 前記マスクに実際に形成される、 前記形成すべきパターンと形状の異なる回路パターンを決定する工程と を含むことを特徴とするマスクの製造方法。
8 . 感応基板上に露光 ·転写すべき回路パターンが形成されるマスク を製造し、 当該マスクをエネルギー線により照明し、 前記マスクを反射 又は通過した前記エネルギー線を感応基板面上に投影結像させて前記回 路パターンを前記感応基板上に転写する露光方法であって、 前記マスク のパ夕一ン形成前の中間材であるマスクブランクスの欠陥に回路パター ンの暗部が重なるように、 形成すべき回路パターンに対して倍率及び直 交度のうち少なく とも一方を含む補正を行って、 前記マスクに実際に形 成される回路パターンを決定して、 前記マスクを作製し、 露光時には、 投影光学系及びステージ動作の少なく とも一方により、 前記補正の逆の 補正を行って、 本来の設計パターンを復元するように露光することを特 徴とする露光方法。
9 . 感応基板上に露光 ·転写すべき回路パターンが形成されるマスク を製造し、 当該マスクをエネルギー線により照明し、 前記マスクを反射 又は通過した前記エネルギー線を感応基板面上に投影結像させて前記回 路パターンを前記感応基板上に転写する露光方法であって、 前記マスク のパターン形成前の中間材であるマスクブランクスの欠陥に回路パ夕一 ンの暗部が重なるように、 形成すべきパターンに対して一次の座標変換 を含む補正を行って、 前記マスクに実際に形成される、 前記形成すべき パターンと形状の異なる回路パターンを決定して、前記マスクを作製し、 露光時には、 投影光学系及びステージ動作の少なく とも一方により、 前 記補正の逆の補正を行って、 本来の設計パターンを復元するように露光 することを特徴とする露光方法。
1 0 . 前記回路パターンに対して行った補正の補正量を任意のメディ ァに記録しておき、 露光時には、 記憶しておいた前記補正量を読み出し て、 前記補正の逆の補正を行うことを特徴とする請求の範囲第 8項又は 第 9項に記載の露光方法。
1 1 . 前記回路パターンに対して行った補正の補正量の逆の補正量を 任意のメディアに記録しておき、 露光時には、 記憶しておいた前記逆の 補正量を読み出して、 前記補正の逆の補正を行うことを特徴とする請求 の範囲第 8項又は第 9項に記載の露光方法。
PCT/JP2003/013825 2002-10-30 2003-10-29 マスク、マスクの製造方法及び露光方法 WO2004040626A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003280587A AU2003280587A1 (en) 2002-10-30 2003-10-29 Mask, mask producing method and exposure method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002315661 2002-10-30
JP2002-315661 2002-10-30

Publications (1)

Publication Number Publication Date
WO2004040626A1 true WO2004040626A1 (ja) 2004-05-13

Family

ID=32211663

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/013825 WO2004040626A1 (ja) 2002-10-30 2003-10-29 マスク、マスクの製造方法及び露光方法

Country Status (2)

Country Link
AU (1) AU2003280587A1 (ja)
WO (1) WO2004040626A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006019919A2 (en) * 2004-07-21 2006-02-23 Kla-Tencor Technologies Corp. Computer-implemented methods for generating input for a simulation program for generating a simulated image of a reticle
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07240363A (ja) * 1994-03-02 1995-09-12 Canon Inc 反射型マスクの作製方法と製造装置およびこれを用いた反射型マスク、該反射型マスクを用いた露光装置と半導体デバイス
JPH0915834A (ja) * 1995-06-29 1997-01-17 Hitachi Ltd マスクの製造方法
JPH11176728A (ja) * 1997-12-11 1999-07-02 Nikon Corp フォトマスク製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07240363A (ja) * 1994-03-02 1995-09-12 Canon Inc 反射型マスクの作製方法と製造装置およびこれを用いた反射型マスク、該反射型マスクを用いた露光装置と半導体デバイス
JPH0915834A (ja) * 1995-06-29 1997-01-17 Hitachi Ltd マスクの製造方法
JPH11176728A (ja) * 1997-12-11 1999-07-02 Nikon Corp フォトマスク製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
WO2006019919A2 (en) * 2004-07-21 2006-02-23 Kla-Tencor Technologies Corp. Computer-implemented methods for generating input for a simulation program for generating a simulated image of a reticle
WO2006019919A3 (en) * 2004-07-21 2006-06-01 Kla Tencor Tech Corp Computer-implemented methods for generating input for a simulation program for generating a simulated image of a reticle
US7788629B2 (en) 2004-07-21 2010-08-31 Kla-Tencor Technologies Corp. Systems configured to perform a non-contact method for determining a property of a specimen

Also Published As

Publication number Publication date
AU2003280587A1 (en) 2004-05-25

Similar Documents

Publication Publication Date Title
US6992780B2 (en) Position detecting method and apparatus, exposure apparatus and device manufacturing method
US6841323B2 (en) Mask producing method
US6677088B2 (en) Photomask producing method and apparatus and device manufacturing method
JP4452262B2 (ja) リソグラフィ装置およびデバイス製造方法
US6538740B1 (en) Adjusting method for position detecting apparatus
JP4006217B2 (ja) 露光方法、露光装置及びデバイスの製造方法
JP5743958B2 (ja) 計測方法、露光方法および装置
US7034922B2 (en) Exposure apparatus and exposure method
WO2000028380A1 (fr) Procede et dispositif d&#39;exposition
WO1999050712A1 (fr) Procede et systeme d&#39;exposition, photomasque et son procede de fabrication, micro-composant et son procede de fabrication
WO2008146933A1 (en) Exposure method and electronic device manufacturing method
JP5219534B2 (ja) 露光装置及びデバイスの製造方法
WO1999027567A1 (fr) Procede de detection de marque et capteur de position de marque
US11640118B2 (en) Method of pattern alignment for field stitching
EP2135137A1 (en) Exposure method and electronic device manufacturing method
JPH09199406A (ja) 位置検出装置及びそれを用いた半導体素子の製造方法
JP2004170948A (ja) パターン転写用マスク、マスク作製方法及び露光方法
JP2006019755A (ja) 較正の方法、較正基板、及びデバイス製造の方法
US7545480B2 (en) Reticle, exposure apparatus, and methods for measuring the alignment state thereof
US20070263192A1 (en) Illumination system and a photolithography apparatus employing the system
US20010023918A1 (en) Alignment apparatus, alignment method, exposure apparatus and exposure method
JP2005108934A (ja) 露光装置及び露光方法
US20070017110A1 (en) Surface position measuring method, exposure apparatus, and device manufacturing method
JP2005166778A (ja) 露光装置、デバイスの製造方法
WO2004040626A1 (ja) マスク、マスクの製造方法及び露光方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase