WO2004010480A1 - Appareil et procede permettant d'isoler thermiquement une chambre de chaleur - Google Patents

Appareil et procede permettant d'isoler thermiquement une chambre de chaleur Download PDF

Info

Publication number
WO2004010480A1
WO2004010480A1 PCT/US2002/023799 US0223799W WO2004010480A1 WO 2004010480 A1 WO2004010480 A1 WO 2004010480A1 US 0223799 W US0223799 W US 0223799W WO 2004010480 A1 WO2004010480 A1 WO 2004010480A1
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
interface
substrate
thermally isolating
heat
Prior art date
Application number
PCT/US2002/023799
Other languages
English (en)
Inventor
Emanuel Beer
Kenneth E. Baumel
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to PCT/US2002/023799 priority Critical patent/WO2004010480A1/fr
Priority to JP2004522930A priority patent/JP4510623B2/ja
Priority to CNB028293614A priority patent/CN100428400C/zh
Publication of WO2004010480A1 publication Critical patent/WO2004010480A1/fr

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions

Definitions

  • the present invention generally relates to an apparatus through which a substrate may be transferred between a heat chamber and a second chamber, such as a central transfer chamber, to effect a semiconductor or glass substrate processing regimen.
  • the present invention has application in a broad array of manufacturing processes, leading to improved semiconductors or flat panel display yields. Further, the invention also has application in prolonging the life of equipment used in such manufacturing processes.
  • Semiconductor devices are typically made in highly automated systems. Many of these systems include a central transfer chamber mounted on a monolithic platform. The central transfer chamber transfers semiconductor substrates to one or more specialized chambers or reactors located on the periphery of the transfer chamber. The specialized chambers or reactors are used to conduct the various specialized etching, chemical vapor deposition, diffusion, and annealing processes that are necessary to process the substrate. Similar such equipment is used in the manufacture of flat panel displays, as well as various optical components such as couplers, splitters, filters, array waveguide gratings, Bragg gratings, taps, attenuators, multiplexers, and de-multiplexers. Many of these processes are performed at controlled temperatures and very low pressures.
  • FIG. 1 A illustrates a representative modular architecture 10 for processing substrates.
  • Architecture 10 comprises a central transfer chamber 12 to which are connected load lock/cooling chambers 14A and 14B, each for transferring substrates into system 10, heating chamber 102, and processing chambers 40, 42, 44, and 46.
  • Central fransfer chamber 12, loadlock/cooling chambers 14A and 14B, heating chamber 102, and processing chambers 40, 42, 44, and 46 are sealed together for a closed environment in which the system may be operated at internal pressures considerably less than standard atmospheric pressure.
  • a representative pressure is about 10 "3 Torr.
  • Load lock/cooling chambers 14A and 14B have closable openings comprising load doors 16A and 16B, respectively, on their outside walls for transfer of substrates into system 10.
  • Load lock/cooling chambers 14A and 14B each contain a cassette 17 fitted with a plurality of shelves for supporting and cooling substrates.
  • Cassettes 17 in load lock/cooling chambers 14 are mounted on an elevator assembly (not shown) to raise and lower the cassettes 17 incrementally by the height of one shelf.
  • load door 16A is opened and a substrate 72 is placed on a shelf in cassette 17.
  • the elevator assembly then raises cassette 17 by the height of one shelf so that an empty shelf is opposite load door 16A. Another substrate is placed on that shelf and the process is repeated until all of the shelves of cassette 17 are filled.
  • load door 16A is closed and chamber 14A is evacuated to the pressure in system 10.
  • a slit valve 20A on the inside wall of load lock/cooling chamber 14A adjacent to central transfer chamber 12 is then opened.
  • the substrates are transferred by means of a robot 22 in central transfer chamber 12 to a heating chamber 102 where they are heated to the temperature required for processing operations described below.
  • Robot 22 is controlled by a microprocessor control system (not shown).
  • Robot 22 is used to withdraw a substrate from cassette 17 of load lock/cooling chamber 14A, insert the substrate onto an empty shelf in heating chamber cassette 29 and withdraw, leaving the substrate on a shelf within heating chamber 102.
  • heating chamber cassette 29 is mounted on an elevator assembly within heating chamber 102. After loading one shelf, heating chamber cassette 29 is raised or lowered to present another empty shelf for access by robot 22.
  • Robot 22 then retrieves another substrate from cassette 17 of load lock/cooling chamber 14A.
  • robot 22 transfers all or a portion of the substrates from heating chamber cassette 29 to one of four single substrate processing chambers 40,
  • Processing chambers 40, 42, 44 and 46 are adapted to deposit one or more thin layers onto the substrates.
  • Each of the film chambers 40, 42, 44 and 46 is also fitted on its inner walls 40a, 42a, 44a and 46a, respectively, with a slit valve 41,
  • each hot substrate is transferred to cooling cassette 17 of load lock/cooling chamber 14A, one substrate being placed onto each shelf, with the elevator mechanism raising and lowering cassette 17 to present an empty shelf to transfer robot 22 for each substrate.
  • U.S. Patent No. 4,367,672 Wang, et al. discloses methods of using a plasma to selectively etch holes or trenches in a film layer on a semiconductor substrate.
  • U.S. Patent No. 5,614,055, Fairburn, et al discloses a high density plasma chemical vapor deposition and etching reactor.
  • U.S. Patent No. 5,865,896, Nowak et al discloses a high density plasma chemical vapor deposition reactor with combined inductive and capacitive cooling.
  • U.S. Patent No. 5,108,792, Anderson, et al discloses a double- dome reactor for semiconductor processing.
  • U.S. Patent No. 6,000,227 discloses a representative central transfer chamber that is cooled.
  • a representative commercial embodiment of a vacuum system is the AKT processing system which is available from AKT, Inc., located in Santa Clara, California.
  • An exemplary processing chamber is an AKT 1600 PECVD Chamber, and an exemplary thermal anneal chamber is a rapid thermal anneal chamber, such as a lamp heated thermal anneal chamber. These chambers are available from Applied Materials, Inc.
  • a flat panel display begins with a clean glass substrate.
  • Transistors are formed on the flat panel using film deposition and selective etching techniques. Sequential deposition, photolithography and selective etching of film layers on the substrate create individual transistors on the substrate. These transistors, as well as metallic interconnects, liquid crystal cells and other devices formed on the substrate are then used to create active matrix display screens for flat panel displays.
  • the glass used as the flat panel display subsfrate is different from a semiconductor subsfrate in certain aspects that affect processing and system design.
  • semiconductor fabrication individual devices are formed on the wafer, and the wafer is diced to form multiple individual integrated circuits.
  • the creation of some defective devices on the semiconductor wafer is tolerated, because the die bearing these defective devices are simply discarded once the substrate is cut into individual integrated circuits.
  • individual defective devices must not be removed. Therefore, the number of defective devices created on the flat panel substrate must approach zero. If a substrate is sufficiently large to allow multiple displays to be formed on a single substrate, a defect in any one of the flat panel displays being formed on the flat panel substrate renders the entire substrate useless. Thus, it is important that error rates are minimized in flat panel display fabrication systems.
  • a reason for this significant flow of thermal energy is that the apparatus used to couple the heat chamber or high temperature process chamber to the central transfer chamber in prior art cluster tool systems, or other modular system architectures, is made of machined aluminum or aluminum alloys. Aluminum and aluminum alloys have a high thermal conductivity coefficient. Cenfral transfer chamber exposure to excessive thermal energy raises the ambient temperature of the central transfer chamber. This temperature rise has a deleterious effect on moving parts within the central transfer chamber, such as the robot arm, and significantly reduces the lifetime of such parts.
  • the present invention provides an improved apparatus for connecting a heat chamber or another high temperature process chamber to a second chamber, such as a central transfer chamber, in a closed environment suitable for modular architecture based subsfrate processing in such a manner that heat transfer from the heat chamber or another high temperature process chamber to the second chamber is minimized.
  • the apparatus of the present invention includes a thermally isolating interface, which has a reduced thermal conductivity coefficient, that abuts the second chamber. This thermally isolating interface reduces the amount of heat that is transferred from the heat chamber or other high temperature process chamber to the second chamber.
  • the thermally isolating interface includes one or more recesses so that the surface area between the thermally isolating interface and the second chamber is minimized. Reduction in this surface area, in turn, minimizes thermal transfer between the heat chamber or other high temperature process chamber and the second chamber.
  • the apparatus of the present invention prolongs the life of moving parts in the second chamber, such as the robot arm.
  • the apparatus includes a heating device to prevent heat loss from the second chamber.
  • a heating device to prevent heat loss from the second chamber.
  • maintenance of a uniform temperature within the second chamber is an important requirement.
  • the inclusion of a heating device in the apparatus of the present invention prevents heat loss through the aperture to the second chamber.
  • the inclusion of a heating device in the apparatus of the present invention lowers the potential temperature differential across large substrates that pass through the apparatus. The reduction in temperature differential across the substrate potentially reduces stress on the substrate, particularly in processing regiments that require the substrate to pass into the second chamber several times.
  • One embodiment of the present invention provides an apparatus through which a substrate may be transferred between a first chamber, such as a heat chamber or other high temperature process chamber, and a second chamber, such as a central transfer chamber.
  • the first chamber is maintained at a high temperature relative to the temperature maintained within the second chamber.
  • the apparatus comprises: (i) a passageway for receiving the substrate and (ii) a thermally isolating interface that reduces heat fransfer from the first chamber to the second chamber.
  • the thermally isolating interface has a hole in the face of the interface that abuts a port into the second chamber. The hole has dimensions such that the substrate is transferrable through the interface, thereby allowing for substrate fransfer between the first chamber and the second chamber.
  • the thermally isolating interface is composed of a material having a thermal conductivity coefficient less than that of aluminum, which is about 1536 Btu inch (hr)(ft 2 )(°F). In yet other embodiments, the thermally isolating interface is composed of a material having a thermal conductivity coefficient of less than 1200 Btu inch/(hr)(ft 2 )(°F). In still other embodiments of the present invention, the thermally isolating interface is composed of an austenitic, martensitic steel, or ferritic steel. In one aspect of the present invention, the thermally isolating interface is composed of stainless steel. In one embodiment in accordance with this aspect of the invention, the thermally isolating interface is composed of a stainless steel having a thermal conductivity coefficient of about 106 Btu inch/(hr)(ft 2 )(°F).
  • the face of the thermally isolating interface includes one or more recesses such that an enclosed volume is defined within the recess when the face abuts the port of the second chamber. In some embodiments, this enclosed volume remains empty or is occupied by an insulating material. In general, whatever occupies the enclosed volume has a thermal conductivity coefficient of less than that of aluminum. For example, in one embodiment, the enclosed volume is simply air, which has a thermal conductivity of 0.18 Btu inch/(hr)(ft 2 )(°F). Because whatever occupies the enclosed volume has a thermal conductivity less than that of aluminum, the enclosed volume is referred to herein as a thermally isolating volume.
  • the present invention contemplates a large ' number of different shaped recesses all of which are in accordance with the present invention.
  • the recess is beveled.
  • the shape of the recess is best described in terms of the shape of a cross section of the recess.
  • the shape of the cross section of some recesses in accordance with these embodiments is alternatively defined by a sawtooth pattern, a repeating pattern, a curve or a polynomial equation.
  • the passageway through which the subsfrate is passed includes a heating element for maintaining the passageway at a temperature that is proximate to the temperature of the heat chamber and/or another high temperature process chamber such as a chemical vapor deposition (CVD) chamber.
  • this heating element is a coil wrapped around a ceramic base.
  • the heat from the heating element is distributed by a distribution mechanism such as a reflective surface. In a preferred embodiment, this reflective surface is a parabolic mirror.
  • FIG. 1 A is a plan view of a prior art vacuum system.
  • FIG. IB is a plan view of a prior art heat chamber that includes a prior art apparatus for coupling the heat chamber to a second chamber.
  • FIG. 2 is a top view of a schematic of a system incorporating the present invention.
  • FIG. 3 is a side view of a schematic of a thermally isolating interface in accordance with one embodiment of the present invention.
  • FIG. 4 is a schematic view of a thermally isolating interface in accordance with one embodiment of the present invention.
  • FIG. 5 is a diagrammatic cross-sectional view of a thermally isolating interface in accordance with one embodiment of the present invention.
  • FIG. 32 FIG.
  • FIG. 6 is a diagrammatic cross-sectional view of a thermally isolating interface that features a first embodiment of a shaped recess.
  • FIG. 7 is a diagrammatic cross-sectional view of a thermally isolating interface that features a second embodiment of a shaped recess.
  • FIG. 8 is a diagrammatic cross-sectional view of the passageway of an apparatus of the present invention that includes a heating element and a heat distribution mechanism.
  • FIG. 9 is a diagrammatic cross-sectional view of FIG. 8.
  • the present invention provides an improved apparatus for connecting two chambers in a closed environment.
  • the improved apparatus minimizes heat transfer from the two chambers by including an improved interface.
  • the improved interface is made of a material that has a reduced thermal conductivity coefficient.
  • the interface includes one or more recesses so that the surface area between the interface and the second chamber is minimized. This surface area minimization reduces the amount of thermal energy that is transferred to the second chamber.
  • the apparatus includes a heating device to prevent heat loss near the aperture to the second chamber.
  • FIG. IB discloses a conventional heat chamber 102 with a prior art apparatus
  • FIG. 2 a plan view is disclosed of a schematic of a modular architecture incorporating an apparatus 104 of the present invention.
  • FIG. 2 includes a schematic representation of heat chamber 102, chamber 110, and apparatus 104. While FIG. 2 discloses a heat chamber 102, the apparatus and methods of the present invention may, in fact, be used with any of a number of high temperature process chambers rather than a heat chamber.
  • chamber 102 could be a chemical vapor deposition (CVD) chamber.
  • heat chamber 102 is any form of chamber used to heat a substrate to a specified temperature.
  • heat chamber 102 is a batch-type heat chamber designed for glass substrates, such as that disclosed in U.S. Patent No.
  • heat chamber 102 is a heat chamber designed for silicon substrates.
  • chamber 110 is a central transfer chamber used in semiconductor and or glass substrate processing.
  • a representative transfer chamber is disclosed in U.S. Patent No. 5,512,320, Turner et al.
  • Chamber 110 includes a port 112 with an interface 114.
  • Cenfral transfer chamber 10 is found in such products as the Precision 5000, Endura, Centura, Producer, and Endura SL, which are manufactured and sold by Applied Materials, Inc., located in Santa Clara, California.
  • Apparatus 104 is used to couple heat chamber 102 to chamber 110. Apparatus
  • 104 is composed of a passageway 120 and a thermally isolating interface 108.
  • Interface 114 and thermally isolating interface 108 are bolted and sealed together in such a manner that a closed environment is formed between heat chamber 102 and chamber 110. This closed environment enables heat chamber 102 and chamber 110 to maintain a vacuum.
  • An important advantage of the present invention is that heat fransfer between apparatus 104 and chamber 110 is reduced by forming a thermally isolating interface 108 from a material having thermal conductivity that is less than that of aluminum or aluminum alloy.
  • FIG. 3 a schematic view of thermally isolating interface 108 is depicted.
  • Thermally isolating interface 108 is coupled to passageway 120 and to interface 114 of port 112. Because passageway 120 is communicatively coupled to heat chamber 102, it is at a high temperature t, during normal operation. And because interface 114 is communicatively coupled to port 112 and chamber 110, it is at some lower temperature t 2 . Because t, is higher than t 2 , the direction of heat flow, q, is from passageway 120 to interface 114 and ultimately to chamber 110 through port 112 (FIG. 2).
  • thermally isolating interface 108 is composed of a material having a thermal conductivity coefficient of less than that of aluminum so that heat fransfer between heat chamber 102 and chamber 110 (FIG. 2) is reduced. Accordingly, materials that can be used to make thermally isolating interface 108 include any machinable material that has a thermal conductivity coefficient less than that of aluminum. In some embodiments, the material used to make thermally isolating interface 108 can withstand temperatures up to about 625 °C or more without melting. In other embodiments, the material used to make thermally isolating interface 108 can withstand temperatures, such as about 100°C to about 550°C, without melting.
  • passageway 120 could also be made from material having a thermal conductivity coefficient of less than that of aluminum. Further, in some embodiments, passageway 120 and thermally isolating interface 108 may be a single part.
  • thermally isolating interface 108 includes, platinum, as well as various alloys of iron and chromium including steel with an American Iron and Steel Institute designation of C 1020 (hot-worked) or 304 (sheet).
  • the material used for thermally isolating interface 108 is any common form of stainless steel.
  • FIG. 4 represents a schematic view of thermally isolating interface 108 along line 4-4' shown in FIG. 2, in accordance with one embodiment of the present invention.
  • FIG. 4 represents a face 402 of thermally isolating interface 108 that is bolted onto interface 114 of port 112 (FIG. 2).
  • Face 402 defines a hole 404 in the thermally isolating interface 108 having dimensions such that a subsfrate is transferrable through thermally isolating interface 108.
  • Bolt holes 406 in face 402 serve as holes for bolts (not shown) used to bolt and seal thermally isolating interface 108 to interface 114 of port 112.
  • An important feature of face 402 is the presence of one or more recesses 408.
  • a recess 408 is made by milling a portion of face 402 to form a cavity.
  • a recess 408 has the effect of reducing the amount of surface area on face 402 that comes into contact with interface 114 when face 402 is bolted and sealed onto interface 114 of port 112 (FIG. 2), thus reducing the amount of heat flow Q [Eq. (1)] from heat chamber 102 to chamber 110. While three recesses (408-1, 408-2, and 408-3) are shown in the embodiment illustrated in FIG. 4, it will be appreciated that any number of recesses may be milled into face 402 provided that a closed environment may still be formed when thermally isolating interface 108 is bolted to interface 114 of port 112 (FIG.
  • thermally isolating interface 108 When thermally isolating interface 108 is bolted to interface 114, an enclosed volume is defined by the walls of the recess and the abutting portion of interface 114. In some embodiments, this enclosed volume remains empty or is occupied by an insulating material. In general, whatever occupies the enclosed volume, whether it is an insulating material or air, has a thermal conductivity coefficient of less than that of aluminum, which is about 1536 Btu inch/(hr)(ft 2 )(°F). Therefore, the enclosed volume is referred to herein as a thermally isolating volume. [0048] FIG.
  • each recess 408 reduces the surface area of face 402 that comes into contact with interface 114 (FIG. 2), thereby reducing the amount of heat flow Q [Eq. (1)] from heat chamber 102 to chamber 110.
  • FIG. 6 is a cross-sectional view of recess 408-3 along horizontal line 6-6' of FIG. 4, additional features found in some embodiments of the present invention are shown.
  • FIG. 6 highlights the shape of recess 408-3.
  • recess 408-3 is beveled.
  • a cross-section of recess 408-3 has a shape that can be described as a sawtooth pattern. Such a pattern is illustrated in FIG. 7.
  • recess 408 may have a wide number of different shapes including, but not limited to, any form of repeating pattern, a curve, or a shape determined by a polynomial equation.
  • FIG. 8 illustrates another feature found in some embodiments of the present invention.
  • FIG. 8 is a diagrammatic cross-sectional view of passageway 120 along line 8-8' of FIG. 2.
  • FIG. 8 includes a representation of the position of hole 404 (dashed lines) that is present in thermally isolating interface 108.
  • Representative central transfer chambers such as chamber 110, include a slit valve (not shown) that opens in port 112 when a substrate is exchanged between chamber 110 and 102 (FIG. 2). When this slit value is open, a large amount of heat loss occurs through hole 404 in apparatus 104. This results in a cold spot within passageway 120 of apparatus 104. To alleviate this cold spot, some embodiments, of the present invention include a heating element. This heating element maintains apparatus 104 at a temperature that is proximate to the temperature of heating chamber 102.
  • heating element may maintain apparatus 104 at a temperature between about 40°C to about 550°C, depending on the processes supported by a specific modular architecture.
  • the heating element may maintain apparatus 104 at a temperature from about 50 °C to about 500°C.
  • heating element may maintain apparatus 104 at a temperature from about 70°C to about 300°C.
  • chamber 102 operates at a temperature as high as 550° C and the heating element is operated at a temperature that reduces heat loss from chamber 102.
  • the heating element can maintain apparatus 104 at temperatures in ranges not explicitly mentioned herein and that any such temperature range is within the scope of the present invention so long as the temperature range facilitates a semiconductor or glass processing regimen.
  • heating element 802 shown in FIG. 8 is a representative heating element in accordance with the present invention.
  • heating element 802 is any heating element capable of heating apparatus 104 to a suitable temperature without giving off particulate matter that will damage a subsfrate that is being processed.
  • heating element may be a tungsten coil wrapped around a ceramic base.
  • heating element 802 is cast in metal. Illustrative of such embodiments is the Watlow cast-in or interference fit (IFC) product line.
  • IFC interference fit
  • a heat distribution mechanism is used to distribute heat generated by heating element 802.
  • the heat distribution mechanism is a reflective surface.
  • the reflective surface is a parabolic mirror.
  • FIG. 8 illustrates a parabolic mirror 804 that is used to reflect heat generated from heating element 802.
  • FIG. 9 is a diagrammatic cross-sectional view along line 9-9' of FIG. 8 showing two heating elements 802.
  • an apparatus 104 in accordance with the present invention may have any number of heating elements 802 and the presence of two heating elements 802 in FIG. 9 merely illustrates this point.
  • FIG. 9 further shows how, in cross-sectional view, parabolic mirror 804 distributes heat from heating element 802.
  • an apparatus 104 of the present invention is advantageous because it prevents the apparatus from being a heat sink to the heat chamber or other high temperature process chamber. As a result, apparatus 104 promotes temperature uniformity within the heat chamber or other high temperature process chamber.
  • the teachings of the present invention are not limited to glass substrates or silicon substrates.
  • the apparatuses and methods of the present invention may be used for substrates that include, but are not limited to, glass panels, quartz, silica, fused silica, silicon, and doped silicon, gallium arsenide, as well as any other type of substrate that maybe processed by modular architecture based systems.
  • the methods of the present invention may be used for substrates composed of any of the TH-TV semiconductors.
  • the substrates processed in accordance with the apparatuses and methods of the present invention may be round, rectangular, or any other suitable shape.
  • the subsfrates processed by the present invention are noncircular substrates having an area greater than 400cm 2 .
  • Exemplary substrates include, but are not limited to, rectangular or square substrates used in flat panel display fabrication having dimensions of, for example, about 370 mm x 470 mm or larger. Subsfrates having rectangular dimensions as large as 1 meter x 1.5 meter are contemplated as well.

Abstract

L'invention concerne un appareil à travers lequel on peut transférer un substrat d'une première chambre vers une seconde chambre, la première chambre étant maintenue à une température élevée par rapport à la température ambiante de la seconde chambre. Ledit appareil comprend un passage destiné à recevoir le substrat et une interface isolée thermiquement. Ladite interface permet de réduire le transfert de chaleur de la première chambre vers la seconde chambre, et de transférer le substrat entre l'appareil et la seconde chambre. Cette interface comprend un alésage présentant des dimensions telles que ledit substrat peut être transférer à travers celle-ci.
PCT/US2002/023799 2002-07-24 2002-07-24 Appareil et procede permettant d'isoler thermiquement une chambre de chaleur WO2004010480A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
PCT/US2002/023799 WO2004010480A1 (fr) 2002-07-24 2002-07-24 Appareil et procede permettant d'isoler thermiquement une chambre de chaleur
JP2004522930A JP4510623B2 (ja) 2002-07-24 2002-07-24 加熱チャンバの熱絶縁装置及び方法
CNB028293614A CN100428400C (zh) 2002-07-24 2002-07-24 热隔离加热处理室的设备及方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2002/023799 WO2004010480A1 (fr) 2002-07-24 2002-07-24 Appareil et procede permettant d'isoler thermiquement une chambre de chaleur

Publications (1)

Publication Number Publication Date
WO2004010480A1 true WO2004010480A1 (fr) 2004-01-29

Family

ID=30769034

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/023799 WO2004010480A1 (fr) 2002-07-24 2002-07-24 Appareil et procede permettant d'isoler thermiquement une chambre de chaleur

Country Status (3)

Country Link
JP (1) JP4510623B2 (fr)
CN (1) CN100428400C (fr)
WO (1) WO2004010480A1 (fr)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006021568A1 (fr) * 2004-08-24 2006-03-02 Otb Groep B.V. Procédé en ligne de fabrication de dispositifs électroniques à film mince
WO2008102408A1 (fr) * 2007-02-23 2008-08-28 Universita'degli Studi Milano - Bicocca Procédé d'usinage à plasma atmosphérique pour le traitement de matériaux
EP2293321A1 (fr) * 2009-09-08 2011-03-09 Applied Materials, Inc. Chambres de modularité mécanique
US8429932B2 (en) 2006-07-13 2013-04-30 Jose Lourenco Method for selective extraction of natural gas liquids from “rich” natural gas
US10006695B2 (en) 2012-08-27 2018-06-26 1304338 Alberta Ltd. Method of producing and distributing liquid natural gas
US10077937B2 (en) 2013-04-15 2018-09-18 1304338 Alberta Ltd. Method to produce LNG
US10288347B2 (en) 2014-08-15 2019-05-14 1304338 Alberta Ltd. Method of removing carbon dioxide during liquid natural gas production from natural gas at gas pressure letdown stations
US10571187B2 (en) 2012-03-21 2020-02-25 1304338 Alberta Ltd Temperature controlled method to liquefy gas and a production plant using the method
US10634426B2 (en) 2011-12-20 2020-04-28 1304338 Alberta Ltd Method to produce liquefied natural gas (LNG) at midstream natural gas liquids (NGLs) recovery plants
US10852058B2 (en) 2012-12-04 2020-12-01 1304338 Alberta Ltd. Method to produce LNG at gas pressure letdown stations in natural gas transmission pipeline systems
US11097220B2 (en) 2015-09-16 2021-08-24 1304338 Alberta Ltd. Method of preparing natural gas to produce liquid natural gas (LNG)
US11486636B2 (en) 2012-05-11 2022-11-01 1304338 Alberta Ltd Method to recover LPG and condensates from refineries fuel gas streams

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4672538B2 (ja) * 2005-12-06 2011-04-20 東京エレクトロン株式会社 加熱処理装置
CN107316824B (zh) * 2016-04-22 2020-10-16 北京北方华创微电子装备有限公司 半导体集成加工设备和半导体加工方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294572A (en) * 1989-03-06 1994-03-15 Asm International N.V. Method and apparatus for depositing a layer on a substrate
US5769952A (en) * 1994-06-07 1998-06-23 Tokyo Electron, Ltd. Reduced pressure and normal pressure treatment apparatus
US6284006B1 (en) * 1999-11-15 2001-09-04 Fsi International, Inc. Processing apparatus for microelectronic devices in which polymeric bellows are used to help accomplish substrate transport inside of the apparatus

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3866926A (en) * 1973-03-19 1975-02-18 Mccord Corp Carburetor gasket
US5104694A (en) * 1989-04-21 1992-04-14 Nippon Telephone & Telegraph Corporation Selective chemical vapor deposition of a metallic film on the silicon surface
JPH07201753A (ja) * 1993-12-29 1995-08-04 Nippon Steel Corp 薄膜製造方法およびその装置
JP3011366B2 (ja) * 1995-10-26 2000-02-21 株式会社ノリタケカンパニーリミテド 膜形成素材を含む基板の焼成方法および装置
JP4067633B2 (ja) * 1998-03-06 2008-03-26 東京エレクトロン株式会社 処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294572A (en) * 1989-03-06 1994-03-15 Asm International N.V. Method and apparatus for depositing a layer on a substrate
US5769952A (en) * 1994-06-07 1998-06-23 Tokyo Electron, Ltd. Reduced pressure and normal pressure treatment apparatus
US6284006B1 (en) * 1999-11-15 2001-09-04 Fsi International, Inc. Processing apparatus for microelectronic devices in which polymeric bellows are used to help accomplish substrate transport inside of the apparatus

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8080366B2 (en) 2004-04-23 2011-12-20 Otb Solar B.V. In-line process for making thin film electronic devices
US7354845B2 (en) 2004-08-24 2008-04-08 Otb Group B.V. In-line process for making thin film electronic devices
WO2006021568A1 (fr) * 2004-08-24 2006-03-02 Otb Groep B.V. Procédé en ligne de fabrication de dispositifs électroniques à film mince
US8429932B2 (en) 2006-07-13 2013-04-30 Jose Lourenco Method for selective extraction of natural gas liquids from “rich” natural gas
WO2008102408A1 (fr) * 2007-02-23 2008-08-28 Universita'degli Studi Milano - Bicocca Procédé d'usinage à plasma atmosphérique pour le traitement de matériaux
EP2293321A1 (fr) * 2009-09-08 2011-03-09 Applied Materials, Inc. Chambres de modularité mécanique
WO2011029783A1 (fr) * 2009-09-08 2011-03-17 Applied Materials, Inc. Chambres à modularité mécanique
US10634426B2 (en) 2011-12-20 2020-04-28 1304338 Alberta Ltd Method to produce liquefied natural gas (LNG) at midstream natural gas liquids (NGLs) recovery plants
US10571187B2 (en) 2012-03-21 2020-02-25 1304338 Alberta Ltd Temperature controlled method to liquefy gas and a production plant using the method
US11486636B2 (en) 2012-05-11 2022-11-01 1304338 Alberta Ltd Method to recover LPG and condensates from refineries fuel gas streams
US10006695B2 (en) 2012-08-27 2018-06-26 1304338 Alberta Ltd. Method of producing and distributing liquid natural gas
US10852058B2 (en) 2012-12-04 2020-12-01 1304338 Alberta Ltd. Method to produce LNG at gas pressure letdown stations in natural gas transmission pipeline systems
US10077937B2 (en) 2013-04-15 2018-09-18 1304338 Alberta Ltd. Method to produce LNG
US10288347B2 (en) 2014-08-15 2019-05-14 1304338 Alberta Ltd. Method of removing carbon dioxide during liquid natural gas production from natural gas at gas pressure letdown stations
US11097220B2 (en) 2015-09-16 2021-08-24 1304338 Alberta Ltd. Method of preparing natural gas to produce liquid natural gas (LNG)
US11173445B2 (en) 2015-09-16 2021-11-16 1304338 Alberta Ltd. Method of preparing natural gas at a gas pressure reduction stations to produce liquid natural gas (LNG)

Also Published As

Publication number Publication date
CN100428400C (zh) 2008-10-22
JP4510623B2 (ja) 2010-07-28
CN1639838A (zh) 2005-07-13
JP2005534171A (ja) 2005-11-10

Similar Documents

Publication Publication Date Title
US7208047B2 (en) Apparatus and method for thermally isolating a heat chamber
KR100570559B1 (ko) Cvd용의 평탄한 다수부품의 기판 지지 부재
US6688375B1 (en) Vacuum processing system having improved substrate heating and cooling
WO2004010480A1 (fr) Appareil et procede permettant d'isoler thermiquement une chambre de chaleur
US6530994B1 (en) Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US5881208A (en) Heater and temperature sensor array for rapid thermal processing thermal core
US6933009B2 (en) Thin-film deposition method
US6036782A (en) Shower head
US20030072639A1 (en) Substrate support
EP0608620A1 (fr) Appareillage de revêtement sous vide à haut débit
KR20160006630A (ko) 프로세스 챔버의 기판 업스트림 프리-베이킹 장치 및 방법
US20050279138A1 (en) Method and device for heat treatment
US5936829A (en) Thermally conductive chuck for vacuum processor
JP2000505152A (ja) 真空処理装置のための熱伝導性チャック
KR20050051666A (ko) 열처리 장치
KR20160052749A (ko) 고압 급속 열 처리 장치 및 방법
WO2001041196A1 (fr) Four pour tranche unique chauffe par resistance
US20120082802A1 (en) Power loading substrates to reduce particle contamination
KR20040096496A (ko) 가열된 진공 지지 장치
KR100751627B1 (ko) 가열 챔버의 단열 방법 및 장치
WO2020159729A1 (fr) Chambres de traitement à régulation de température, systèmes de traitement de dispositif électronique et procédés de fabrication
EP1135659B1 (fr) Traitement thermique de substrats pour semi-conducteurs et appareil a cet effet
US11946140B2 (en) Hot showerhead
JPH0793268B2 (ja) プラズマcvd装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004522930

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 20028293614

Country of ref document: CN

Ref document number: 1020057001257

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020057001257

Country of ref document: KR

122 Ep: pct application non-entry in european phase