US20150028446A1 - Wafer dicing with wide kerf by laser scribing and plasma etching hybrid approach - Google Patents

Wafer dicing with wide kerf by laser scribing and plasma etching hybrid approach Download PDF

Info

Publication number
US20150028446A1
US20150028446A1 US14/513,048 US201414513048A US2015028446A1 US 20150028446 A1 US20150028446 A1 US 20150028446A1 US 201414513048 A US201414513048 A US 201414513048A US 2015028446 A1 US2015028446 A1 US 2015028446A1
Authority
US
United States
Prior art keywords
laser
integrated circuits
dicing
semiconductor wafer
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/513,048
Inventor
Wei-Sheng Lei
Brad Eaton
Aparna Iyer
Madhava Rao Yalamanchili
Ajay Kumar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US14/513,048 priority Critical patent/US20150028446A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EATON, BRAD, IYER, APARNA, KUMAR, AJAY, LEI, WEI-SHENG, YALAMANCHILI, MADHAVA RAO
Publication of US20150028446A1 publication Critical patent/US20150028446A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body

Definitions

  • Embodiments of the present invention pertain to the field of semiconductor processing and, in particular, to methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits thereon.
  • integrated circuits are formed on a wafer (also referred to as a substrate) composed of silicon or other semiconductor material.
  • a wafer also referred to as a substrate
  • layers of various materials which are either semiconducting, conducting or insulating are utilized to form the integrated circuits. These materials are doped, deposited and etched using various well-known processes to form integrated circuits.
  • Each wafer is processed to form a large number of individual regions containing integrated circuits known as dice.
  • the wafer is “diced” to separate the individual die from one another for packaging or for use in an unpackaged form within larger circuits.
  • the two main techniques that are used for wafer dicing are scribing and sawing.
  • a diamond tipped scribe is moved across the wafer surface along pre-formed scribe lines. These scribe lines extend along the spaces between the dice. These spaces are commonly referred to as “streets.”
  • the diamond scribe forms shallow scratches in the wafer surface along the streets.
  • Scribing can be used for wafers that are about 10 mils (thousandths of an inch) or less in thickness. For thicker wafers, sawing is presently the preferred method for dicing.
  • a diamond tipped saw rotating at high revolutions per minute contacts the wafer surface and saws the wafer along the streets.
  • the wafer is mounted on a supporting member such as an adhesive film stretched across a film frame and the saw is repeatedly applied to both the vertical and horizontal streets.
  • a supporting member such as an adhesive film stretched across a film frame and the saw is repeatedly applied to both the vertical and horizontal streets.
  • chips and gouges can form along the severed edges of the dice.
  • cracks can form and propagate from the edges of the dice into the substrate and render the integrated circuit inoperative. Chipping and cracking are particularly a problem with scribing because only one side of a square or rectangular die can be scribed in the ⁇ 110> direction of the crystalline structure. Consequently, cleaving of the other side of the die results in a jagged separation line.
  • Plasma dicing has also been used, but may have limitations as well.
  • one limitation hampering implementation of plasma dicing may be cost.
  • a standard lithography operation for patterning resist may render implementation cost prohibitive.
  • Another limitation possibly hampering implementation of plasma dicing is that plasma processing of commonly encountered metals (e.g., copper) in dicing along streets can create production issues or throughput limits.
  • Embodiments of the present invention pertain to the field of semiconductor processing and, in particular, to methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits thereon.
  • a method of dicing a semiconductor wafer including a plurality of integrated circuits separated by dicing streets involves forming a mask above the semiconductor wafer, the mask having a layer covering and protecting the integrated circuits.
  • the method also involves patterning the mask with a laser scribing process to provide a patterned mask having a pair of parallel gaps for each dicing street, exposing regions of the semiconductor wafer between the integrated circuits. Each gap of each pair of parallel gaps is separated by a distance.
  • the method also involves etching the semiconductor wafer through the gaps in the patterned mask to singulate the integrated circuits.
  • an apparatus in an embodiment, includes a plurality of singulated integrated circuits disposed on a dicing tape.
  • the apparatus also includes one or more portions of a semiconductor wafer disposed on the dicing tape, among the singulated integrated circuits, and corresponding to dicing streets of the semiconductor wafer.
  • a method of dicing a silicon substrate including a plurality of integrated circuits separated by dicing streets involves forming a mask above the silicon substrate, the mask including a layer covering and protecting the integrated circuits.
  • the integrated circuits include a layer of silicon dioxide disposed above a layer of low K material and a layer of copper.
  • the method also involves patterning the mask with a laser scribing process to provide a patterned mask having a pair of parallel gaps for each dicing street, exposing regions of the silicon substrate between the integrated circuits. Each gap of each pair of parallel gaps is separated by a distance.
  • the method also involves etching the silicon substrate through the gaps in the patterned mask to singulate the integrated circuits. Etching the silicon substrate through the gaps in the patterned mask to singulate the integrated circuits involves retaining portions of the silicon substrate between each gap of each pair of parallel gaps.
  • FIG. 1 illustrates a top plan of a semiconductor wafer to be diced, in accordance with an embodiment of the present invention.
  • FIG. 2 illustrates a top plan of a semiconductor wafer to be diced that has a dicing mask formed thereon, in accordance with an embodiment of the present invention.
  • FIG. 3A illustrates a cross-sectional view of a semiconductor wafer including a plurality of integrated circuits during performing of a method of dicing the semiconductor wafer, in accordance with an embodiment of the present invention.
  • FIG. 3B illustrates a cross-sectional view of a semiconductor wafer including a plurality of integrated circuits during performing of a method of dicing the semiconductor wafer, in accordance with an embodiment of the present invention.
  • FIG. 3C illustrates a cross-sectional view of a semiconductor wafer including a plurality of integrated circuits during performing of a method of dicing the semiconductor wafer, in accordance with an embodiment of the present invention.
  • FIG. 4A illustrates a conventional laser scribing approach for wide kerf generation.
  • FIG. 4B illustrates a laser scribing approach for wide kerf generation involving scribing of parallel gaps separated by a distance, in accordance with an embodiment of the present invention.
  • FIG. 5 illustrates the effects of using a laser pulse in the femtosecond range versus longer pulse times, in accordance with an embodiment of the present invention.
  • FIG. 6 illustrates a cross-sectional view of a stack of materials that may be used in a street region of a semiconductor wafer or substrate, in accordance with an embodiment of the present invention.
  • FIG. 7 includes a plot of absorption coefficient as a function of photon energy for crystalline silicon (c-Si), copper (Cu), crystalline silicon dioxide (c-SiO2), and amorphous silicon dioxide (a-SiO2), in accordance with an embodiment of the present invention.
  • FIG. 8 is an equation showing the relationship of laser intensity for a given laser as a function of laser pulse energy, laser pulse width, and laser beam radius.
  • FIGS. 9A-9D illustrate cross-sectional views of various operations in a method of dicing a semiconductor wafer, in accordance with an embodiment of the present invention.
  • FIG. 10 illustrates a layout of dies separated by a narrow street.
  • FIG. 11 illustrates a layout of dies separated by a wide street, in accordance with an embodiment of the present invention.
  • FIG. 12 illustrates a block diagram of a tool layout for laser and plasma dicing of wafers or substrates, in accordance with an embodiment of the present invention.
  • FIG. 13 illustrates a block diagram of an exemplary computer system, in accordance with an embodiment of the present invention.
  • a hybrid wafer or substrate dicing process involving an initial laser scribe and subsequent plasma etch may be implemented for die singulation.
  • the laser scribe process may be used to cleanly remove a mask layer, organic and inorganic dielectric layers, and device layers.
  • the laser etch process may then be terminated upon exposure of, or partial etch of, the wafer or substrate.
  • the plasma etch portion of the dicing process may then be employed to etch through the bulk of the wafer or substrate, such as through bulk single crystalline silicon, to yield die or chip singulation or dicing.
  • one or more embodiments are directed to approaches for wafer dicing with wide kerf by using a laser scribing and plasma etching hybrid approach.
  • embodiments may be directed to a method for laser scribing and plasma etching to obtain wide kerf to address, e.g., a need for wider streets between separated dies.
  • the laser beam removes the mask layer, the passivation layer, and device layers and expose silicon substrate for subsequent plasma etching.
  • a narrow kerf such as 10-15 microns may be preferred for laser scribing throughput purposes.
  • a wide kerf such as 50-85 microns wide kerf. Due to limited availability of laser power, it may not be possible to use a laser beam with a large focus spot for scribing a single pass in order to obtain such a wide kerf. Instead, typically, a smaller laser spot is used to scribe several times in parallel in order to achieve to a wide opening. Such an approach, however, may not provide for an acceptable throughput.
  • conventional wafer dicing approaches include diamond saw cutting based on a purely mechanical separation, initial laser scribing and subsequent diamond saw dicing, or nanosecond or picosecond laser dicing.
  • thin wafer or substrate singulation such as 50 microns thick bulk silicon singulation
  • the conventional approaches have yielded only poor process quality.
  • Some of the challenges that may be faced when singulating die from thin wafers or substrates may include microcrack formation or delamination between different layers, chipping of inorganic dielectric layers, retention of strict kerf width control, or precise ablation depth control.
  • Embodiments of the present invention include a hybrid laser scribing and plasma etching die singulation approach that may be useful for overcoming one or more of the above challenges.
  • a combination of laser scribing and plasma etching is used to dice a semiconductor wafer into individualized or singulated integrated circuits.
  • a femtosecond-based laser scribing is used as an essentially, if not totally, non-thermal process.
  • the femtosecond-based laser scribing may be localized with no or negligible heat damage zone.
  • approaches herein are used to singulated integrated circuits having ultra-low k films. With convention dicing, saws may need to be slowed down to accommodate such low k films.
  • semiconductor wafers are now often thinned prior to dicing.
  • a combination of mask patterning and partial wafer scribing with a femtosecond-based laser, followed by a plasma etch process is now practical.
  • direct writing with laser can eliminate need for a lithography patterning operation of a photo-resist layer and can be implemented with very little cost.
  • through-via type silicon etching is used to complete the dicing process in a plasma etching environment.
  • FIG. 1 illustrates a top plan of a semiconductor wafer to be diced, in accordance with an embodiment of the present invention.
  • FIG. 2 illustrates a top plan of a semiconductor wafer to be diced that has a dicing mask formed thereon, in accordance with an embodiment of the present invention.
  • a semiconductor wafer 100 has a plurality of regions 102 that include integrated circuits.
  • the regions 102 are separated by vertical streets 104 and horizontal streets 106 .
  • the streets 104 and 106 are areas of semiconductor wafer that do not contain integrated circuits and are designed as locations along which the wafer will be diced.
  • Some embodiments of the present invention involve the use of a combination femtosecond-based laser scribe and plasma etch technique to cut trenches through the semiconductor wafer along the streets such that the dice are separated into individual chips or die. Since both a laser scribe and a plasma etch process are crystal structure orientation independent, the crystal structure of the semiconductor wafer to be diced may be immaterial to achieving a vertical trench through the wafer.
  • the semiconductor wafer 100 has a mask 200 deposited upon the semiconductor wafer 100 .
  • the mask is deposited in a conventional manner to achieve an approximately 4-10 micron thick layer.
  • the mask 200 and a portion of the semiconductor wafer 100 are patterned with a laser scribing process to define the locations (e.g., gaps 202 and 204 ) along the streets 104 and 106 where the semiconductor wafer 100 will be diced.
  • the integrated circuit regions of the semiconductor wafer 100 are covered and protected by the mask 200 .
  • the regions 206 of the mask 200 are positioned such that during a subsequent etching process, the integrated circuits are not degraded by the etch process.
  • Horizontal gaps 204 and vertical gaps 202 are formed between the regions 206 to define the areas that will be etched during the etching process to finally dice the semiconductor wafer 100 .
  • FIGS. 3A-3C illustrate cross-sectional views of a semiconductor wafer including a plurality of integrated circuits during performing of a method of dicing the semiconductor wafer, in accordance with an embodiment of the present invention.
  • FIGS. 3A-3C are provided to illustrate a general context for embodiments of the present invention.
  • FIGS. 4A and 4B illustrate a more specific aspect of one or more embodiments of the present invention.
  • a mask 302 is formed above a semiconductor wafer or substrate 304 .
  • the mask 302 is composed of a layer covering and protecting integrated circuits 306 formed on the surface of semiconductor wafer 304 .
  • the mask 302 also covers intervening streets 307 formed between each of the integrated circuits 306 .
  • the mask 402 is patterned with a laser scribing process to provide a patterned mask 308 with gaps 310 , exposing regions of the semiconductor wafer or substrate 304 between the integrated circuits 306 .
  • the laser scribing process is used to remove the material of the streets 307 originally formed between the integrated circuits 306 .
  • patterning the mask 302 with the laser scribing process includes forming trenches 312 partially into the regions of the semiconductor wafer 304 between the integrated circuits 306 , as depicted in FIG. 3B .
  • etching the semiconductor wafer 304 includes etching the trenches 312 formed with the laser scribing process to ultimately etch entirely through semiconductor wafer 304 , as depicted in FIG. 3C .
  • FIGS. 3A-3C illustrates very generally a laser scribing and plasma dicing hybrid approach. More particularly, for wide street dicing, many laser scribes may need to be performed within a given street in order to obtain a targeted cumulative kerf.
  • FIG. 4A illustrates a conventional laser scribing approach for wide kerf generation. Referring to the left-hand portion of FIG. 4A , a plurality of dies 400 A (die 1-4) are separated by streets 402 A and 404 A, each having a die street width W. The width W is much wider than a workable spot size for a laser scribing process.
  • the top cross-sectional view 410 A is shown post scribing to form a plurality of laser scribe lines 412 A.
  • the bottom cross-sectional view 414 A is shown post subsequent plasma etching to form etched trench 416 A.
  • FIG. 4B illustrates a laser scribing approach for wide kerf generation involving scribing of parallel gaps separated by a distance, in accordance with an embodiment of the present invention.
  • a plurality of dies 400 B (die 1-4) are separated by streets 402 B and 404 B, each having a die street width W.
  • the width W is much wider than a workable spot size for a laser scribing process.
  • a pair of parallel gaps (pair 406 B and pair 408 B) is scribed for each dicing street. Referring to the right-hand portion of FIG.
  • the top cross-sectional view 410 B is shown post scribing, revealing the pair of scribed parallel gaps 412 B.
  • the bottom cross-sectional view 414 B is shown post subsequent plasma etching to form a pair 416 B of etched trenches. Since the parallel gaps of the pair 416 B are separated by a distance (D), remaining material 450 may be present following the plasma etch. It is to be understood that, upon dicing, such remaining material is separated from the singulated dies. As such, in one embodiment, the remaining material can be retrieved from, e.g., dicing tape post die-pick.
  • a smaller laser focus spot (e.g., 10 um) is to scribe two separated lines in parallel either sequentially or two split beams are used simultaneously.
  • the separation distance of the two scribed lines should yield the required final kerf width.
  • Post laser scribing the wafer is etched through these two scribed lines.
  • each gap of each pair of parallel gaps is formed at the same time using a split laser beam.
  • each gap of each pair of parallel gaps is formed at the same time using a two laser beams.
  • each gap of each pair of parallel gaps is formed sequentially. In any case, the overall approach provides for wide kerf generation.
  • a narrow kerf such as 10-15 microns may be preferred for laser scribing throughput purposes.
  • a wide kerf such as 50-85 microns wide kerf.
  • a total width of the distance plus the width of each gap of each pair of parallel gaps is approximately in the range of 50-85 microns.
  • the width of each gap of each pair of parallel gaps is approximately in the range of 10-15 microns.
  • an underlying dicing tape is expanded and dies are picked.
  • the portion between the two separated ecthed trenched will remain on the dicing tape which can be either collected or disposed.
  • the wide kerf requirement is satisfied.
  • the above described approach can have the significant throughput advantage over conventional approaches.
  • forming the mask 302 includes forming a layer such as, but not limited to, a photo-resist layer or an I-line patterning layer.
  • a layer such as, but not limited to, a photo-resist layer or an I-line patterning layer.
  • a polymer layer such as a photo-resist layer may be composed of a material otherwise suitable for use in a lithographic process.
  • the photo-resist layer is composed of a positive photo-resist material such as, but not limited to, a 248 nanometer (nm) resist, a 193 nm resist, a 157 nm resist, an extreme ultra-violet (EUV) resist, or a phenolic resin matrix with a diazonaphthoquinone sensitizer.
  • the photo-resist layer is composed of a negative photo-resist material such as, but not limited to, poly-cis-isoprene and poly-vinyl-cinnamate.
  • non-photosensitive masking layers are used as mask 302 , e.g., polymeric layers that may be deposited or spun-on and are not necessarily amenable to photolithographic patterning.
  • mask 302 includes a water-soluble material layer.
  • semiconductor wafer or substrate 304 is composed of a material suitable to withstand a fabrication process and upon which semiconductor processing layers may suitably be disposed.
  • semiconductor wafer or substrate 304 is composed of a group IV-based material such as, but not limited to, crystalline silicon, germanium or silicon/germanium.
  • providing semiconductor wafer 304 includes providing a monocrystalline silicon substrate.
  • the monocrystalline silicon substrate is doped with impurity atoms.
  • semiconductor wafer or substrate 304 is composed of a III-V material such as, e.g., a III-V material substrate used in the fabrication of light emitting diodes (LEDs).
  • LEDs light emitting diodes
  • semiconductor wafer or substrate 304 has disposed thereon or therein, as a portion of the integrated circuits 306 , an array of semiconductor devices.
  • semiconductor devices include, but are not limited to, memory devices or complimentary metal-oxide-semiconductor (CMOS) transistors fabricated in a silicon substrate and encased in a dielectric layer.
  • CMOS complimentary metal-oxide-semiconductor
  • a plurality of metal interconnects may be formed above the devices or transistors, and in surrounding dielectric layers, and may be used to electrically couple the devices or transistors to form the integrated circuits 306 .
  • Materials making up the streets 307 may be similar to or the same as those materials used to form the integrated circuits 306 .
  • streets 307 may be composed of layers of dielectric materials, semiconductor materials, and metallization.
  • one or more of the streets 307 includes test devices similar to the actual devices of the integrated circuits 306 .
  • patterning the mask 306 with the laser scribing process includes using a laser having a pulse width in the femtosecond range.
  • a laser with a wavelength in the visible spectrum plus the ultra-violet (UV) and infra-red (IR) ranges (totaling a broadband optical spectrum) may be used to provide a femtosecond-based laser, i.e., a laser with a pulse width on the order of the femtosecond (10 ⁇ 15 seconds).
  • ablation is not, or is essentially not, wavelength dependent and is thus suitable for complex films such as films of the mask 302 , the streets 307 and, possibly, a portion of the semiconductor wafer or substrate 304 .
  • FIG. 5 illustrates the effects of using a laser pulse in the femtosecond range versus longer frequencies, in accordance with an embodiment of the present invention.
  • a laser with a pulse width in the femtosecond range heat damage issues are mitigated or eliminated (e.g., minimal to no damage 502 C with femtosecond processing of a via 500 C) versus longer pulse widths (e.g., damage 502 B with picosecond processing of a via 500 B and significant damage 502 A with nanosecond processing of a via 500 A).
  • the elimination or mitigation of damage during formation of via 500 C may be due to a lack of low energy recoupling (as is seen for picosecond-based laser ablation) or thermal equilibrium (as is seen for nanosecond-based laser ablation), as depicted in FIG. 5 .
  • Laser parameters selection may be critical to developing a successful laser scribing and dicing process that minimizes chipping, microcracks and delamination in order to achieve clean laser scribe cuts.
  • many functional layers of different material types e.g., conductors, insulators, semiconductors
  • thicknesses are typically disposed thereon.
  • Such materials may include, but are not limited to, organic materials such as polymers, metals, or inorganic dielectrics such as silicon dioxide and silicon nitride.
  • FIG. 6 illustrates a cross-sectional view of a stack of materials that may be used in a street region of a semiconductor wafer or substrate, in accordance with an embodiment of the present invention.
  • a street region 600 includes the top portion 602 of a silicon substrate, a first silicon dioxide layer 604 , a first etch stop layer 606 , a first low K dielectric layer 608 (e.g., having a dielectric constant of less than the dielectric constant of 4.0 for silicon dioxide), a second etch stop layer 610 , a second low K dielectric layer 612 , a third etch stop layer 614 , an undoped silica glass (USG) layer 616 , a second silicon dioxide layer 618 , and a layer of photo-resist 620 , with relative thicknesses depicted.
  • a street region 600 includes the top portion 602 of a silicon substrate, a first silicon dioxide layer 604 , a first etch stop layer 606 , a first low K dielectric layer 608 (e.g., having a dielectric constant of less than the dielectric constant of 4.0 for silicon dioxide), a second etch stop layer 610 , a second low K dielectric layer 6
  • Copper metallization 622 is disposed between the first and third etch stop layers 606 and 614 and through the second etch stop layer 610 .
  • the first, second and third etch stop layers 606 , 610 and 614 are composed of silicon nitride, while low K dielectric layers 608 and 612 are composed of a carbon-doped silicon oxide material.
  • the materials of street 600 behave quite differently in terms of optical absorption and ablation mechanisms.
  • dielectrics layers such as silicon dioxide, is essentially transparent to all commercially available laser wavelengths under normal conditions.
  • metals, organics (e.g., low K materials) and silicon can couple photons very easily, particularly in response to nanosecond-based or picosecond-based laser irradiation.
  • FIG. 1 For example, FIG. 1
  • FIG. 7 includes a plot 700 of absorption coefficient as a function of photon energy for crystalline silicon (c-Si, 702 ), copper (Cu, 704 ), crystalline silicon dioxide (c-SiO2, 706 ), and amorphous silicon dioxide (a-SiO2, 708 ), in accordance with an embodiment of the present invention.
  • FIG. 8 is an equation 800 showing the relationship of laser intensity for a given laser as a function of laser pulse energy, laser pulse width, and laser beam radius.
  • parameters for a femtosecond laser-based process may be selected to have an essentially common ablation effect on the inorganic and organic dielectrics, metals, and semiconductors even though the general energy absorption characteristics of such materials may differ widely under certain conditions.
  • the absorptivity of silicon dioxide is non-linear and may be brought more in-line with that of organic dielectrics, semiconductors and metals under the appropriate laser ablation parameters.
  • a high intensity and short pulse width femtosecond-based laser process is used to ablate a stack of layers including a silicon dioxide layer and one or more of an organic dielectric, a semiconductor, or a metal.
  • pulses of approximately less than or equal to 400 femtoseconds are used in a femtosecond-based laser irradiation process to remove a mask, a street, and a portion of a silicon substrate.
  • a laser ablation process may cause delamination issues.
  • a laser penetrate through high bandgap energy dielectrics (such as silicon dioxide with an approximately of 9 eV bandgap) without measurable absorption.
  • the laser energy may be absorbed in an underlying metal or silicon layer, causing significant vaporization of the metal or silicon layers. The vaporization may generate high pressures to lift-off the overlying silicon dioxide dielectric layer and potentially causing severe interlayer delamination and microcracking.
  • ionization of the dielectric materials may need to occur such that they behave similar to a conductive material by strongly absorbing photons.
  • the absorption may block a majority of the laser energy from penetrating through to underlying silicon or metal layers before ultimate ablation of the dielectric layer.
  • ionization of inorganic dielectrics is feasible when the laser intensity is sufficiently high to initiate photon-ionization and impact ionization in the inorganic dielectric materials.
  • suitable femtosecond-based laser processes are characterized by a high peak intensity (irradiance) that usually leads to nonlinear interactions in various materials.
  • the femtosecond laser sources have a pulse width approximately in the range of 10 femtoseconds to 500 femtoseconds, although preferably in the range of 100 femtoseconds to 400 femtoseconds.
  • the femtosecond laser sources have a wavelength approximately in the range of 1570 nanometers to 200 nanometers, although preferably in the range of 540 nanometers to 250 nanometers.
  • the laser and corresponding optical system provide a focal spot at the work surface approximately in the range of 3 microns to 15 microns, though preferably approximately in the range of 5 microns to 10 microns or between 10-15 microns.
  • the spacial beam profile at the work surface may be a single mode (Gaussian) or have a shaped top-hat profile.
  • the laser source has a pulse repetition rate approximately in the range of 200 kHz to 10 MHz, although preferably approximately in the range of 500 kHz to 5 MHz.
  • the laser source delivers pulse energy at the work surface approximately in the range of 0.5 uJ to 100 uJ, although preferably approximately in the range of 1 uJ to 5 uJ.
  • the laser scribing process runs along a work piece surface at a speed approximately in the range of 500 mm/sec to 5 m/sec, although preferably approximately in the range of 600 mm/sec to 2 m/sec.
  • the scribing process may be run in single pass only, or in multiple passes, but, in an embodiment, preferably 1-2 passes.
  • the scribing depth in the work piece is approximately in the range of 5 microns to 50 microns deep, preferably approximately in the range of 10 microns to 20 microns deep.
  • the laser may be applied either in a train of single pulses at a given pulse repetition rate or a train of pulse bursts.
  • the kerf width of the laser beam generated is approximately in the range of 2 microns to 15 microns, although in silicon wafer scribing/dicing preferably approximately in the range of 6 microns to 10 microns, measured at the device/silicon interface.
  • Laser parameters may be selected with benefits and advantages such as providing sufficiently high laser intensity to achieve ionization of inorganic dielectrics (e.g., silicon dioxide) and to minimize delamination and chipping caused by underlayer damage prior to direct ablation of inorganic dielectrics. Also, parameters may be selected to provide meaningful process throughput for industrial applications with precisely controlled ablation width (e.g., kerf width) and depth. As described above, a femtosecond-based laser is far more suitable to providing such advantages, as compared with picosecond-based and nanosecond-based laser ablation processes. However, even in the spectrum of femtosecond-based laser ablation, certain wavelengths may provide better performance than others.
  • inorganic dielectrics e.g., silicon dioxide
  • parameters may be selected to provide meaningful process throughput for industrial applications with precisely controlled ablation width (e.g., kerf width) and depth.
  • ablation width e.g., kerf width
  • a femtosecond-based laser is far more
  • a femtosecond-based laser process having a wavelength closer to or in the UV range provides a cleaner ablation process than a femtosecond-based laser process having a wavelength closer to or in the IR range.
  • a femtosecond-based laser process suitable for semiconductor wafer or substrate scribing is based on a laser having a wavelength of approximately less than or equal to 540 nanometers.
  • pulses of approximately less than or equal to 400 femtoseconds of the laser having the wavelength of approximately less than or equal to 540 nanometers are used.
  • dual laser wavelengths e.g., a combination of an IR laser and a UV laser
  • etching the semiconductor wafer 304 includes using a plasma etching process.
  • a through-silicon via type etch process is used.
  • the etch rate of the material of semiconductor wafer 304 is greater than 25 microns per minute.
  • An ultra-high-density plasma source may be used for the plasma etching portion of the die singulation process.
  • An example of a process chamber suitable to perform such a plasma etch process is the Applied Centura® SilviaTM Etch system available from Applied Materials of Sunnyvale, Calif., USA.
  • the Applied Centura® SilviaTM Etch system combines the capacitive and inductive RF coupling, which gives much more independent control of the ion density and ion energy than was possible with the capacitive coupling only, even with the improvements provided by magnetic enhancement.
  • This combination enables effective decoupling of the ion density from ion energy, so as to achieve relatively high density plasmas without the high, potentially damaging, DC bias levels, even at very low pressures. This results in an exceptionally wide process window.
  • any plasma etch chamber capable of etching silicon may be used.
  • a deep silicon etch is used to etch a single crystalline silicon substrate or wafer 404 at an etch rate greater than approximately 40% of conventional silicon etch rates while maintaining essentially precise profile control and virtually scallop-free sidewalls.
  • a through-silicon via type etch process is used. The etch process is based on a plasma generated from a reactive gas, which generally a fluorine-based gas such as SF 6 , C 4 F 8 , CHF 3 , XeF 2 , or any other reactant gas capable of etching silicon at a relatively fast etch rate.
  • the mask layer 308 is removed after the singulation process, as depicted in FIG. 3C .
  • wafer dicing may be preformed by initial laser ablation through a mask layer, through wafer streets (including metallization), and partially into a silicon substrate.
  • the laser pulse width may be selected in the femtosecond range.
  • Die singulation may then be completed by subsequent through-silicon deep plasma etching.
  • FIGS. 9A-9D A specific example of a materials stack for dicing is described below in association with FIGS. 9A-9D , in accordance with an embodiment of the present invention. It is to be understood that although for illustrative purposes, one gap is shown as formed in a street between adjacent dies, in accordance with an embodiment of the present invention, a pair of parallel gaps is formed in each street.
  • a materials stack for hybrid laser ablation and plasma etch dicing includes a mask layer 902 , a device layer 904 , and a substrate 906 .
  • the mask layer, device layer, and substrate are disposed above a die attach film 908 which is affixed to a backing tape 910 .
  • the mask layer 902 is a photo-resist layer such as the photo-resist layers described above in association with mask 402 .
  • the device layer 904 includes an inorganic dielectric layer (such as silicon dioxide) disposed above one or more metal layers (such as copper layers) and one or more low K dielectric layers (such as carbon-doped oxide layers).
  • the device layer 904 also includes streets arranged between integrated circuits, the streets including the same or similar layers to the integrated circuits.
  • the substrate 906 is a bulk single-crystalline silicon substrate.
  • the bulk single-crystalline silicon substrate 906 is thinned from the backside prior to being affixed to the die attach film 908 .
  • the thinning may be performed by a backside grind process.
  • the bulk single-crystalline silicon substrate 906 is thinned to a thickness approximately in the range of 50-100 microns. It is important to note that, in an embodiment, the thinning is performed prior to a laser ablation and plasma etch dicing process.
  • the photo-resist layer 902 has a thickness of approximately 5 microns and the device layer 904 has a thickness approximately in the range of 2-3 microns.
  • the die attach film 908 (or any suitable substitute capable of bonding a thinned or thin wafer or substrate to the backing tape 910 ) has a thickness of approximately 20 microns.
  • the mask 902 , the device layer 904 and a portion of the substrate 906 are patterned with a femtosecond-based laser scribing process 912 to form trenches 914 in the substrate 906 .
  • a through-silicon deep plasma etch process 916 is used to extend the trench 914 down to the die attach film 908 , exposing the top portion of the die attach film 908 and singulating the silicon substrate 906 .
  • the device layer 904 is protected by the photo-resist layer 902 during the through-silicon deep plasma etch process 916 .
  • the singulation process may further include patterning the die attach film 908 , exposing the top portion of the backing tape 910 and singulating the die attach film 908 .
  • the die attach film is singulated by a laser process or by an etch process. Further embodiments may include subsequently removing the singulated portions of substrate 906 (e.g., as individual integrated circuits) from the backing tape 910 .
  • the singulated die attach film 908 is retained on the back sides of the singulated portions of substrate 906 .
  • Other embodiments may include removing the masking photo-resist layer 902 from the device layer 904 .
  • the laser ablation process 912 is used to completely singulate substrate 906 without the use of an additional plasma process.
  • the masking photo-resist layer 902 is removed from the device layer 904 .
  • the singulated integrated circuits are removed from the backing tape 910 for packaging.
  • the patterned die attach film 908 is retained on the backside of each integrated circuit and included in the final packaging.
  • the patterned die attach film 908 is removed during or subsequent to the singulation process.
  • the dies may be separated by streets having a width (W) of approximately 50 microns or greater. Such a width may be greater than a usable kerf for a laser scribing process.
  • FIG. 10 illustrates a layout 1000 of dies separated by a narrow street. The street may be scribed by using a pass of a laser having a small spot size, e.g., a narrow kerf.
  • FIG. 11 illustrates a layout 1100 of dies separated by a wide street, in accordance with an embodiment of the present invention.
  • a usable spot size or laser kerf may be too narrow and, as such, two parallel gaps are formed, as described in association with FIG. 4B .
  • FIG. 12 illustrates a block diagram of a tool layout for laser and plasma dicing of wafers or substrates, in accordance with an embodiment of the present invention.
  • a process tool 1200 includes a factory interface 1202 (FI) having a plurality of load locks 1204 coupled therewith.
  • a cluster tool 1206 is coupled with the factory interface 1202 .
  • the cluster tool 1206 includes one or more plasma etch chambers, such as plasma etch chamber 1208 .
  • a laser scribe apparatus 1210 is also coupled to the factory interface 1202 .
  • the overall footprint of the process tool 1200 may be, in one embodiment, approximately 3500 millimeters (3.5 meters) by approximately 3800 millimeters (3.8 meters), as depicted in FIG. 12 .
  • the laser scribe apparatus 1210 houses a femtosecond-based laser.
  • the femtosecond-based laser is suitable for performing a laser ablation portion of a hybrid laser and etch singulation process, such as the laser abalation processes described above.
  • a moveable stage is also included in laser scribe apparatus 1200 , the moveable stage configured for moving a wafer or substrate (or a carrier thereof) relative to the femtosecond-based laser.
  • the femtosecond-based laser is also moveable.
  • the overall footprint of the laser scribe apparatus 1210 may be, in one embodiment, approximately 2240 millimeters by approximately 1270 millimeters, as depicted in FIG. 12 . It is to be understood, however, in other embodiments, a nano- or pico-second based laser is used.
  • the one or more plasma etch chambers 1208 is configured for etching a wafer or substrate through the gaps in a patterned mask to singulate a plurality of integrated circuits.
  • the one or more plasma etch chambers 1208 is configured to perform a deep silicon etch process.
  • the one or more plasma etch chambers 1208 is an Applied Centura® SilviaTM Etch system, available from Applied Materials of Sunnyvale, Calif., USA.
  • the etch chamber may be specifically designed for a deep silicon etch used to create singulate integrated circuits housed on or in single crystalline silicon substrates or wafers.
  • a high-density plasma source is included in the plasma etch chamber 1208 to facilitate high silicon etch rates.
  • more than one etch chamber is included in the cluster tool 1206 portion of process tool 1200 to enable high manufacturing throughput of the singulation or dicing process.
  • the factory interface 1202 may be a suitable atmospheric port to interface between an outside manufacturing facility with laser scribe apparatus 1210 and cluster tool 1206 .
  • the factory interface 1202 may include robots with arms or blades for transferring wafers (or carriers thereof) from storage units (such as front opening unified pods) into either cluster tool 1206 or laser scribe apparatus 1210 , or both.
  • Cluster tool 1206 may include other chambers suitable for performing functions in a method of singulation.
  • a deposition chamber 1212 in place of an additional etch chamber, is included.
  • the deposition chamber 1212 may be configured for mask deposition on or above a device layer of a wafer or substrate prior to laser scribing of the wafer or substrate.
  • the deposition chamber 1212 is suitable for depositing a photo-resist layer.
  • a wet/dry station 1214 is included in place of an additional etch chamber.
  • the wet/dry station may be suitable for cleaning residues and fragments, or for removing a mask, subsequent to a laser scribe and plasma etch singulation process of a substrate or wafer.
  • a metrology station is also included as a component of process tool 1200 .
  • Embodiments of the present invention may be provided as a computer program product, or software, that may include a machine-readable medium having stored thereon instructions, which may be used to program a computer system (or other electronic devices) to perform a process according to embodiments of the present invention.
  • the computer system is coupled with process tool 1200 described in association with FIG. 12 .
  • a machine-readable medium includes any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computer).
  • a machine-readable (e.g., computer-readable) medium includes a machine (e.g., a computer) readable storage medium (e.g., read only memory (“ROM”), random access memory (“RAM”), magnetic disk storage media, optical storage media, flash memory devices, etc.), a machine (e.g., computer) readable transmission medium (electrical, optical, acoustical or other form of propagated signals (e.g., infrared signals, digital signals, etc.)), etc.
  • FIG. 13 illustrates a diagrammatic representation of a machine in the exemplary form of a computer system 1300 within which a set of instructions, for causing the machine to perform any one or more of the methodologies described herein, may be executed.
  • the machine may be connected (e.g., networked) to other machines in a Local Area Network (LAN), an intranet, an extranet, or the Internet.
  • LAN Local Area Network
  • the machine may operate in the capacity of a server or a client machine in a client-server network environment, or as a peer machine in a peer-to-peer (or distributed) network environment.
  • the machine may be a personal computer (PC), a tablet PC, a set-top box (STB), a Personal Digital Assistant (PDA), a cellular telephone, a web appliance, a server, a network router, switch or bridge, or any machine capable of executing a set of instructions (sequential or otherwise) that specify actions to be taken by that machine.
  • PC personal computer
  • PDA Personal Digital Assistant
  • STB set-top box
  • WPA Personal Digital Assistant
  • the exemplary computer system 1300 includes a processor 1302 , a main memory 1304 (e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM) such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), etc.), a static memory 1306 (e.g., flash memory, static random access memory (SRAM), etc.), and a secondary memory 1318 (e.g., a data storage device), which communicate with each other via a bus 1330 .
  • main memory 1304 e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM) such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), etc.
  • DRAM dynamic random access memory
  • SDRAM synchronous DRAM
  • RDRAM Rambus DRAM
  • static memory 1306 e.g., flash memory, static random access memory (SRAM), etc.
  • secondary memory 1318 e.g., a data storage device
  • Processor 1302 represents one or more general-purpose processing devices such as a microprocessor, central processing unit, or the like. More particularly, the processor 1302 may be a complex instruction set computing (CISC) microprocessor, reduced instruction set computing (RISC) microprocessor, very long instruction word (VLIW) microprocessor, processor implementing other instruction sets, or processors implementing a combination of instruction sets. Processor 1302 may also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like. Processor 1302 is configured to execute the processing logic 1326 for performing the operations described herein.
  • CISC complex instruction set computing
  • RISC reduced instruction set computing
  • VLIW very long instruction word
  • Processor 1302 may also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like.
  • the computer system 1300 may further include a network interface device 1308 .
  • the computer system 1300 also may include a video display unit 1310 (e.g., a liquid crystal display (LCD), a light emitting diode display (LED), or a cathode ray tube (CRT)), an alphanumeric input device 1312 (e.g., a keyboard), a cursor control device 1314 (e.g., a mouse), and a signal generation device 1316 (e.g., a speaker).
  • a video display unit 1310 e.g., a liquid crystal display (LCD), a light emitting diode display (LED), or a cathode ray tube (CRT)
  • an alphanumeric input device 1312 e.g., a keyboard
  • a cursor control device 1314 e.g., a mouse
  • a signal generation device 1316 e.g., a speaker
  • the secondary memory 1318 may include a machine-accessible storage medium (or more specifically a computer-readable storage medium) 1331 on which is stored one or more sets of instructions (e.g., software 1322 ) embodying any one or more of the methodologies or functions described herein.
  • the software 1322 may also reside, completely or at least partially, within the main memory 1304 and/or within the processor 1302 during execution thereof by the computer system 1300 , the main memory 1304 and the processor 1302 also constituting machine-readable storage media.
  • the software 1322 may further be transmitted or received over a network 1320 via the network interface device 1308 .
  • machine-accessible storage medium 1331 is shown in an exemplary embodiment to be a single medium, the term “machine-readable storage medium” should be taken to include a single medium or multiple media (e.g., a centralized or distributed database, and/or associated caches and servers) that store the one or more sets of instructions.
  • the term “machine-readable storage medium” shall also be taken to include any medium that is capable of storing or encoding a set of instructions for execution by the machine and that cause the machine to perform any one or more of the methodologies of the present invention.
  • the term “machine-readable storage medium” shall accordingly be taken to include, but not be limited to, solid-state memories, and optical and magnetic media.
  • a machine-accessible storage medium has instructions stored thereon which cause a data processing system to perform a method of dicing a semiconductor wafer having a plurality of integrated circuits separated by dicing streets.
  • the method includes forming a mask above the semiconductor wafer, the mask including a layer covering and protecting the integrated circuits.
  • the mask is patterned with a laser scribing process to provide a patterned mask having a pair of parallel gaps for each dicing street, exposing regions of the semiconductor wafer between the integrated circuits. Each gap of each pair of parallel gaps is separated by a distance.
  • the semiconductor wafer is etched through the gaps in the patterned mask to singulate the integrated circuits.
  • a method of dicing a semiconductor wafer having a plurality of integrated circuits separated by dicing streets involves forming a mask above the semiconductor wafer, the mask including a layer covering and protecting the integrated circuits.
  • the mask is patterned with a laser scribing process to provide a patterned mask having a pair of parallel gaps for each dicing street, exposing regions of the semiconductor wafer between the integrated circuits.
  • Each gap of each pair of parallel gaps is separated by a distance.
  • the semiconductor wafer is etched through the gaps in the patterned mask to singulate the integrated circuits.
  • a total width of the distance plus the width of each gap of each pair of parallel gaps is approximately in the range of 50-85 microns.
  • the width of each gap of each pair of parallel gaps is approximately in the range of 10-15 microns.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Dicing (AREA)
  • Laser Beam Processing (AREA)

Abstract

Methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. In an example, approaches for wafer dicing with wide kerf by using a laser scribing and plasma etching hybrid approach are described. For example, a method of dicing a semiconductor wafer including a plurality of integrated circuits separated by dicing streets involves forming a mask above the semiconductor wafer, the mask having a layer covering and protecting the integrated circuits. The method also involves patterning the mask with a laser scribing process to provide a patterned mask having a pair of parallel gaps for each dicing street, exposing regions of the semiconductor wafer between the integrated circuits. Each gap of each pair of parallel gaps is separated by a distance. The method also involves etching the semiconductor wafer through the gaps in the patterned mask to singulate the integrated circuits.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 13/947,890, filed on Jul. 22, 2013, which claims the benefit of U.S. Provisional Application No. 61/826,131, filed May 22, 2013, the entire contents of which are hereby incorporated by reference herein.
  • BACKGROUND
  • 1) Field
  • Embodiments of the present invention pertain to the field of semiconductor processing and, in particular, to methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits thereon.
  • 2) Description of Related Art
  • In semiconductor wafer processing, integrated circuits are formed on a wafer (also referred to as a substrate) composed of silicon or other semiconductor material. In general, layers of various materials which are either semiconducting, conducting or insulating are utilized to form the integrated circuits. These materials are doped, deposited and etched using various well-known processes to form integrated circuits. Each wafer is processed to form a large number of individual regions containing integrated circuits known as dice.
  • Following the integrated circuit formation process, the wafer is “diced” to separate the individual die from one another for packaging or for use in an unpackaged form within larger circuits. The two main techniques that are used for wafer dicing are scribing and sawing. With scribing, a diamond tipped scribe is moved across the wafer surface along pre-formed scribe lines. These scribe lines extend along the spaces between the dice. These spaces are commonly referred to as “streets.” The diamond scribe forms shallow scratches in the wafer surface along the streets. Upon the application of pressure, such as with a roller, the wafer separates along the scribe lines. The breaks in the wafer follow the crystal lattice structure of the wafer substrate. Scribing can be used for wafers that are about 10 mils (thousandths of an inch) or less in thickness. For thicker wafers, sawing is presently the preferred method for dicing.
  • With sawing, a diamond tipped saw rotating at high revolutions per minute contacts the wafer surface and saws the wafer along the streets. The wafer is mounted on a supporting member such as an adhesive film stretched across a film frame and the saw is repeatedly applied to both the vertical and horizontal streets. One problem with either scribing or sawing is that chips and gouges can form along the severed edges of the dice. In addition, cracks can form and propagate from the edges of the dice into the substrate and render the integrated circuit inoperative. Chipping and cracking are particularly a problem with scribing because only one side of a square or rectangular die can be scribed in the <110> direction of the crystalline structure. Consequently, cleaving of the other side of the die results in a jagged separation line. Because of chipping and cracking, additional spacing is required between the dice on the wafer to prevent damage to the integrated circuits, e.g., the chips and cracks are maintained at a distance from the actual integrated circuits. As a result of the spacing requirements, not as many dice can be formed on a standard sized wafer and wafer real estate that could otherwise be used for circuitry is wasted. The use of a saw exacerbates the waste of real estate on a semiconductor wafer. The blade of the saw is approximate 15 microns thick. As such, to insure that cracking and other damage surrounding the cut made by the saw does not harm the integrated circuits, three to five hundred microns often must separate the circuitry of each of the dice. Furthermore, after cutting, each die requires substantial cleaning to remove particles and other contaminants that result from the sawing process.
  • Plasma dicing has also been used, but may have limitations as well. For example, one limitation hampering implementation of plasma dicing may be cost. A standard lithography operation for patterning resist may render implementation cost prohibitive. Another limitation possibly hampering implementation of plasma dicing is that plasma processing of commonly encountered metals (e.g., copper) in dicing along streets can create production issues or throughput limits.
  • SUMMARY
  • Embodiments of the present invention pertain to the field of semiconductor processing and, in particular, to methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits thereon.
  • In an embodiment, a method of dicing a semiconductor wafer including a plurality of integrated circuits separated by dicing streets involves forming a mask above the semiconductor wafer, the mask having a layer covering and protecting the integrated circuits. The method also involves patterning the mask with a laser scribing process to provide a patterned mask having a pair of parallel gaps for each dicing street, exposing regions of the semiconductor wafer between the integrated circuits. Each gap of each pair of parallel gaps is separated by a distance. The method also involves etching the semiconductor wafer through the gaps in the patterned mask to singulate the integrated circuits.
  • In an embodiment, an apparatus includes a plurality of singulated integrated circuits disposed on a dicing tape. The apparatus also includes one or more portions of a semiconductor wafer disposed on the dicing tape, among the singulated integrated circuits, and corresponding to dicing streets of the semiconductor wafer.
  • In an embodiment, a method of dicing a silicon substrate including a plurality of integrated circuits separated by dicing streets involves forming a mask above the silicon substrate, the mask including a layer covering and protecting the integrated circuits. The integrated circuits include a layer of silicon dioxide disposed above a layer of low K material and a layer of copper. The method also involves patterning the mask with a laser scribing process to provide a patterned mask having a pair of parallel gaps for each dicing street, exposing regions of the silicon substrate between the integrated circuits. Each gap of each pair of parallel gaps is separated by a distance. The method also involves etching the silicon substrate through the gaps in the patterned mask to singulate the integrated circuits. Etching the silicon substrate through the gaps in the patterned mask to singulate the integrated circuits involves retaining portions of the silicon substrate between each gap of each pair of parallel gaps.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a top plan of a semiconductor wafer to be diced, in accordance with an embodiment of the present invention.
  • FIG. 2 illustrates a top plan of a semiconductor wafer to be diced that has a dicing mask formed thereon, in accordance with an embodiment of the present invention.
  • FIG. 3A illustrates a cross-sectional view of a semiconductor wafer including a plurality of integrated circuits during performing of a method of dicing the semiconductor wafer, in accordance with an embodiment of the present invention.
  • FIG. 3B illustrates a cross-sectional view of a semiconductor wafer including a plurality of integrated circuits during performing of a method of dicing the semiconductor wafer, in accordance with an embodiment of the present invention.
  • FIG. 3C illustrates a cross-sectional view of a semiconductor wafer including a plurality of integrated circuits during performing of a method of dicing the semiconductor wafer, in accordance with an embodiment of the present invention.
  • FIG. 4A illustrates a conventional laser scribing approach for wide kerf generation.
  • FIG. 4B illustrates a laser scribing approach for wide kerf generation involving scribing of parallel gaps separated by a distance, in accordance with an embodiment of the present invention.
  • FIG. 5 illustrates the effects of using a laser pulse in the femtosecond range versus longer pulse times, in accordance with an embodiment of the present invention.
  • FIG. 6 illustrates a cross-sectional view of a stack of materials that may be used in a street region of a semiconductor wafer or substrate, in accordance with an embodiment of the present invention.
  • FIG. 7 includes a plot of absorption coefficient as a function of photon energy for crystalline silicon (c-Si), copper (Cu), crystalline silicon dioxide (c-SiO2), and amorphous silicon dioxide (a-SiO2), in accordance with an embodiment of the present invention.
  • FIG. 8 is an equation showing the relationship of laser intensity for a given laser as a function of laser pulse energy, laser pulse width, and laser beam radius.
  • FIGS. 9A-9D illustrate cross-sectional views of various operations in a method of dicing a semiconductor wafer, in accordance with an embodiment of the present invention.
  • FIG. 10 illustrates a layout of dies separated by a narrow street.
  • FIG. 11 illustrates a layout of dies separated by a wide street, in accordance with an embodiment of the present invention.
  • FIG. 12 illustrates a block diagram of a tool layout for laser and plasma dicing of wafers or substrates, in accordance with an embodiment of the present invention.
  • FIG. 13 illustrates a block diagram of an exemplary computer system, in accordance with an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits thereon, are described. In the following description, numerous specific details are set forth, such as laser scribing and plasma etching conditions and material regimes, in order to provide a thorough understanding of embodiments of the present invention. It will be apparent to one skilled in the art that embodiments of the present invention may be practiced without these specific details. In other instances, well-known aspects, such as integrated circuit fabrication, are not described in detail in order to not unnecessarily obscure embodiments of the present invention. Furthermore, it is to be understood that the various embodiments shown in the Figures are illustrative representations and are not necessarily drawn to scale.
  • A hybrid wafer or substrate dicing process involving an initial laser scribe and subsequent plasma etch may be implemented for die singulation. The laser scribe process may be used to cleanly remove a mask layer, organic and inorganic dielectric layers, and device layers. The laser etch process may then be terminated upon exposure of, or partial etch of, the wafer or substrate. The plasma etch portion of the dicing process may then be employed to etch through the bulk of the wafer or substrate, such as through bulk single crystalline silicon, to yield die or chip singulation or dicing.
  • Generally, one or more embodiments are directed to approaches for wafer dicing with wide kerf by using a laser scribing and plasma etching hybrid approach. Thus, generally, embodiments may be directed to a method for laser scribing and plasma etching to obtain wide kerf to address, e.g., a need for wider streets between separated dies.
  • More specifically, during a laser scribing process, the laser beam removes the mask layer, the passivation layer, and device layers and expose silicon substrate for subsequent plasma etching. A narrow kerf such as 10-15 microns may be preferred for laser scribing throughput purposes. However, there may be implementations requiring a wide kerf such as 50-85 microns wide kerf. Due to limited availability of laser power, it may not be possible to use a laser beam with a large focus spot for scribing a single pass in order to obtain such a wide kerf. Instead, typically, a smaller laser spot is used to scribe several times in parallel in order to achieve to a wide opening. Such an approach, however, may not provide for an acceptable throughput.
  • To provide context, conventional wafer dicing approaches include diamond saw cutting based on a purely mechanical separation, initial laser scribing and subsequent diamond saw dicing, or nanosecond or picosecond laser dicing. For thin wafer or substrate singulation, such as 50 microns thick bulk silicon singulation, the conventional approaches have yielded only poor process quality. Some of the challenges that may be faced when singulating die from thin wafers or substrates may include microcrack formation or delamination between different layers, chipping of inorganic dielectric layers, retention of strict kerf width control, or precise ablation depth control. Embodiments of the present invention include a hybrid laser scribing and plasma etching die singulation approach that may be useful for overcoming one or more of the above challenges.
  • In accordance with an embodiment of the present invention, a combination of laser scribing and plasma etching is used to dice a semiconductor wafer into individualized or singulated integrated circuits. In one embodiment, a femtosecond-based laser scribing is used as an essentially, if not totally, non-thermal process. For example, the femtosecond-based laser scribing may be localized with no or negligible heat damage zone. In an embodiment, approaches herein are used to singulated integrated circuits having ultra-low k films. With convention dicing, saws may need to be slowed down to accommodate such low k films. Furthermore, semiconductor wafers are now often thinned prior to dicing. As such, in an embodiment, a combination of mask patterning and partial wafer scribing with a femtosecond-based laser, followed by a plasma etch process, is now practical. In one embodiment, direct writing with laser can eliminate need for a lithography patterning operation of a photo-resist layer and can be implemented with very little cost. In one embodiment, through-via type silicon etching is used to complete the dicing process in a plasma etching environment.
  • Thus, in an aspect of the present invention, a combination of laser scribing and plasma etching may be used to dice a semiconductor wafer into singulated integrated circuits. FIG. 1 illustrates a top plan of a semiconductor wafer to be diced, in accordance with an embodiment of the present invention. FIG. 2 illustrates a top plan of a semiconductor wafer to be diced that has a dicing mask formed thereon, in accordance with an embodiment of the present invention.
  • Referring to FIG. 1, a semiconductor wafer 100 has a plurality of regions 102 that include integrated circuits. The regions 102 are separated by vertical streets 104 and horizontal streets 106. The streets 104 and 106 are areas of semiconductor wafer that do not contain integrated circuits and are designed as locations along which the wafer will be diced. Some embodiments of the present invention involve the use of a combination femtosecond-based laser scribe and plasma etch technique to cut trenches through the semiconductor wafer along the streets such that the dice are separated into individual chips or die. Since both a laser scribe and a plasma etch process are crystal structure orientation independent, the crystal structure of the semiconductor wafer to be diced may be immaterial to achieving a vertical trench through the wafer.
  • Referring to FIG. 2, the semiconductor wafer 100 has a mask 200 deposited upon the semiconductor wafer 100. In one embodiment, the mask is deposited in a conventional manner to achieve an approximately 4-10 micron thick layer. The mask 200 and a portion of the semiconductor wafer 100 are patterned with a laser scribing process to define the locations (e.g., gaps 202 and 204) along the streets 104 and 106 where the semiconductor wafer 100 will be diced. The integrated circuit regions of the semiconductor wafer 100 are covered and protected by the mask 200. The regions 206 of the mask 200 are positioned such that during a subsequent etching process, the integrated circuits are not degraded by the etch process. Horizontal gaps 204 and vertical gaps 202 are formed between the regions 206 to define the areas that will be etched during the etching process to finally dice the semiconductor wafer 100.
  • FIGS. 3A-3C illustrate cross-sectional views of a semiconductor wafer including a plurality of integrated circuits during performing of a method of dicing the semiconductor wafer, in accordance with an embodiment of the present invention. FIGS. 3A-3C are provided to illustrate a general context for embodiments of the present invention. FIGS. 4A and 4B illustrate a more specific aspect of one or more embodiments of the present invention.
  • Referring to FIG. 3A, a mask 302 is formed above a semiconductor wafer or substrate 304. The mask 302 is composed of a layer covering and protecting integrated circuits 306 formed on the surface of semiconductor wafer 304. The mask 302 also covers intervening streets 307 formed between each of the integrated circuits 306.
  • Referring to FIG. 3B, the mask 402 is patterned with a laser scribing process to provide a patterned mask 308 with gaps 310, exposing regions of the semiconductor wafer or substrate 304 between the integrated circuits 306. As such, the laser scribing process is used to remove the material of the streets 307 originally formed between the integrated circuits 306. In accordance with an embodiment of the present invention, patterning the mask 302 with the laser scribing process includes forming trenches 312 partially into the regions of the semiconductor wafer 304 between the integrated circuits 306, as depicted in FIG. 3B.
  • Referring to FIG. 3C, the semiconductor wafer 304 is etched through the gaps 310 in the patterned mask 308 to singulate the integrated circuits 306. In accordance with an embodiment of the present invention, etching the semiconductor wafer 304 includes etching the trenches 312 formed with the laser scribing process to ultimately etch entirely through semiconductor wafer 304, as depicted in FIG. 3C.
  • FIGS. 3A-3C illustrates very generally a laser scribing and plasma dicing hybrid approach. More particularly, for wide street dicing, many laser scribes may need to be performed within a given street in order to obtain a targeted cumulative kerf. As an example, FIG. 4A illustrates a conventional laser scribing approach for wide kerf generation. Referring to the left-hand portion of FIG. 4A, a plurality of dies 400A (die 1-4) are separated by streets 402A and 404A, each having a die street width W. The width W is much wider than a workable spot size for a laser scribing process. As such, numerous gaps are scribed ( laser scribe lines 406A and 408A) for each street to achieve a suitable scribe width. Referring to the right-hand portion of FIG. 4A, the top cross-sectional view 410A is shown post scribing to form a plurality of laser scribe lines 412A. The bottom cross-sectional view 414A is shown post subsequent plasma etching to form etched trench 416A.
  • By contrast, FIG. 4B illustrates a laser scribing approach for wide kerf generation involving scribing of parallel gaps separated by a distance, in accordance with an embodiment of the present invention. Referring to the left-hand portion of FIG. 4B, a plurality of dies 400B (die 1-4) are separated by streets 402B and 404B, each having a die street width W. The width W is much wider than a workable spot size for a laser scribing process. In contrast to FIG. 4A, and in accordance with an embodiment of the present invention, a pair of parallel gaps (pair 406B and pair 408B) is scribed for each dicing street. Referring to the right-hand portion of FIG. 4B, the top cross-sectional view 410B is shown post scribing, revealing the pair of scribed parallel gaps 412B. The bottom cross-sectional view 414B is shown post subsequent plasma etching to form a pair 416B of etched trenches. Since the parallel gaps of the pair 416B are separated by a distance (D), remaining material 450 may be present following the plasma etch. It is to be understood that, upon dicing, such remaining material is separated from the singulated dies. As such, in one embodiment, the remaining material can be retrieved from, e.g., dicing tape post die-pick.
  • Thus, in an embodiment, a smaller laser focus spot (e.g., 10 um) is to scribe two separated lines in parallel either sequentially or two split beams are used simultaneously. The separation distance of the two scribed lines should yield the required final kerf width. Post laser scribing, the wafer is etched through these two scribed lines. In one such embodiment, each gap of each pair of parallel gaps is formed at the same time using a split laser beam. In another embodiment, each gap of each pair of parallel gaps is formed at the same time using a two laser beams. In another embodiment, each gap of each pair of parallel gaps is formed sequentially. In any case, the overall approach provides for wide kerf generation.
  • In an embodiment, a narrow kerf such as 10-15 microns may be preferred for laser scribing throughput purposes. However, there may be implementations requiring a wide kerf such as 50-85 microns wide kerf. Thus, in one embodiment, a total width of the distance plus the width of each gap of each pair of parallel gaps is approximately in the range of 50-85 microns. In one embodiment, the width of each gap of each pair of parallel gaps is approximately in the range of 10-15 microns.
  • In an embodiment, during a die-pick process, an underlying dicing tape is expanded and dies are picked. The portion between the two separated ecthed trenched will remain on the dicing tape which can be either collected or disposed. In the end, the wide kerf requirement is satisfied. The above described approach can have the significant throughput advantage over conventional approaches.
  • In accordance with an embodiment of the present invention, referring again to FIGS. 3A-3C, forming the mask 302 includes forming a layer such as, but not limited to, a photo-resist layer or an I-line patterning layer. For example, a polymer layer such as a photo-resist layer may be composed of a material otherwise suitable for use in a lithographic process. In one embodiment, the photo-resist layer is composed of a positive photo-resist material such as, but not limited to, a 248 nanometer (nm) resist, a 193 nm resist, a 157 nm resist, an extreme ultra-violet (EUV) resist, or a phenolic resin matrix with a diazonaphthoquinone sensitizer. In another embodiment, the photo-resist layer is composed of a negative photo-resist material such as, but not limited to, poly-cis-isoprene and poly-vinyl-cinnamate. In other embodiments, non-photosensitive masking layers are used as mask 302, e.g., polymeric layers that may be deposited or spun-on and are not necessarily amenable to photolithographic patterning. In some embodiments, mask 302 includes a water-soluble material layer.
  • In an embodiment, semiconductor wafer or substrate 304 is composed of a material suitable to withstand a fabrication process and upon which semiconductor processing layers may suitably be disposed. For example, in one embodiment, semiconductor wafer or substrate 304 is composed of a group IV-based material such as, but not limited to, crystalline silicon, germanium or silicon/germanium. In a specific embodiment, providing semiconductor wafer 304 includes providing a monocrystalline silicon substrate. In a particular embodiment, the monocrystalline silicon substrate is doped with impurity atoms. In another embodiment, semiconductor wafer or substrate 304 is composed of a III-V material such as, e.g., a III-V material substrate used in the fabrication of light emitting diodes (LEDs).
  • In an embodiment, semiconductor wafer or substrate 304 has disposed thereon or therein, as a portion of the integrated circuits 306, an array of semiconductor devices. Examples of such semiconductor devices include, but are not limited to, memory devices or complimentary metal-oxide-semiconductor (CMOS) transistors fabricated in a silicon substrate and encased in a dielectric layer. A plurality of metal interconnects may be formed above the devices or transistors, and in surrounding dielectric layers, and may be used to electrically couple the devices or transistors to form the integrated circuits 306. Materials making up the streets 307 may be similar to or the same as those materials used to form the integrated circuits 306. For example, streets 307 may be composed of layers of dielectric materials, semiconductor materials, and metallization. In one embodiment, one or more of the streets 307 includes test devices similar to the actual devices of the integrated circuits 306.
  • In an embodiment, patterning the mask 306 with the laser scribing process includes using a laser having a pulse width in the femtosecond range. Specifically, a laser with a wavelength in the visible spectrum plus the ultra-violet (UV) and infra-red (IR) ranges (totaling a broadband optical spectrum) may be used to provide a femtosecond-based laser, i.e., a laser with a pulse width on the order of the femtosecond (10−15 seconds). In one embodiment, ablation is not, or is essentially not, wavelength dependent and is thus suitable for complex films such as films of the mask 302, the streets 307 and, possibly, a portion of the semiconductor wafer or substrate 304.
  • FIG. 5 illustrates the effects of using a laser pulse in the femtosecond range versus longer frequencies, in accordance with an embodiment of the present invention. Referring to FIG. 5, by using a laser with a pulse width in the femtosecond range heat damage issues are mitigated or eliminated (e.g., minimal to no damage 502C with femtosecond processing of a via 500C) versus longer pulse widths (e.g., damage 502B with picosecond processing of a via 500B and significant damage 502A with nanosecond processing of a via 500A). The elimination or mitigation of damage during formation of via 500C may be due to a lack of low energy recoupling (as is seen for picosecond-based laser ablation) or thermal equilibrium (as is seen for nanosecond-based laser ablation), as depicted in FIG. 5.
  • Laser parameters selection, such as pulse width, may be critical to developing a successful laser scribing and dicing process that minimizes chipping, microcracks and delamination in order to achieve clean laser scribe cuts. The cleaner the laser scribe cut, the smoother an etch process that may be performed for ultimate die singulation. In semiconductor device wafers, many functional layers of different material types (e.g., conductors, insulators, semiconductors) and thicknesses are typically disposed thereon. Such materials may include, but are not limited to, organic materials such as polymers, metals, or inorganic dielectrics such as silicon dioxide and silicon nitride.
  • A street between individual integrated circuits disposed on a wafer or substrate may include the similar or same layers as the integrated circuits themselves. For example, FIG. 6 illustrates a cross-sectional view of a stack of materials that may be used in a street region of a semiconductor wafer or substrate, in accordance with an embodiment of the present invention.
  • Referring to FIG. 6, a street region 600 includes the top portion 602 of a silicon substrate, a first silicon dioxide layer 604, a first etch stop layer 606, a first low K dielectric layer 608 (e.g., having a dielectric constant of less than the dielectric constant of 4.0 for silicon dioxide), a second etch stop layer 610, a second low K dielectric layer 612, a third etch stop layer 614, an undoped silica glass (USG) layer 616, a second silicon dioxide layer 618, and a layer of photo-resist 620, with relative thicknesses depicted. Copper metallization 622 is disposed between the first and third etch stop layers 606 and 614 and through the second etch stop layer 610. In a specific embodiment, the first, second and third etch stop layers 606, 610 and 614 are composed of silicon nitride, while low K dielectric layers 608 and 612 are composed of a carbon-doped silicon oxide material.
  • Under conventional laser irradiation (such as nanosecond-based or picosecond-based laser irradiation), the materials of street 600 behave quite differently in terms of optical absorption and ablation mechanisms. For example, dielectrics layers such as silicon dioxide, is essentially transparent to all commercially available laser wavelengths under normal conditions. By contrast, metals, organics (e.g., low K materials) and silicon can couple photons very easily, particularly in response to nanosecond-based or picosecond-based laser irradiation. For example, FIG. 7 includes a plot 700 of absorption coefficient as a function of photon energy for crystalline silicon (c-Si, 702), copper (Cu, 704), crystalline silicon dioxide (c-SiO2, 706), and amorphous silicon dioxide (a-SiO2, 708), in accordance with an embodiment of the present invention. FIG. 8 is an equation 800 showing the relationship of laser intensity for a given laser as a function of laser pulse energy, laser pulse width, and laser beam radius.
  • Using equation 800 and the plot 700 of absorption coefficients, in an embodiment, parameters for a femtosecond laser-based process may be selected to have an essentially common ablation effect on the inorganic and organic dielectrics, metals, and semiconductors even though the general energy absorption characteristics of such materials may differ widely under certain conditions. For example, the absorptivity of silicon dioxide is non-linear and may be brought more in-line with that of organic dielectrics, semiconductors and metals under the appropriate laser ablation parameters. In one such embodiment, a high intensity and short pulse width femtosecond-based laser process is used to ablate a stack of layers including a silicon dioxide layer and one or more of an organic dielectric, a semiconductor, or a metal. In a specific embodiment, pulses of approximately less than or equal to 400 femtoseconds are used in a femtosecond-based laser irradiation process to remove a mask, a street, and a portion of a silicon substrate.
  • By contrast, if non-optimal laser parameters are selected, in stacked structures that involve two or more of an inorganic dielectric, an organic dielectric, a semiconductor, or a metal, a laser ablation process may cause delamination issues. For example, a laser penetrate through high bandgap energy dielectrics (such as silicon dioxide with an approximately of 9 eV bandgap) without measurable absorption. However, the laser energy may be absorbed in an underlying metal or silicon layer, causing significant vaporization of the metal or silicon layers. The vaporization may generate high pressures to lift-off the overlying silicon dioxide dielectric layer and potentially causing severe interlayer delamination and microcracking. In an embodiment, while picoseconds-based laser irradiation processes lead to microcracking and delaminating in complex stacks, femtosecond-based laser irradiation processes have been demonstrated to not lead to microcracking or delamination of the same material stacks.
  • In order to be able to directly ablate dielectric layers, ionization of the dielectric materials may need to occur such that they behave similar to a conductive material by strongly absorbing photons. The absorption may block a majority of the laser energy from penetrating through to underlying silicon or metal layers before ultimate ablation of the dielectric layer. In an embodiment, ionization of inorganic dielectrics is feasible when the laser intensity is sufficiently high to initiate photon-ionization and impact ionization in the inorganic dielectric materials.
  • In accordance with an embodiment of the present invention, suitable femtosecond-based laser processes are characterized by a high peak intensity (irradiance) that usually leads to nonlinear interactions in various materials. In one such embodiment, the femtosecond laser sources have a pulse width approximately in the range of 10 femtoseconds to 500 femtoseconds, although preferably in the range of 100 femtoseconds to 400 femtoseconds. In one embodiment, the femtosecond laser sources have a wavelength approximately in the range of 1570 nanometers to 200 nanometers, although preferably in the range of 540 nanometers to 250 nanometers. In one embodiment, the laser and corresponding optical system provide a focal spot at the work surface approximately in the range of 3 microns to 15 microns, though preferably approximately in the range of 5 microns to 10 microns or between 10-15 microns.
  • The spacial beam profile at the work surface may be a single mode (Gaussian) or have a shaped top-hat profile. In an embodiment, the laser source has a pulse repetition rate approximately in the range of 200 kHz to 10 MHz, although preferably approximately in the range of 500 kHz to 5 MHz. In an embodiment, the laser source delivers pulse energy at the work surface approximately in the range of 0.5 uJ to 100 uJ, although preferably approximately in the range of 1 uJ to 5 uJ. In an embodiment, the laser scribing process runs along a work piece surface at a speed approximately in the range of 500 mm/sec to 5 m/sec, although preferably approximately in the range of 600 mm/sec to 2 m/sec.
  • The scribing process may be run in single pass only, or in multiple passes, but, in an embodiment, preferably 1-2 passes. In one embodiment, the scribing depth in the work piece is approximately in the range of 5 microns to 50 microns deep, preferably approximately in the range of 10 microns to 20 microns deep. The laser may be applied either in a train of single pulses at a given pulse repetition rate or a train of pulse bursts. In an embodiment, the kerf width of the laser beam generated is approximately in the range of 2 microns to 15 microns, although in silicon wafer scribing/dicing preferably approximately in the range of 6 microns to 10 microns, measured at the device/silicon interface.
  • Laser parameters may be selected with benefits and advantages such as providing sufficiently high laser intensity to achieve ionization of inorganic dielectrics (e.g., silicon dioxide) and to minimize delamination and chipping caused by underlayer damage prior to direct ablation of inorganic dielectrics. Also, parameters may be selected to provide meaningful process throughput for industrial applications with precisely controlled ablation width (e.g., kerf width) and depth. As described above, a femtosecond-based laser is far more suitable to providing such advantages, as compared with picosecond-based and nanosecond-based laser ablation processes. However, even in the spectrum of femtosecond-based laser ablation, certain wavelengths may provide better performance than others. For example, in one embodiment, a femtosecond-based laser process having a wavelength closer to or in the UV range provides a cleaner ablation process than a femtosecond-based laser process having a wavelength closer to or in the IR range. In a specific such embodiment, a femtosecond-based laser process suitable for semiconductor wafer or substrate scribing is based on a laser having a wavelength of approximately less than or equal to 540 nanometers. In a particular such embodiment, pulses of approximately less than or equal to 400 femtoseconds of the laser having the wavelength of approximately less than or equal to 540 nanometers are used. However, in an alternative embodiment, dual laser wavelengths (e.g., a combination of an IR laser and a UV laser) are used.
  • In an embodiment, etching the semiconductor wafer 304 includes using a plasma etching process. In one embodiment, a through-silicon via type etch process is used. For example, in a specific embodiment, the etch rate of the material of semiconductor wafer 304 is greater than 25 microns per minute. An ultra-high-density plasma source may be used for the plasma etching portion of the die singulation process. An example of a process chamber suitable to perform such a plasma etch process is the Applied Centura® Silvia™ Etch system available from Applied Materials of Sunnyvale, Calif., USA. The Applied Centura® Silvia™ Etch system combines the capacitive and inductive RF coupling, which gives much more independent control of the ion density and ion energy than was possible with the capacitive coupling only, even with the improvements provided by magnetic enhancement. This combination enables effective decoupling of the ion density from ion energy, so as to achieve relatively high density plasmas without the high, potentially damaging, DC bias levels, even at very low pressures. This results in an exceptionally wide process window. However, any plasma etch chamber capable of etching silicon may be used. In an exemplary embodiment, a deep silicon etch is used to etch a single crystalline silicon substrate or wafer 404 at an etch rate greater than approximately 40% of conventional silicon etch rates while maintaining essentially precise profile control and virtually scallop-free sidewalls. In a specific embodiment, a through-silicon via type etch process is used. The etch process is based on a plasma generated from a reactive gas, which generally a fluorine-based gas such as SF6, C4F8, CHF3, XeF2, or any other reactant gas capable of etching silicon at a relatively fast etch rate. In an embodiment, the mask layer 308 is removed after the singulation process, as depicted in FIG. 3C.
  • Accordingly, referring again to FIGS. 3A-3C, wafer dicing may be preformed by initial laser ablation through a mask layer, through wafer streets (including metallization), and partially into a silicon substrate. The laser pulse width may be selected in the femtosecond range. Die singulation may then be completed by subsequent through-silicon deep plasma etching. A specific example of a materials stack for dicing is described below in association with FIGS. 9A-9D, in accordance with an embodiment of the present invention. It is to be understood that although for illustrative purposes, one gap is shown as formed in a street between adjacent dies, in accordance with an embodiment of the present invention, a pair of parallel gaps is formed in each street.
  • Referring to FIG. 9A, a materials stack for hybrid laser ablation and plasma etch dicing includes a mask layer 902, a device layer 904, and a substrate 906. The mask layer, device layer, and substrate are disposed above a die attach film 908 which is affixed to a backing tape 910. In an embodiment, the mask layer 902 is a photo-resist layer such as the photo-resist layers described above in association with mask 402. The device layer 904 includes an inorganic dielectric layer (such as silicon dioxide) disposed above one or more metal layers (such as copper layers) and one or more low K dielectric layers (such as carbon-doped oxide layers). The device layer 904 also includes streets arranged between integrated circuits, the streets including the same or similar layers to the integrated circuits. The substrate 906 is a bulk single-crystalline silicon substrate.
  • In an embodiment, the bulk single-crystalline silicon substrate 906 is thinned from the backside prior to being affixed to the die attach film 908. The thinning may be performed by a backside grind process. In one embodiment, the bulk single-crystalline silicon substrate 906 is thinned to a thickness approximately in the range of 50-100 microns. It is important to note that, in an embodiment, the thinning is performed prior to a laser ablation and plasma etch dicing process. In an embodiment, the photo-resist layer 902 has a thickness of approximately 5 microns and the device layer 904 has a thickness approximately in the range of 2-3 microns. In an embodiment, the die attach film 908 (or any suitable substitute capable of bonding a thinned or thin wafer or substrate to the backing tape 910) has a thickness of approximately 20 microns.
  • Referring to FIG. 9B, the mask 902, the device layer 904 and a portion of the substrate 906 are patterned with a femtosecond-based laser scribing process 912 to form trenches 914 in the substrate 906. Referring to FIG. 9C, a through-silicon deep plasma etch process 916 is used to extend the trench 914 down to the die attach film 908, exposing the top portion of the die attach film 908 and singulating the silicon substrate 906. The device layer 904 is protected by the photo-resist layer 902 during the through-silicon deep plasma etch process 916.
  • Referring to FIG. 9D, the singulation process may further include patterning the die attach film 908, exposing the top portion of the backing tape 910 and singulating the die attach film 908. In an embodiment, the die attach film is singulated by a laser process or by an etch process. Further embodiments may include subsequently removing the singulated portions of substrate 906 (e.g., as individual integrated circuits) from the backing tape 910. In one embodiment, the singulated die attach film 908 is retained on the back sides of the singulated portions of substrate 906. Other embodiments may include removing the masking photo-resist layer 902 from the device layer 904. In an alternative embodiment, in the case that substrate 906 is thinner than approximately 50 microns, the laser ablation process 912 is used to completely singulate substrate 906 without the use of an additional plasma process.
  • Subsequent to singulating the die attach film 908, in an embodiment, the masking photo-resist layer 902 is removed from the device layer 904. In an embodiment, the singulated integrated circuits are removed from the backing tape 910 for packaging. In one such embodiment, the patterned die attach film 908 is retained on the backside of each integrated circuit and included in the final packaging. However, in another embodiment, the patterned die attach film 908 is removed during or subsequent to the singulation process.
  • Referring again to FIG. 4B, the dies may be separated by streets having a width (W) of approximately 50 microns or greater. Such a width may be greater than a usable kerf for a laser scribing process. For example, FIG. 10 illustrates a layout 1000 of dies separated by a narrow street. The street may be scribed by using a pass of a laser having a small spot size, e.g., a narrow kerf. By contrast, FIG. 11 illustrates a layout 1100 of dies separated by a wide street, in accordance with an embodiment of the present invention. In one such embodiment, a usable spot size or laser kerf may be too narrow and, as such, two parallel gaps are formed, as described in association with FIG. 4B.
  • A single process tool may be configured to perform many or all of the operations in a hybrid laser ablation and plasma etch singulation process. For example, FIG. 12 illustrates a block diagram of a tool layout for laser and plasma dicing of wafers or substrates, in accordance with an embodiment of the present invention.
  • Referring to FIG. 12, a process tool 1200 includes a factory interface 1202 (FI) having a plurality of load locks 1204 coupled therewith. A cluster tool 1206 is coupled with the factory interface 1202. The cluster tool 1206 includes one or more plasma etch chambers, such as plasma etch chamber 1208. A laser scribe apparatus 1210 is also coupled to the factory interface 1202. The overall footprint of the process tool 1200 may be, in one embodiment, approximately 3500 millimeters (3.5 meters) by approximately 3800 millimeters (3.8 meters), as depicted in FIG. 12.
  • In an embodiment, the laser scribe apparatus 1210 houses a femtosecond-based laser. The femtosecond-based laser is suitable for performing a laser ablation portion of a hybrid laser and etch singulation process, such as the laser abalation processes described above. In one embodiment, a moveable stage is also included in laser scribe apparatus 1200, the moveable stage configured for moving a wafer or substrate (or a carrier thereof) relative to the femtosecond-based laser. In a specific embodiment, the femtosecond-based laser is also moveable. The overall footprint of the laser scribe apparatus 1210 may be, in one embodiment, approximately 2240 millimeters by approximately 1270 millimeters, as depicted in FIG. 12. It is to be understood, however, in other embodiments, a nano- or pico-second based laser is used.
  • In an embodiment, the one or more plasma etch chambers 1208 is configured for etching a wafer or substrate through the gaps in a patterned mask to singulate a plurality of integrated circuits. In one such embodiment, the one or more plasma etch chambers 1208 is configured to perform a deep silicon etch process. In a specific embodiment, the one or more plasma etch chambers 1208 is an Applied Centura® Silvia™ Etch system, available from Applied Materials of Sunnyvale, Calif., USA. The etch chamber may be specifically designed for a deep silicon etch used to create singulate integrated circuits housed on or in single crystalline silicon substrates or wafers. In an embodiment, a high-density plasma source is included in the plasma etch chamber 1208 to facilitate high silicon etch rates. In an embodiment, more than one etch chamber is included in the cluster tool 1206 portion of process tool 1200 to enable high manufacturing throughput of the singulation or dicing process.
  • The factory interface 1202 may be a suitable atmospheric port to interface between an outside manufacturing facility with laser scribe apparatus 1210 and cluster tool 1206. The factory interface 1202 may include robots with arms or blades for transferring wafers (or carriers thereof) from storage units (such as front opening unified pods) into either cluster tool 1206 or laser scribe apparatus 1210, or both.
  • Cluster tool 1206 may include other chambers suitable for performing functions in a method of singulation. For example, in one embodiment, in place of an additional etch chamber, a deposition chamber 1212 is included. The deposition chamber 1212 may be configured for mask deposition on or above a device layer of a wafer or substrate prior to laser scribing of the wafer or substrate. In one such embodiment, the deposition chamber 1212 is suitable for depositing a photo-resist layer. In another embodiment, in place of an additional etch chamber, a wet/dry station 1214 is included. The wet/dry station may be suitable for cleaning residues and fragments, or for removing a mask, subsequent to a laser scribe and plasma etch singulation process of a substrate or wafer. In an embodiment, a metrology station is also included as a component of process tool 1200.
  • Embodiments of the present invention may be provided as a computer program product, or software, that may include a machine-readable medium having stored thereon instructions, which may be used to program a computer system (or other electronic devices) to perform a process according to embodiments of the present invention. In one embodiment, the computer system is coupled with process tool 1200 described in association with FIG. 12. A machine-readable medium includes any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computer). For example, a machine-readable (e.g., computer-readable) medium includes a machine (e.g., a computer) readable storage medium (e.g., read only memory (“ROM”), random access memory (“RAM”), magnetic disk storage media, optical storage media, flash memory devices, etc.), a machine (e.g., computer) readable transmission medium (electrical, optical, acoustical or other form of propagated signals (e.g., infrared signals, digital signals, etc.)), etc.
  • FIG. 13 illustrates a diagrammatic representation of a machine in the exemplary form of a computer system 1300 within which a set of instructions, for causing the machine to perform any one or more of the methodologies described herein, may be executed. In alternative embodiments, the machine may be connected (e.g., networked) to other machines in a Local Area Network (LAN), an intranet, an extranet, or the Internet. The machine may operate in the capacity of a server or a client machine in a client-server network environment, or as a peer machine in a peer-to-peer (or distributed) network environment. The machine may be a personal computer (PC), a tablet PC, a set-top box (STB), a Personal Digital Assistant (PDA), a cellular telephone, a web appliance, a server, a network router, switch or bridge, or any machine capable of executing a set of instructions (sequential or otherwise) that specify actions to be taken by that machine. Further, while only a single machine is illustrated, the term “machine” shall also be taken to include any collection of machines (e.g., computers) that individually or jointly execute a set (or multiple sets) of instructions to perform any one or more of the methodologies described herein.
  • The exemplary computer system 1300 includes a processor 1302, a main memory 1304 (e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM) such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), etc.), a static memory 1306 (e.g., flash memory, static random access memory (SRAM), etc.), and a secondary memory 1318 (e.g., a data storage device), which communicate with each other via a bus 1330.
  • Processor 1302 represents one or more general-purpose processing devices such as a microprocessor, central processing unit, or the like. More particularly, the processor 1302 may be a complex instruction set computing (CISC) microprocessor, reduced instruction set computing (RISC) microprocessor, very long instruction word (VLIW) microprocessor, processor implementing other instruction sets, or processors implementing a combination of instruction sets. Processor 1302 may also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like. Processor 1302 is configured to execute the processing logic 1326 for performing the operations described herein.
  • The computer system 1300 may further include a network interface device 1308. The computer system 1300 also may include a video display unit 1310 (e.g., a liquid crystal display (LCD), a light emitting diode display (LED), or a cathode ray tube (CRT)), an alphanumeric input device 1312 (e.g., a keyboard), a cursor control device 1314 (e.g., a mouse), and a signal generation device 1316 (e.g., a speaker).
  • The secondary memory 1318 may include a machine-accessible storage medium (or more specifically a computer-readable storage medium) 1331 on which is stored one or more sets of instructions (e.g., software 1322) embodying any one or more of the methodologies or functions described herein. The software 1322 may also reside, completely or at least partially, within the main memory 1304 and/or within the processor 1302 during execution thereof by the computer system 1300, the main memory 1304 and the processor 1302 also constituting machine-readable storage media. The software 1322 may further be transmitted or received over a network 1320 via the network interface device 1308.
  • While the machine-accessible storage medium 1331 is shown in an exemplary embodiment to be a single medium, the term “machine-readable storage medium” should be taken to include a single medium or multiple media (e.g., a centralized or distributed database, and/or associated caches and servers) that store the one or more sets of instructions. The term “machine-readable storage medium” shall also be taken to include any medium that is capable of storing or encoding a set of instructions for execution by the machine and that cause the machine to perform any one or more of the methodologies of the present invention. The term “machine-readable storage medium” shall accordingly be taken to include, but not be limited to, solid-state memories, and optical and magnetic media.
  • In accordance with an embodiment of the present invention, a machine-accessible storage medium has instructions stored thereon which cause a data processing system to perform a method of dicing a semiconductor wafer having a plurality of integrated circuits separated by dicing streets. The method includes forming a mask above the semiconductor wafer, the mask including a layer covering and protecting the integrated circuits. The mask is patterned with a laser scribing process to provide a patterned mask having a pair of parallel gaps for each dicing street, exposing regions of the semiconductor wafer between the integrated circuits. Each gap of each pair of parallel gaps is separated by a distance. The semiconductor wafer is etched through the gaps in the patterned mask to singulate the integrated circuits.
  • Thus, methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits, have been disclosed. In accordance with an embodiment of the present invention, approaches for wafer dicing with wide kerf by using a laser scribing and plasma etching hybrid approach are described. In an embodiment, a method of dicing a semiconductor wafer having a plurality of integrated circuits separated by dicing streets involves forming a mask above the semiconductor wafer, the mask including a layer covering and protecting the integrated circuits. The mask is patterned with a laser scribing process to provide a patterned mask having a pair of parallel gaps for each dicing street, exposing regions of the semiconductor wafer between the integrated circuits. Each gap of each pair of parallel gaps is separated by a distance. The semiconductor wafer is etched through the gaps in the patterned mask to singulate the integrated circuits. In one such embodiment, a total width of the distance plus the width of each gap of each pair of parallel gaps is approximately in the range of 50-85 microns. In one such embodiment, the width of each gap of each pair of parallel gaps is approximately in the range of 10-15 microns.

Claims (9)

What is claimed is:
1. A singulated semiconductor apparatus, comprising:
a plurality of singulated integrated circuits disposed on a dicing tape; and
one or more portions of a semiconductor wafer disposed on the dicing tape, among the singulated integrated circuits, and corresponding to dicing streets of the semiconductor wafer.
2. The singulated semiconductor apparatus of claim 1, wherein the one or more portions of the semiconductor wafer are portions of a single crystalline silicon semiconductor wafer.
3. The singulated semiconductor apparatus of claim 1, wherein the one or more portions of the semiconductor wafer comprise a residual mask layer thereon.
4. The singulated semiconductor apparatus of claim 1, wherein the one or more portions of the semiconductor wafer comprise one or more metallization layers thereon.
5. The singulated semiconductor apparatus of claim 1, wherein each of the one or more portions of the semiconductor wafer is spaced approximately in the range of 10-15 microns from a nearest of the singulated integrated circuits.
6. The singulated semiconductor apparatus of claim 1, wherein neighboring ones of the singulated integrated circuits are spaced apart by a distance approximately in the range of 50-85 microns.
7. A singulated semiconductor apparatus, comprising:
a plurality of singulated integrated circuits disposed on a dicing tape;
one or more portions of a single crystalline silicon wafer disposed on the dicing tape, among the singulated integrated circuits, and corresponding to dicing streets of the single crystalline silicon wafer;
one or more metallization layers disposed above the one or more portions of the single crystalline silicon wafer; and
a residual mask layer disposed above the one or more metallization layers of the one or more portions of the single crystalline silicon wafer.
8. The singulated semiconductor apparatus of claim 7, wherein each of the one or more portions of the semiconductor wafer is spaced approximately in the range of 10-15 microns from a nearest of the singulated integrated circuits.
9. The singulated semiconductor apparatus of claim 7, wherein neighboring ones of the singulated integrated circuits are spaced apart by a distance approximately in the range of 50-85 microns.
US14/513,048 2013-05-22 2014-10-13 Wafer dicing with wide kerf by laser scribing and plasma etching hybrid approach Abandoned US20150028446A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/513,048 US20150028446A1 (en) 2013-05-22 2014-10-13 Wafer dicing with wide kerf by laser scribing and plasma etching hybrid approach

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361826131P 2013-05-22 2013-05-22
US13/947,890 US8883614B1 (en) 2013-05-22 2013-07-22 Wafer dicing with wide kerf by laser scribing and plasma etching hybrid approach
US14/513,048 US20150028446A1 (en) 2013-05-22 2014-10-13 Wafer dicing with wide kerf by laser scribing and plasma etching hybrid approach

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/947,890 Division US8883614B1 (en) 2013-05-22 2013-07-22 Wafer dicing with wide kerf by laser scribing and plasma etching hybrid approach

Publications (1)

Publication Number Publication Date
US20150028446A1 true US20150028446A1 (en) 2015-01-29

Family

ID=51845732

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/947,890 Active US8883614B1 (en) 2013-05-22 2013-07-22 Wafer dicing with wide kerf by laser scribing and plasma etching hybrid approach
US14/513,048 Abandoned US20150028446A1 (en) 2013-05-22 2014-10-13 Wafer dicing with wide kerf by laser scribing and plasma etching hybrid approach

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/947,890 Active US8883614B1 (en) 2013-05-22 2013-07-22 Wafer dicing with wide kerf by laser scribing and plasma etching hybrid approach

Country Status (3)

Country Link
US (2) US8883614B1 (en)
TW (1) TWI644350B (en)
WO (1) WO2014189658A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017190060A1 (en) * 2016-04-29 2017-11-02 Flir Systems, Inc. Methods for singulation and packaging

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9016552B2 (en) * 2013-03-15 2015-04-28 Sanmina Corporation Method for forming interposers and stacked memory devices
JP6113019B2 (en) * 2013-08-07 2017-04-12 株式会社ディスコ Wafer division method
US9165832B1 (en) * 2014-06-30 2015-10-20 Applied Materials, Inc. Method of die singulation using laser ablation and induction of internal defects with a laser
US20160172243A1 (en) * 2014-12-11 2016-06-16 Nxp B.V. Wafer material removal
US9159624B1 (en) * 2015-01-05 2015-10-13 Applied Materials, Inc. Vacuum lamination of polymeric dry films for wafer dicing using hybrid laser scribing and plasma etch approach
JP6522998B2 (en) * 2015-03-13 2019-05-29 古河電気工業株式会社 Semiconductor wafer processing method, semiconductor chip and surface protection tape for semiconductor wafer processing
US9972575B2 (en) * 2016-03-03 2018-05-15 Applied Materials, Inc. Hybrid wafer dicing approach using a split beam laser scribing process and plasma etch process
US10163954B2 (en) * 2016-04-11 2018-12-25 Omnivision Technologies, Inc. Trenched device wafer, stepped-sidewall device die, and associated method
JP6817854B2 (en) * 2017-02-28 2021-01-20 株式会社ディスコ How to divide the resin package substrate
JP6906845B2 (en) * 2017-06-22 2021-07-21 株式会社ディスコ Processing method of work piece
JP7109862B2 (en) * 2018-07-10 2022-08-01 株式会社ディスコ Semiconductor wafer processing method
US20210107094A1 (en) * 2019-10-14 2021-04-15 Haesung Ds Co., Ltd. Apparatus for and method of polishing surface of substrate
CN111128879A (en) * 2019-12-27 2020-05-08 青岛歌尔微电子研究院有限公司 Wafer and cutting method thereof
TWI736409B (en) * 2020-03-27 2021-08-11 美商矽成積體電路股份有限公司 Package structure
KR20220090664A (en) 2020-12-22 2022-06-30 삼성전자주식회사 Strip substrate and Semiconductor package
CN113140617A (en) * 2021-03-16 2021-07-20 南瑞联研半导体有限责任公司 High-power semiconductor device and preparation method thereof
CN113666331A (en) * 2021-08-23 2021-11-19 苏州司南传感科技有限公司 Thin silicon release process compatible with MEMS deep silicon etching process
CN115831774B (en) * 2022-12-08 2023-08-01 湖北三维半导体集成创新中心有限责任公司 Wafer processing method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6566745B1 (en) * 1999-03-29 2003-05-20 Imec Vzw Image sensor ball grid array package and the fabrication thereof
US20030100143A1 (en) * 2001-11-28 2003-05-29 Mulligan Rose A. Forming defect prevention trenches in dicing streets
US20120322237A1 (en) * 2011-06-15 2012-12-20 Wei-Sheng Lei Laser and plasma etch wafer dicing using physically-removable mask

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4049944A (en) 1973-02-28 1977-09-20 Hughes Aircraft Company Process for fabricating small geometry semiconductive devices including integrated components
JPH0416085A (en) 1990-05-10 1992-01-21 Tokyo Gas Co Ltd Picture recording and reproducing device
US5128282A (en) * 1991-11-04 1992-07-07 Xerox Corporation Process for separating image sensor dies and the like from a wafer that minimizes silicon waste
US5593606A (en) 1994-07-18 1997-01-14 Electro Scientific Industries, Inc. Ultraviolet laser system and method for forming vias in multi-layered targets
US6426484B1 (en) 1996-09-10 2002-07-30 Micron Technology, Inc. Circuit and method for heating an adhesive to package or rework a semiconductor die
US5920973A (en) 1997-03-09 1999-07-13 Electro Scientific Industries, Inc. Hole forming system with multiple spindles per station
JP3230572B2 (en) 1997-05-19 2001-11-19 日亜化学工業株式会社 Method for manufacturing nitride compound semiconductor device and semiconductor light emitting device
US6057180A (en) 1998-06-05 2000-05-02 Electro Scientific Industries, Inc. Method of severing electrically conductive links with ultraviolet laser output
JP2001110811A (en) 1999-10-08 2001-04-20 Oki Electric Ind Co Ltd Manufacturing method of semiconductor device
JP4387007B2 (en) 1999-10-26 2009-12-16 株式会社ディスコ Method for dividing semiconductor wafer
JP2001144126A (en) 1999-11-12 2001-05-25 Matsushita Electric Ind Co Ltd Semiconductor device and manufacturing method
JP2001148358A (en) 1999-11-19 2001-05-29 Disco Abrasive Syst Ltd Semiconductor wafer and deviding method thereof
US6887804B2 (en) 2000-01-10 2005-05-03 Electro Scientific Industries, Inc. Passivation processing over a memory link
CA2395960A1 (en) 2000-01-10 2001-07-19 Electro Scientific Industries, Inc. Laser system and method for processing a memory link with a burst of laser pulses having ultrashort pulsewidths
WO2001074529A2 (en) 2000-03-30 2001-10-11 Electro Scientific Industries, Inc. Laser system and method for single pass micromachining of multilayer workpieces
GB2386184B (en) 2000-07-12 2004-05-26 Electro Scient Ind Inc UV laser system and method for single pulse severing of IC fuses
US6676878B2 (en) 2001-01-31 2004-01-13 Electro Scientific Industries, Inc. Laser segmented cutting
US6759275B1 (en) 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
US6642127B2 (en) 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
JP3910843B2 (en) 2001-12-13 2007-04-25 東京エレクトロン株式会社 Semiconductor element separation method and semiconductor element separation apparatus
US6706998B2 (en) 2002-01-11 2004-03-16 Electro Scientific Industries, Inc. Simulated laser spot enlargement
KR100451950B1 (en) 2002-02-25 2004-10-08 삼성전자주식회사 Sawing method for image sensor device wafer
CN1515025A (en) 2002-02-25 2004-07-21 ������������ʽ���� Method for dividing semiconductor wafer
JP2003257896A (en) 2002-02-28 2003-09-12 Disco Abrasive Syst Ltd Method for dicing semiconductor wafer
KR101037142B1 (en) 2002-04-19 2011-05-26 일렉트로 사이언티픽 인더스트리즈, 아이엔씨 Program-controlled dicing of a substrate using a pulsed laser
JP2004031526A (en) 2002-06-24 2004-01-29 Toyoda Gosei Co Ltd Manufacturing method of group iii nitride compound semiconductor element
US6582983B1 (en) 2002-07-12 2003-06-24 Keteca Singapore Singapore Method and wafer for maintaining ultra clean bonding pads on a wafer
JP4286497B2 (en) 2002-07-17 2009-07-01 新光電気工業株式会社 Manufacturing method of semiconductor device
JP3908148B2 (en) 2002-10-28 2007-04-25 シャープ株式会社 Multilayer semiconductor device
JP2004273895A (en) 2003-03-11 2004-09-30 Disco Abrasive Syst Ltd Dividing method of semiconductor wafer
JP2004322168A (en) 2003-04-25 2004-11-18 Disco Abrasive Syst Ltd Laser machining apparatus
JP4231349B2 (en) 2003-07-02 2009-02-25 株式会社ディスコ Laser processing method and laser processing apparatus
JP4408361B2 (en) 2003-09-26 2010-02-03 株式会社ディスコ Wafer division method
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP4471632B2 (en) 2003-11-18 2010-06-02 株式会社ディスコ Wafer processing method
JP2005203541A (en) 2004-01-15 2005-07-28 Disco Abrasive Syst Ltd Laser-processing method for wafer
US7129114B2 (en) * 2004-03-10 2006-10-31 Micron Technology, Inc. Methods relating to singulating semiconductor wafers and wafer scale assemblies
US7508052B2 (en) * 2004-06-03 2009-03-24 International Rectifier Corporation Crack protection for silicon die
US7459377B2 (en) 2004-06-08 2008-12-02 Panasonic Corporation Method for dividing substrate
US7687740B2 (en) 2004-06-18 2010-03-30 Electro Scientific Industries, Inc. Semiconductor structure processing using multiple laterally spaced laser beam spots delivering multiple blows
JP4018088B2 (en) 2004-08-02 2007-12-05 松下電器産業株式会社 Semiconductor wafer dividing method and semiconductor element manufacturing method
US7199050B2 (en) 2004-08-24 2007-04-03 Micron Technology, Inc. Pass through via technology for use during the manufacture of a semiconductor device
JP4018096B2 (en) 2004-10-05 2007-12-05 松下電器産業株式会社 Semiconductor wafer dividing method and semiconductor element manufacturing method
JP4288229B2 (en) 2004-12-24 2009-07-01 パナソニック株式会社 Manufacturing method of semiconductor chip
US7875898B2 (en) 2005-01-24 2011-01-25 Panasonic Corporation Semiconductor device
JP2006253402A (en) 2005-03-10 2006-09-21 Nec Electronics Corp Manufacturing method of semiconductor device
JP4478053B2 (en) 2005-03-29 2010-06-09 株式会社ディスコ Semiconductor wafer processing method
JP4285455B2 (en) 2005-07-11 2009-06-24 パナソニック株式会社 Manufacturing method of semiconductor chip
JP4599243B2 (en) 2005-07-12 2010-12-15 株式会社ディスコ Laser processing equipment
JP4769560B2 (en) 2005-12-06 2011-09-07 株式会社ディスコ Wafer division method
JP4372115B2 (en) 2006-05-12 2009-11-25 パナソニック株式会社 Semiconductor device manufacturing method and semiconductor module manufacturing method
JP4480728B2 (en) 2006-06-09 2010-06-16 パナソニック株式会社 Method for manufacturing MEMS microphone
JP4544231B2 (en) 2006-10-06 2010-09-15 パナソニック株式会社 Manufacturing method of semiconductor chip
JP4840174B2 (en) 2007-02-08 2011-12-21 パナソニック株式会社 Manufacturing method of semiconductor chip
JP4840200B2 (en) 2007-03-09 2011-12-21 パナソニック株式会社 Manufacturing method of semiconductor chip
US7605009B2 (en) * 2007-03-12 2009-10-20 Silverbrook Research Pty Ltd Method of fabrication MEMS integrated circuits
US7811853B1 (en) * 2007-11-29 2010-10-12 Marvell International Ltd. Method for avoiding die cracking
US7622365B2 (en) * 2008-02-04 2009-11-24 Micron Technology, Inc. Wafer processing including dicing
US7859084B2 (en) 2008-02-28 2010-12-28 Panasonic Corporation Semiconductor substrate
CN101990480A (en) 2008-04-10 2011-03-23 应用材料股份有限公司 Laser-scribing platform and hybrid writing strategy
US20100129984A1 (en) * 2008-11-26 2010-05-27 George Vakanas Wafer singulation in high volume manufacturing
JP5442308B2 (en) * 2009-04-22 2014-03-12 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
KR101094450B1 (en) * 2009-06-05 2011-12-15 에스티에스반도체통신 주식회사 Dicing method using a plasma etching
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
US8703581B2 (en) * 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US8507363B2 (en) * 2011-06-15 2013-08-13 Applied Materials, Inc. Laser and plasma etch wafer dicing using water-soluble die attach film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6566745B1 (en) * 1999-03-29 2003-05-20 Imec Vzw Image sensor ball grid array package and the fabrication thereof
US20030100143A1 (en) * 2001-11-28 2003-05-29 Mulligan Rose A. Forming defect prevention trenches in dicing streets
US20120322237A1 (en) * 2011-06-15 2012-12-20 Wei-Sheng Lei Laser and plasma etch wafer dicing using physically-removable mask

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017190060A1 (en) * 2016-04-29 2017-11-02 Flir Systems, Inc. Methods for singulation and packaging
US10818550B2 (en) 2016-04-29 2020-10-27 Flir Systems, Inc. Methods for singulation and packaging

Also Published As

Publication number Publication date
TW201448009A (en) 2014-12-16
TWI644350B (en) 2018-12-11
US20140346641A1 (en) 2014-11-27
US8883614B1 (en) 2014-11-11
WO2014189658A1 (en) 2014-11-27

Similar Documents

Publication Publication Date Title
US11621194B2 (en) Wafer dicing using femtosecond-based laser and plasma etch
US8883614B1 (en) Wafer dicing with wide kerf by laser scribing and plasma etching hybrid approach
US9177864B2 (en) Method of coating water soluble mask for laser scribing and plasma etch
US9224625B2 (en) Laser and plasma etch wafer dicing using water-soluble die attach film
US8940619B2 (en) Method of diced wafer transportation
US9460966B2 (en) Method and apparatus for dicing wafers having thick passivation polymer layer
US9126285B2 (en) Laser and plasma etch wafer dicing using physically-removable mask
US9209084B2 (en) Maskless hybrid laser scribing and plasma etching wafer dicing process
US20150079760A1 (en) Alternating masking and laser scribing approach for wafer dicing using laser scribing and plasma etch
WO2015023287A1 (en) Method of coating water soluble mask for laser scribing and plasma etch

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEI, WEI-SHENG;EATON, BRAD;IYER, APARNA;AND OTHERS;REEL/FRAME:033940/0026

Effective date: 20130718

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION