US20090002656A1 - Device and method for transmission image detection, lithographic apparatus and mask for use in a lithographic apparatus - Google Patents

Device and method for transmission image detection, lithographic apparatus and mask for use in a lithographic apparatus Download PDF

Info

Publication number
US20090002656A1
US20090002656A1 US11/819,958 US81995807A US2009002656A1 US 20090002656 A1 US20090002656 A1 US 20090002656A1 US 81995807 A US81995807 A US 81995807A US 2009002656 A1 US2009002656 A1 US 2009002656A1
Authority
US
United States
Prior art keywords
object mark
pattern
image
projection system
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/819,958
Other languages
English (en)
Inventor
Bearrach Moest
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority to US11/819,958 priority Critical patent/US20090002656A1/en
Assigned to ASML NETHERLANDS B.V. reassignment ASML NETHERLANDS B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MOEST, BEARRACH
Priority to US12/155,502 priority patent/US7675605B2/en
Priority to JP2008162663A priority patent/JP5124359B2/ja
Priority to NL1035629A priority patent/NL1035629A1/nl
Priority to KR1020080061391A priority patent/KR100965616B1/ko
Priority to CN 200810128570 priority patent/CN101344731B/zh
Priority to TW097124427A priority patent/TWI451201B/zh
Publication of US20090002656A1 publication Critical patent/US20090002656A1/en
Priority to US12/708,986 priority patent/US9036130B2/en
Priority to JP2012237385A priority patent/JP5670985B2/ja
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting

Definitions

  • the present invention relates to a device for transmission image detection, a method for transmission image detection, a lithographic apparatus and a mask for use in a lithographic apparatus.
  • a lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC.
  • This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate.
  • resist radiation-sensitive material
  • a single substrate will contain a network of adjacent target portions that are successively patterned.
  • lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning”-direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.
  • an important factor in the yield i.e. the percentage of correctly manufactured devices, is the accuracy within which layers are printed in relation to layers that have previously been formed. This is known as overlay, and the overlay error budget will often be 10 nm or less. To achieve such accuracy, the substrate must be aligned to the reticle pattern to be transferred with great accuracy.
  • a number of sensors is used at substrate level for evaluating and optimizing imaging performance. These may include transmission image sensors (TIS).
  • TIS transmission image sensors
  • a TIS is a sensor that is used to measure at substrate level the position of a projected aerial image of a mark pattern at mask (reticle) level.
  • the projected image at substrate level may be a line pattern with a line width comparable to the wavelength of the exposure radiation.
  • the TIS measures the aforementioned mark pattern using a transmission pattern with a photocell underneath it.
  • the sensor data may be used to measure the position of the reticle with respect to the substrate table in six degrees of freedom, i.e. three degrees of freedom related to translation and three degrees of freedom related to rotation.
  • magnification and scaling of the projected mark pattern may be measured.
  • the senor With a small line width, the sensor is capable of measuring the pattern positions and influences of several illumination settings, e.g. annular, dipole, for several mask types (e.g. binary mask, phase-shift mask).
  • the TIS may also be used to measure optical performance of a tool, like a lithographic projection apparatus.
  • properties such as pupil shape, coma, spherical aberration, astigmatism and field curvature can be measured.
  • the invention provides a device for transmission image detection of an aerial image formed in a lithographic projection apparatus by radiation of a predetermined wavelength.
  • the device comprises a structure, a projection system, and a detector.
  • the structure is provided with an object mark arranged for forming an object mark pattern upon illumination by the radiation with a predetermined wavelength.
  • the object mark has a critical dimension in a first direction.
  • the projection system is arranged for forming an object mark aerial image of the object mark pattern at an image side of the projection system.
  • the image side has a numerical aperture larger than 1.
  • the detector comprises a slit pattern and a photo-sensitive device.
  • the slit pattern is positioned in a plane proximate to an image plane of the projection system, and has a critical dimension in the first direction.
  • the device is configured such that:
  • d represents a critical dimension of the object mark aerial image at the image plane
  • represents the predetermined wavelength of the radiation
  • NA represents the numerical aperture
  • the invention provides a lithographic apparatus comprising an illumination system, a support structure, a substrate holder, a projection system, and a device for transmission image detection as described above.
  • the illumination system is configured to provide a beam of radiation.
  • the support structure is configured to support a patterning device that serves to impart the beam of radiation with a pattern in its cross-section.
  • the substrate holder is configured to hold a substrate.
  • the projection system is configured to expose the patterned beam on the substrate and to have a numerical aperture larger than 1.
  • the invention provides a method for transmission image detection of an aerial image formed in a lithographic projection apparatus by radiation of a predetermined wavelength.
  • the method comprises:
  • d represents a critical dimension of the object mark aerial image at the image plane
  • represents the predetermined wavelength of the radiation
  • NA represents the numerical aperture
  • FIG. 1 depicts a lithographic apparatus according to an embodiment of the invention
  • FIG. 2 schematically depicts an arrangement of the substrate table depicted in the lithographic apparatus of FIG. 1 ;
  • FIG. 3 schematically depicts a device for transmission image detection
  • FIGS. 4 a and 4 b depict an exemplary intensity distribution of an aerial image detected by the device for transmission image detection of FIG. 2 in a contour plot and a 3D plot, respectively;
  • FIGS. 5 a , 5 b and 5 c depict exemplary modeled intensity distributions of an aerial image to be detected by the device for transmission image detection of FIG. 3 ;
  • FIGS. 6 a , 6 b and 6 c schematically depict object marks that may be used by a device for transmission image detection according to first, second, and third embodiments of the invention, respectively;
  • FIGS. 7 and 7 b schematically depict slit patterns for use in a device for transmission image detection according to embodiments of the invention.
  • FIG. 8 shows an embodiment of a computer assembly that may be used by an assembly according to an embodiment of the present invention.
  • FIG. 1 schematically depicts a lithographic apparatus according to one embodiment of the invention.
  • the apparatus comprises:
  • the illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • optical components such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • the support structure MT supports, i.e. bears the weight of, the patterning device MA. It holds the patterning device MA in a manner that depends on the orientation of the patterning device MA, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device MA is held in a vacuum environment.
  • the support structure MT can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device MA.
  • the support structure MT may be a frame or a table, for example, which may be fixed or movable as required.
  • the support structure MT may ensure that the patterning device MA is at a desired position, for example with respect to the projection system PS. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.”
  • patterning device used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion C of the substrate W. It should be noted that the pattern imparted to the radiation beam B may not exactly correspond to the desired pattern in the target portion C of the substrate W, for example if the pattern includes phase-shifting features or so-called assist features. Generally, the pattern imparted to the radiation beam B will correspond to a particular functional layer in a device being created in the target portion C, such as an integrated circuit.
  • the patterning device MA may be transmissive or reflective.
  • Examples of patterning devices MA include masks, programmable mirror arrays, and programmable LCD panels.
  • Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types.
  • An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
  • projection system used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system.”
  • the apparatus is of a transmissive type (e.g. employing a transmissive mask).
  • the apparatus may be of a reflective type (e.g. employing a programmable mirror array of a type as referred to above, or employing a reflective mask).
  • the lithographic apparatus may be of a type having two (dual-stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
  • the lithographic apparatus may also be of a type wherein at least a portion of the substrate W may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system PS and the substrate W.
  • a liquid having a relatively high refractive index e.g. water
  • An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask MA and the projection system PS. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems.
  • immersion as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system PS and the substrate W during exposure.
  • the illuminator IL receives a radiation beam B from a radiation source SO.
  • the source SO and the lithographic apparatus may be separate entities, for example when the source SO is an excimer laser. In such cases, the source SO is not considered to form part of the lithographic apparatus and the radiation beam B is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD comprising, for example, suitable directing mirrors and/or a beam expander.
  • the source SO may be an integral part of the lithographic apparatus, for example when the source SO is a mercury lamp.
  • the source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
  • the illuminator IL may comprise an adjuster AD for adjusting the angular intensity distribution of the radiation beam B.
  • an adjuster AD for adjusting the angular intensity distribution of the radiation beam B.
  • the illuminator IL may comprise various other components, such as an integrator IN and a condenser CO.
  • the illuminator IL may be used to condition the radiation beam B, to have a desired uniformity and intensity distribution in its cross-section.
  • the radiation beam B is incident on the patterning device (e.g., mask MA), which is held on the support structure (e.g., mask table MT), and is patterned by the patterning device MA. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam B onto a target portion C of the substrate W.
  • the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B.
  • the first positioner PM and another position sensor (which is not explicitly depicted in FIG.
  • the mask table MT can be used to accurately position the mask MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan.
  • movement of the mask table MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM.
  • movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW.
  • the mask table MT may be connected to a short-stroke actuator only, or may be fixed.
  • Mask MA and substrate W may be aligned using mask alignment marks M 1 , M 2 and substrate alignment marks P 1 , P 2 .
  • the substrate alignment marks P 1 ,P 2 as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks).
  • the mask alignment marks M 1 ,M 2 may be located between the dies.
  • the depicted apparatus could be used in at least one of the following modes:
  • step mode the mask table MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam B is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X direction and/or Y direction so that a different target portion C can be exposed.
  • step mode the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.
  • the mask table MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of the substrate table WT relative to the mask table MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS.
  • the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.
  • the mask table MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam B is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes a programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • FIG. 2 schematically depicts an arrangement of the substrate table WT depicted in the lithographic apparatus of FIG. 1 .
  • two fixed marks TIS 1 and TIS 2 are provided on the substrate table WT.
  • the fixed marks TIS 1 and TIS 2 have integrated into them an image sensor that can be used to determine a location of an aerial image of an object mark on the mask MA by scanning the image sensor through the aerial image.
  • the relative position of the image of the object mark on the mask MA and the fixed marks TIS 1 , TIS 2 can be determined. If the substrate table WT is provided with a substrate W comprising substrate marks, e.g. substrate marks P 1 , P 2 , P 3 , P 4 as depicted in FIG. 2 , an alignment sensor (not shown) may have previously determined the relative positions of the substrate marks P 1 , P 2 , P 3 , P 4 .
  • FIG. 3 schematically depicts an embodiment of a device TIS for transmission image detection, for example transmission image sensor TIS 1 , TIS 2 as depicted in FIG. 2 .
  • the device TIS for transmission image detection comprises a slit pattern G 1 and a photo sensor device PD.
  • the device TIS for transmission image detection is positioned underneath the projection system PS, at a side facing the substrate table WT.
  • the device TIS for transmission image detection uses an object mark G 0 , e.g. a first grating.
  • the object mark G 0 is provided on the mask MA.
  • the object mark G 0 may be provided on the mask table MT.
  • the object mark G 0 is arranged to form a pattern upon illumination by the projection beam PB in the projection beam's cross section.
  • the pattern present in the cross-section of the projection beam PB as a result of object mark G 0 passes through one or more lenses 1 , e.g. through lenses in projection system PS.
  • the optical properties of such a projection system PS are such that an aerial image of object mark G 0 is formed.
  • the device TIS for transmission image detection is arranged to detect the aerial image of the object mark G 0 .
  • the device TIS for transmission image detection can move relative to the position of the projection system PS and the mask MA in at least three directions, e.g. three orthogonal directions X, Y and Z. By scanning along these three directions, an intensity of the aerial image can be mapped as a function of X, Y and Z-positions of the device TIS for transmission image detection.
  • the resulting map may be an image map (a 3D map) which comprises coordinates of sampling locations and a sampled intensity at each sampling location.
  • the aerial image may be projected using a relatively large depth of focus, different from the depth of focus during exposure of a pattern in mask MA on a substrate W.
  • the orientation of a critical dimension of the object mark G 0 is similar to the orientation of a critical dimension of the corresponding slit pattern G 1 .
  • the object mark extends in a first direction, e.g. the X-direction, and has a critical dimension in a second direction orthogonal to the first direction, e.g. the Y-direction
  • the corresponding slit pattern G 1 also extends in the first direction and also has a critical dimension in the second direction.
  • the slit pattern G 1 is positioned in a plane proximate to an image plane of the projection system PS.
  • the slit pattern G 1 is an opening over the photo sensor device PD which may have the shape of a slit or a square.
  • the opening has a well-defined edge.
  • the slit pattern G 1 is similar in shape to the object mark G 0 . Additionally, feature sizes of slit pattern G 1 may be substantially equal to the feature sizes of object mark G 0 multiplied by magnification factor M of the projection system PS. Applying a pattern on the opening over the photo sensor device PD increases the number of edges which may improve the sensitivity of the photo sensor PD.
  • the device TIS for transmission image detection may comprise at least two slit patterns G 1 , one arranged for image detection in a first direction, e.g. the X-direction, and one arranged for image detection in a second direction orthogonal to the first direction, e.g. the Y-direction.
  • the aerial image in this embodiment can be detected in both X and Y directions simultaneously.
  • object mark G 0 in the mask MA comprises at least two mark elements, e.g. a grating, isolated line or isolated slit.
  • a first mark element may be arranged for image creation in the first direction, e.g.
  • mapping devices like processors or microprocessors connected to the device TIS for transmission image detection, may derive a position of the aerial image, e.g. by using a parabolic fit using a least squares fitting method.
  • a computational device may be a processor, e.g. a processor or microprocessor in a dedicated processing device like a controller system or a general purpose computer system or other computer system, which can be programmably instructed to perform the mapping and associated computation.
  • FIG. 8 provides an example of computational devices, e.g. a processor, arranged for determining a position of an aerial image and also for performing methods according to the present invention.
  • computational devices e.g. a processor
  • FIGS. 4 a and 4 b depict an exemplary intensity distribution of an aerial image detected by the device TIS for transmission image detection of FIG. 2 in a contour plot and a 3D plot, respectively.
  • the contour plot of FIG. 4 a shows an intensity distribution in a horizontal direction-focus plane, e.g. a X-Z plane or a Y-Z plane. If the contour plot is for an X-Z plane, the intensity distribution is given for a fixed Y-position, and if the contour plot is for a Y-Z plane, the intensity distribution is given for a fixed X-position.
  • the contour plots are compiled by modeling an image intensity of the slit pattern G 1 at different locations within the X-Y surface for a number of different Z-positions.
  • the scan paths are shown as solid lines with dots indicating the measured substrate table positions.
  • the X, Y, and Z positions are determined by other means, e.g. by interferometry. As one can see from the scan paths, the measurement positions which were intended to be on an orthogonal grid, appear to be somewhat random. This is due to vibrations in the system.
  • the 3D plot of FIG. 4 b is a modified representation of the data from FIG. 4 a by plotting the intensity measured by the device TIS for transmission image detection as a third parameter along the z-axis of the plot.
  • the aligned position can be derived by fitting the shape of the measured aerial image to a model.
  • the aligned position is derived by a parabolic fit on the top TOP of the aerial image peak (as shown in FIG. 4 b ).
  • Alternative methods for fitting data such as a Gaussian fit or a least squares fit, may be used as well.
  • One factor that influences the aerial image of the object mark G 0 is a so-called illumination profile of the object mark, i.e. a profile of the angles from which radiation is incident on the object mark G 0 .
  • illumination profile of the object mark i.e. a profile of the angles from which radiation is incident on the object mark G 0 .
  • a plane referred to as a pupil plane PP, (and sometimes multiple planes) in the illumination system IL that is a Fourier transform of the object plane of the projection system PS, in which the mask MA is located.
  • a position in the pupil plane (expressed using normalized variables ⁇ x and ⁇ y ) translates to an angle ( ⁇ , ⁇ ) at the object plane. Consequently, an angular distribution of the illumination of the object mark G 0 can be determined by determining an intensity distribution in the pupil plane.
  • Illumination profiles are commonly referred to by the shape of the intensity distribution in the pupil plane and include: conventional illumination (defined by a pupil filling factor often referred to as a ⁇ -setting), annular illumination (defined by inner and outer radii, often referred to as ⁇ i and ⁇ o ), dipole illumination and quadrupole illumination as well as combinations of these and more complex distributions.
  • a lithographic apparatus may be provided with devices to define standard illumination profiles, with or without adjustable parameters, that are suitable for imaging common patterns, or devices to define custom illumination profiles for specific patterns.
  • the present invention may be used with any lithographic apparatus having one or more devices to control or adjust the illumination profile.
  • FIGS. 5 a , 5 b and 5 c depict exemplary modeled intensity distributions of an aerial image detected by the device TIS for transmission image detection in a contour plot for different illumination settings, i.e. conventional illumination setting, dipole illumination setting and annular illumination setting, respectively.
  • an optimal position of a projected aerial image with respect to the coordinate system of the substrate table WT may be calculated.
  • a function is fitted on the position-dependent modeled intensity data from which a unique position may be calculated. This unique position, which not necessarily corresponds to the position with maximum measured or modeled intensity, although this may be the case, is then considered to be representative for the position of the aerial image.
  • the number of fitting coefficients is limited to support a fast and stable optimization.
  • the function is scaleable. In such a case, the same function may be used at different illumination settings, i.e. the function provides a different aerial image height and width, but provides an aerial image of substantially similar shape.
  • determining the relative position of mask MA with respect to substrate table WT is not straightforward.
  • a range of relative positions may fit the measured intensity of the aerial image transmitted through slit pattern G 1 , i.e. along the horizontal axis, the same shade of gray is present for a range of values.
  • the focus position vertical direction
  • the focus position remains uncertain because, for a range of focus position values, a similar intensity value can be found.
  • a critical dimension of the object mark aerial image at an image plane of the projection system PS is chosen to be smaller than 0.85 times
  • represents the wavelength used to illuminate the object mark G 0
  • NA represents the numerical aperture of the projection system PS.
  • Amplitudes of diffraction orders formed by object mark G 0 correspond with a sinc-function. At the optimum aligned position, all amplitudes in the aerial image are summed without having a phase-difference.
  • ⁇ avg is the average angular distribution of light falling on the object mark G 0 .
  • a typical ⁇ inner i.e. the smallest distribution angle of light falling on the object mark G 0 , for dipole illumination settings is 0.7, which results in a typical ⁇ avg of 0.85 for dipole illumination settings.
  • the critical dimension of the object mark aerial image at the image plane d corresponds to the critical dimension of the object mark G 0 on the mask MA or, alternatively, mask table MT, also referred to as D.
  • the critical dimension D is defined as the smallest dimension present in object mark G 0 in a predetermined measurement direction.
  • M magnification factor
  • M magnification factor
  • Typical types of object marks G 0 that may be used in embodiments of the invention include an isolated slit ( FIG. 6 a ), an isolated line ( FIG. 6 b ) and a diffraction grating ( FIG. 6 c ).
  • results are obtained which exceed results obtained for presently used object marks G 0 .
  • the performance increases by about 30%.
  • the aforementioned dimensioning of d according to embodiments of the invention is especially suitable for use of wavelengths between 150 nm and 200 nm, and in particular 157 nm and 193 nm.
  • Typical types of slit patterns G 1 that may be used in embodiments of the invention include a single slit ( FIG. 7 a ) and a diffraction grating ( FIG. 7 b ).
  • a first object mark G 0 is an isolated line in a first direction, e.g. the X-direction
  • a second object mark G 0 is an isolated line in a second direction, orthogonal to the first direction, e.g. the Y-direction.
  • a suitable slit pattern G 1 aerial images may be obtained for two different directions, i.e. in case of object mark G 0 being arranged in the first direction or second direction respectively, the slit pattern G 1 also being arranged in the first direction or second direction respectively. This enables a fit for different dipole illumination directions, i.e. dipole in the X-direction and dipole in the Y-direction, with a single arrangement.
  • the device TIS for transmission image detection may be located at the level of the substrate W and in particular such that the slit pattern G 1 is at substantially the same distance from the final element of the projection system PS as the substrate W.
  • a processor 101 may be used in a computer assembly 100 as shown in FIG. 8 to use information acquired using embodiments of the device TIS for transmission image detection for positioning substrate table WT with or without substrate W with respect to mask MA or, alternatively, mask table MT.
  • the computer assembly 100 may be a dedicated computer in the form of a control unit in embodiments of the assembly according to the invention or, alternatively, be a central computer controlling the lithographic projection apparatus.
  • a memory 105 connected to processor 101 may comprise a number of memory components, such as a hard disk 111 , Read Only Memory (ROM) 112 , Electrically Erasable Programmable Read Only Memory (EEPROM) 113 , and/or Random Access Memory (RAM) 114 . Not all aforementioned memory components need to be present. Furthermore, it is not essential that the aforementioned memory components are physically in close proximity to the processor 101 or to each other. They may be located at a distance away
  • the processor 101 may also be connected to some kind of user interface, for instance a keyboard 115 or a mouse 116 .
  • a keyboard 115 or a mouse 116 may also be connected to some kind of user interface.
  • a touch-screen, track-ball, speech converter or other interfaces that are known to persons skilled in the art may also be used.
  • the processor 101 may be connected to a reading unit 117 , which is arranged to read data from and under some circumstances store data on a data carrier, like a floppy disc 118 or a CDROM 119 . Also DVD's or other data carriers known to persons skilled in the art may be used.
  • the processor 101 may also be connected to a printer 120 to print out output data on paper and/or may be configured to show the output data on a display 121 , for instance a monitor or LCD (Liquid Crystal Display), of any other type of display known to a person skilled in the art.
  • a printer 120 to print out output data on paper and/or may be configured to show the output data on a display 121 , for instance a monitor or LCD (Liquid Crystal Display), of any other type of display known to a person skilled in the art.
  • LCD Liquid Crystal Display
  • the processor 101 may be connected to a communications network 122 , for instance a public switched telephone network (PSTN), a local area network (LAN), a wide area network (WAN) etc. by means of transmitters/receivers 123 responsible for input/output (I/O).
  • the processor 101 may be arranged to communicate with other communication systems via the communications network 122 .
  • external computers not shown, for instance personal computers of operators, can log into the processor 101 via the communications network 122 .
  • the processor 101 may be implemented as an independent system or as a number of processing units that operate in parallel, wherein each processing unit is arranged to execute sub-tasks of a larger program.
  • the processing units may also be divided into one or more main processing units with several subprocessing units. Some processing units of the processor 101 may even be located a distance away from the other processing units and communicate via communications network 122 .
  • lithographic apparatus in the manufacture of ICs
  • the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc.
  • LCDs liquid-crystal displays
  • any use of the terms “wafer” or “die” herein may be considered synonymous with the more general terms “substrate” and “target portion,” respectively.
  • the substrate referred to herein may be processed before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
  • UV radiation encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g. having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm).
  • UV radiation e.g. having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm.
  • lens may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
  • the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (e.g. semiconductor memory, magnetic or optical disk) having such a computer program stored therein.
  • a data storage medium e.g. semiconductor memory, magnetic or optical disk

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
US11/819,958 2007-06-29 2007-06-29 Device and method for transmission image detection, lithographic apparatus and mask for use in a lithographic apparatus Abandoned US20090002656A1 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US11/819,958 US20090002656A1 (en) 2007-06-29 2007-06-29 Device and method for transmission image detection, lithographic apparatus and mask for use in a lithographic apparatus
US12/155,502 US7675605B2 (en) 2007-06-29 2008-06-05 Device and method for transmission image sensing
JP2008162663A JP5124359B2 (ja) 2007-06-29 2008-06-23 透過イメージセンシングのためのデバイスおよび方法
NL1035629A NL1035629A1 (nl) 2007-06-29 2008-06-25 Device and method for transmission image sensing.
TW097124427A TWI451201B (zh) 2007-06-29 2008-06-27 透射影像感測之方法及裝置
KR1020080061391A KR100965616B1 (ko) 2007-06-29 2008-06-27 투과 이미지 감지를 위한 디바이스 및 방법
CN 200810128570 CN101344731B (zh) 2007-06-29 2008-06-27 用于透射图像感应的装置和方法
US12/708,986 US9036130B2 (en) 2007-06-29 2010-02-19 Device and method for transmission image sensing
JP2012237385A JP5670985B2 (ja) 2007-06-29 2012-10-29 透過イメージセンシングのためのデバイスおよび方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/819,958 US20090002656A1 (en) 2007-06-29 2007-06-29 Device and method for transmission image detection, lithographic apparatus and mask for use in a lithographic apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/155,502 Continuation US7675605B2 (en) 2007-06-29 2008-06-05 Device and method for transmission image sensing

Publications (1)

Publication Number Publication Date
US20090002656A1 true US20090002656A1 (en) 2009-01-01

Family

ID=40159985

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/819,958 Abandoned US20090002656A1 (en) 2007-06-29 2007-06-29 Device and method for transmission image detection, lithographic apparatus and mask for use in a lithographic apparatus
US12/155,502 Active US7675605B2 (en) 2007-06-29 2008-06-05 Device and method for transmission image sensing
US12/708,986 Active 2028-11-27 US9036130B2 (en) 2007-06-29 2010-02-19 Device and method for transmission image sensing

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/155,502 Active US7675605B2 (en) 2007-06-29 2008-06-05 Device and method for transmission image sensing
US12/708,986 Active 2028-11-27 US9036130B2 (en) 2007-06-29 2010-02-19 Device and method for transmission image sensing

Country Status (5)

Country Link
US (3) US20090002656A1 (ja)
JP (2) JP5124359B2 (ja)
KR (1) KR100965616B1 (ja)
CN (1) CN101344731B (ja)
TW (1) TWI451201B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090002710A1 (en) * 2007-06-29 2009-01-01 Asml Netherlands B.V. Device and method for transmission image sensing
US20110043775A1 (en) * 2009-08-20 2011-02-24 Asml Netherlands B.V. Lithographic apparatus, distortion determining method, and patterning device
US20170270231A1 (en) * 2016-03-18 2017-09-21 Vuereal Inc. Maskless patterning

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110222041A1 (en) * 2010-03-12 2011-09-15 Canon Kabushiki Kaisha Apparatus, method, and lithography system
SG10201501966TA (en) 2014-03-13 2015-10-29 Dcg Systems Inc System and method for fault isolation by emission spectra analysis
US9903824B2 (en) * 2014-04-10 2018-02-27 Fei Efa, Inc. Spectral mapping of photo emission
US10168758B2 (en) 2016-09-29 2019-01-01 Intel Corporation Techniques to enable communication between a processor and voltage regulator
WO2019057409A1 (en) * 2017-09-20 2019-03-28 Asml Netherlands B.V. SOURCE OF RADIATION
US20200387076A1 (en) 2018-01-04 2020-12-10 Asml Netherlands B.V. Optical Measurement Method and Sensor Apparatus
KR20200122665A (ko) 2019-04-18 2020-10-28 삼성전자주식회사 진공 챔버용 계측 장치, 및 그 계측 장치를 포함한 계측 시스템

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050030506A1 (en) * 2002-03-08 2005-02-10 Carl Zeiss Smt Ag Projection exposure method and projection exposure system
US20050078287A1 (en) * 2003-08-29 2005-04-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070091290A1 (en) * 2005-10-11 2007-04-26 Asml Netherlands B.V. Lithographic projection apparatus, device manufacturing methods and mask for use in a device manufacturing method
US20090002710A1 (en) * 2007-06-29 2009-01-01 Asml Netherlands B.V. Device and method for transmission image sensing

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60209652T2 (de) * 2001-08-23 2006-12-21 Asml Netherlands B.V. Verfahren zur Messung der Aberration eines lithographischen Projektionssystems
JP2003218024A (ja) * 2001-11-16 2003-07-31 Nikon Corp 計測方法、結像特性調整方法、露光方法及び露光装置の製造方法
SG121818A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7213963B2 (en) 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1510870A1 (en) 2003-08-29 2005-03-02 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005311020A (ja) * 2004-04-21 2005-11-04 Nikon Corp 露光方法及びデバイス製造方法
US7308368B2 (en) 2004-09-15 2007-12-11 Asml Netherlands B.V. Method and apparatus for vibration detection, method and apparatus for vibration analysis, lithographic apparatus, device manufacturing method, and computer program
JP5106747B2 (ja) * 2004-10-27 2012-12-26 ルネサスエレクトロニクス株式会社 パターン形成方法、半導体装置の製造方法及び露光用マスクセット
JP2006229019A (ja) * 2005-02-18 2006-08-31 Canon Inc 露光装置
JP2006245145A (ja) 2005-03-01 2006-09-14 Nikon Corp 光学特性計測方法及び装置、並びに露光方法及び装置
JP2006303196A (ja) 2005-04-20 2006-11-02 Canon Inc 測定装置及びそれを有する露光装置
JP2007142084A (ja) * 2005-11-17 2007-06-07 Nikon Corp 露光方法及びデバイス製造方法
US20070115452A1 (en) 2005-11-23 2007-05-24 Asml Netherlands B.V. Method of measuring the magnification of a projection system, device manufacturing method and computer program product

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050030506A1 (en) * 2002-03-08 2005-02-10 Carl Zeiss Smt Ag Projection exposure method and projection exposure system
US20050078287A1 (en) * 2003-08-29 2005-04-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070091290A1 (en) * 2005-10-11 2007-04-26 Asml Netherlands B.V. Lithographic projection apparatus, device manufacturing methods and mask for use in a device manufacturing method
US20090002710A1 (en) * 2007-06-29 2009-01-01 Asml Netherlands B.V. Device and method for transmission image sensing

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090002710A1 (en) * 2007-06-29 2009-01-01 Asml Netherlands B.V. Device and method for transmission image sensing
US7675605B2 (en) 2007-06-29 2010-03-09 Asml Netherlands B.V. Device and method for transmission image sensing
US20100141920A1 (en) * 2007-06-29 2010-06-10 Asml Netherlands B.V. Device and Method for Transmission Image Sensing
US9036130B2 (en) 2007-06-29 2015-05-19 Asml Netherlands B.V. Device and method for transmission image sensing
US20110043775A1 (en) * 2009-08-20 2011-02-24 Asml Netherlands B.V. Lithographic apparatus, distortion determining method, and patterning device
US8988653B2 (en) * 2009-08-20 2015-03-24 Asml Netherlands B.V. Lithographic apparatus, distortion determining method, and patterning device
US20170270231A1 (en) * 2016-03-18 2017-09-21 Vuereal Inc. Maskless patterning

Also Published As

Publication number Publication date
JP5124359B2 (ja) 2013-01-23
KR20090003117A (ko) 2009-01-09
US7675605B2 (en) 2010-03-09
CN101344731A (zh) 2009-01-14
US20090002710A1 (en) 2009-01-01
US20100141920A1 (en) 2010-06-10
TW200915013A (en) 2009-04-01
US9036130B2 (en) 2015-05-19
JP5670985B2 (ja) 2015-02-18
KR100965616B1 (ko) 2010-06-23
JP2013048276A (ja) 2013-03-07
TWI451201B (zh) 2014-09-01
JP2009081414A (ja) 2009-04-16
CN101344731B (zh) 2011-01-12

Similar Documents

Publication Publication Date Title
US9036130B2 (en) Device and method for transmission image sensing
US8208121B2 (en) Alignment mark and a method of aligning a substrate comprising such an alignment mark
US7501215B2 (en) Device manufacturing method and a calibration substrate
US8982347B2 (en) Alignment mark deformation estimating method, substrate position predicting method, alignment system and lithographic apparatus
US7414722B2 (en) Alignment measurement arrangement and alignment measurement method
US20040136494A1 (en) Lithographic apparatus and device manufacturing method
US20050134816A1 (en) Lithographic apparatus, method of exposing a substrate, method of measurement, device manufacturing method, and device manufactured thereby
US7298455B2 (en) Lithographic apparatus and device manufacturing method
US20070115452A1 (en) Method of measuring the magnification of a projection system, device manufacturing method and computer program product
US7532307B2 (en) Focus determination method, device manufacturing method, and mask
US20220350268A1 (en) Metrology mark structure and method of determining metrology mark structure
NL2017346A (en) A method and apparatus for determining at least one property of patterning device marker features
US20100231890A1 (en) Device Manufacturing Method, Lithographic Apparatus and a Computer Program
EP2142961B1 (en) Lithographic apparatus
US7433018B2 (en) Pattern alignment method and lithographic apparatus
US8154709B2 (en) Method of placing a substrate, method of transferring a substrate, support system and lithographic projection apparatus
NL1035629A1 (nl) Device and method for transmission image sensing.

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASML NETHERLANDS B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MOEST, BEARRACH;REEL/FRAME:019880/0601

Effective date: 20070829

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION