US20030049548A1 - Organic polymeric antireflective coatings deposited by chemical vapor deposition - Google Patents

Organic polymeric antireflective coatings deposited by chemical vapor deposition Download PDF

Info

Publication number
US20030049548A1
US20030049548A1 US10/185,694 US18569402A US2003049548A1 US 20030049548 A1 US20030049548 A1 US 20030049548A1 US 18569402 A US18569402 A US 18569402A US 2003049548 A1 US2003049548 A1 US 2003049548A1
Authority
US
United States
Prior art keywords
antireflective
compound
antireflective compound
layer
naphthalenophane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/185,694
Other languages
English (en)
Inventor
Ram Sabnis
Douglas Guerrero
Terry Brewer
Mary Spencer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/745,350 external-priority patent/US6936405B2/en
Application filed by Individual filed Critical Individual
Priority to US10/185,694 priority Critical patent/US20030049548A1/en
Publication of US20030049548A1 publication Critical patent/US20030049548A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/136Coating process making radiation sensitive element
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/151Matting or other surface reflectivity altering material

Definitions

  • the present invention is broadly concerned with methods of forming antireflective coating (ARC) layers on silicon and dielectric materials as well as the resulting integrated circuit precursor structures. More particularly, the inventive methods comprise providing a quantity ofa highly strained antireflective compound and vaporizing that compound. The resulting vapor is then pyrolized to form stable diradicals which are subsequently polymerized on the surface of a substrate. A photoresist layer is applied to the formed ARC layer, and the remaining microphotolithographic process steps carried out.
  • ARC antireflective coating
  • Integrated circuit manufacturers are constantly seeking to maximize silicon wafer size and minimize device feature dimensions in order to improve yield, reduce unit case, and increase on-chip computing power.
  • Device feature sizes on silicon chips are now submicron in size with the advent of advanced deep ultraviolet (DUV) microlithographic processes.
  • DUV deep ultraviolet
  • reducing the substrate reflectivity to less than 1% during photoresist exposure is critical for maintaining dimension control of these submicron features. Therefore, light absorbing organic polymers are formed into antireflective coating (ARC) compositions which are applied beneath photoresist layer in order to reduce the reflectivity normally encountered from the semiconductor substrates during the photoresist DUV exposure.
  • ARCs antireflective coating
  • spincoated ARC layers offer excellent reflectivity control, their performance is limited by their nonuniformity, defectivity and conformality constrictions, and other inefficiencies inherent within the spincoating process. As the industry approaches adoption of eight-inch or even twelve-inch semiconductor substrates, the inherent inefficiencies of the spincoating process will become increasingly magnified.
  • Another problem with the current ARC application processes is inadequate coating uniformity across the wafer.
  • the formed layers are typically lacking in uniformity in that the thickness of the layer at the edges thereof is greater than the thickness at the center of the substrate.
  • ARC layers also tend to planarize or unevenly coat surface topography rather than form highly conformal layers (i.e., layers which evenly coat each aspect of the substrate and the features). For example, if an ARC with a nominal layer thickness of 1000 ⁇ is spincoated over raised features having feature heights of 0.25 microns, the layer may prove to be only 350 ⁇ thick on top of the features, while being as thick as 1800 ⁇ in the troughs located between the raised features.
  • the ARC layer is too thin on the top of the features to provide the desired reflection control at the features.
  • the layer is too thick in the troughs to permit efficient layer removal during subsequent plasma etch. That is, in the process of clearing the ARC deposit from the troughs by plasma etch, the sidewalls of the resist features become eroded, producing microscopically-sized, but significant, changes in the feature shape and/or dimensions. Furthermore the resist thickness and edge acuitymaybe lost, which can lead to inconsistent images or feature patterns as the resist pattern is transferred into the substrate during subsequent etching procedures.
  • the present invention overcomes these problems by broadly providing improved methods of applying antireflective coatings to silicon and dielectric materials or other substrates (e.g., Al, W, WSi, GaAs, SiGe, Ta, TaN, and other reflective surfaces) utilized in circuit manufacturing processes.
  • substrates e.g., Al, W, WSi, GaAs, SiGe, Ta, TaN, and other reflective surfaces
  • the inventive methods comprise depositing an antireflective compound in a layer on the substrate surface by chemical vapor deposition (CVD) processes.
  • a layer of photoresist is then preferably applied to the antireflective layer to form a precursor structure which then be subjected to the remaining steps of the circuit manufacturing process (i.e., applying a mask to the photoresist layer, exposing the photoresist layer to radiation at the desired wavelength, developing and etching the photoresist layer).
  • the antireflective compound comprises respective light attenuating compounds comprising two cyclic moieties joined via a linkage group bonded to a first location (either directly to a member of the cyclic ring, or to a functional group bonded to the cyclic ring) on one of the cyclic moieties and further bonded to a first location on the other of the cyclic moieties.
  • the two cyclic moieties are joined by more than one such linkage group, and even more preferably the two selected moieties are joined by two such linkage groups, with each additional linkage group being bonded to second, third, etc. locations on the respective cyclic moieties.
  • the light attenuating compounds should be highly strained so that they can be cleaved into stable diradicals upon exposure to energy (e.g., heat, UV light).
  • energy e.g., heat, UV light
  • the strain energy of the light attenuating compounds should be at least about 10 kcal/mol, preferably at least about 20 kcal/mol, and more preferably from about 30-50 kcal/mol.
  • At least one of the cyclic moieties be aromatic or heterocyclic, with preferred aromatic moieties being those selected from the group consisting of benzene, naphthalene, anthracene, phenanthrene, and pyrene.
  • Preferred heterocyclic moieties include those selected from the group consisting of pyridine, pyridazine, pyrimidine, pyrazine, thiazole, isothiazole, oxazole, isooxazole, thiophene, furan, and pyrrole.
  • Formula I schematically depicts the preferred light attenuating compound structure.
  • R represents a linkage group and each R is preferably individually selected from the group consisting of alkyl groups (preferably at least C 2 , and more preferably from about C 2 -C 4 ); and
  • each X is individually selected from the group consisting of the hydrogen, the halogens, substituted and unsubstituted phenyl groups, substituted and unsubstituted alkyl groups (preferably C 1 -C 8 ), nitro groups, substituted and unsubstituted amino groups, acetamido groups, substituted and unsubstituted cyclic and heterocyclic groups, and COR 1 , where R 1 is selected from the group consisting of hydrogen, substituted and unsubstituted phenyl groups, substituted and unsubstituted alkyl groups (preferably C 1 -C 8 ), cinnamoyl, naphthoyl, acryloyl, methacryloyl, furoyl, and thiophenecarbonyl groups.
  • the most preferred R is an ethyl, propyl, or butyl group.
  • the most preferred X is selected from the group consisting of phenyl, methyl phenyl, methoxyphenyl, nitroxy phenyl, cinnamoyl, naphthoyl, naphthoyl, acryloyl, methacryloyl, furoyl, and thiophenecarbonyl groups.
  • the light attenuating compound is formed by reacting at least two cyclic compounds with a halogenating agent (e.g., a brominating or chlorinating agent) in the presence of a catalyst (such as benzoyl peroxide or cetyltrimethylammoniumbromide) and a solvent (such as carbon tetrachloride) so as to halogenate the cyclic compounds.
  • a halogenating agent e.g., a brominating or chlorinating agent
  • a catalyst such as benzoyl peroxide or cetyltrimethylammoniumbromide
  • solvent such as carbon tetrachloride
  • halogenated cyclic compounds are then reacted with a “bridging” compound (such as sodium iodide) so as to yield an antireflective compound comprising two cyclic moieties joined via a linkage group bonded both to a first location on one of the cyclic moieties and to a first location on the other of the cyclic moieties.
  • a “bridging” compound such as sodium iodide
  • an alkyl-donating compound e.g., paraformaldehyde
  • capable of reacting with the cyclic compounds so as to add a C 1 or higher alkyl group to each of said cyclic compounds is also present during the reaction.
  • the light attenuating compound is 1,4-dixylylene.
  • the antireflective compound comprises 1,4-dixylylene having two to four halogen atoms (e.g., chlorine) bonded thereto, or xylenes having at least one functional group bonded thereto, wherein the functional group is readily cleaved during the CVD process.
  • Formula II schematically depicts the monomer of this embodiment.
  • each X is individually selected from the group consisting of:
  • each R 2 is individually selected from the group consisting of hydrogen and alkyl groups (preferably C 1 -C 4 ) and the “*” designates the atom which is bonded to the CH 2 group which, in turn, is bonded to the benzene ring as depicted in Formula II.
  • the chemical vapor deposition process to which the antireflective compound is subjected comprises subjecting the compound to sufficient temperatures and pressures so as to cause the solid compound to sublime to form a vapor.
  • This is preferably accomplished by heating the compound to a temperature of from about 35-250° C., and more preferably from about 60-1 50° C., at a base pressure of from about 2-50 mTorr, and more preferably from about 5-25 mTorr, over the course of the entire process. Even more preferably, this heating is accomplished by running a temperature gradient wherein the temperature is raised about 10° C. about every 5 minutes followed by a dwell time at the particular temperature for about another 5 minutes. When the temperature is close to the melting point of the cyclic moiety (e.g., within about 2° C.), the temperature is raised about 5° C. during the course of about 5 minutes after which the temperature is maintained for about 4-6 minutes.
  • the resulting vapor is then subjected to a process whereby the light attenuating compounds in the vapor are cleaved.
  • this cleavage is effected by pyrolizing the light attenuating compound by heating it to a temperature of from about 580-1000° C., and more preferably from about 900-960° C.
  • the light attenuating compounds should be cleaved at the bond between two carbon atoms on each linkage group so as to yield stable diradicals.
  • the cleaved compounds or diradicals are caused to polymerize on the surface of the substrate.
  • This is preferably accomplished by introducing the cleaved compounds into an ambient-temperature, deposition chamber in the presence of the desired substrate where the cleaved compounds are simultaneously adsorbed and polymerized on the substrate surface.
  • This step is preferably accomplished at a temperature of from about 20-25° C., with the spin speed of the rotating shelf on which the substrate is situated preferably being revolved from about 2-10 rpm, and more preferably from about 2-5 rpm.
  • the equipment utilized to carry out the foregoing CVD process can be any conventional CVD equipment so long as the above-described temperatures can be attained by the equipment.
  • the primary modification required for conventional CVD equipment is that the deposition chamber must be modified to accommodate the particular size of the substrate (e.g., an 8-inch silicon wafer), and it must include a mechanism for rotating the substrate (such as a rotating shelf) at a speed of about 2 rpm.
  • the resulting precursor structures have antireflective coating layers which are surprisingly defect-free.
  • antireflective layer i.e., less than about 30 defects per 8-inch wafer
  • 0.05 defects/cm 2 i.e., less than about 15 defects per 8-inch wafer
  • these essentially defect-free films can be achieved on 6-12 inch substrates having super submicron features (less than about 0.25 ⁇ m in height).
  • defects is intended to include pinholes, dewetting problems where the film doesn't coat the surface, and so-called “comets” in the coating where a foreign particle contacts the substrate surface causing the coating to flow around the particle.
  • the antireflective layers prepared according to the invention can be formulated to have a thickness of from about 300-5000 ⁇ , and can also be tailored to absorb light at the wavelength of interest, including light at a wavelength of from about 150-500 nm (e.g., 365 nm or i-line wavelengths, 435 nm or g-line wavelengths, 248 nm deep ultraviolet wavelengths, and 193 nm wavelengths), and preferably from about 190-300 nm.
  • the antireflective layers will absorb at least about 90%, and preferably at least about 95%, of light at wavelengths of from about 150-500 nm.
  • the antireflective layers have a k value (the imaginary component of the complex index of refraction) of at least about 0.1, preferably at least about 0.35, and more preferably at least about 0.4 at the wavelength of interest.
  • the deposited antireflective layer is also substantially insoluble in solvents utilized in the photoresist which is subsequently applied to the antireflective layer. That is, the thickness of the layer will change by less than about 10%, and preferably less than about 5% after contact with the photoresist solvent. As used herein, the percent change is defined as: 100 ⁇
  • the antireflective layers deposited on substrate surfaces according to the invention are also highly conformal, even on topographic surfaces (as used herein, surfaces having raised features of 1000 ⁇ or greater and/or having contact or via holes formed therein and having hole depths of from about 1000-15,000 ⁇ ).
  • the deposited layers have a percent conformality of at least about 85%, preferably at least about 95%, and more preferably about 100%, wherein the percent conformality is defined as: 100 ⁇
  • “A” is the centerpoint of the top surface of a target feature when the target feature is a raised feature, or the centerpoint of the bottom surface of the target feature when the target feature is a contact or via hole; and “B” is the halfway point between the edge of the target feature and the edge of the feature nearest the target feature.
  • feature and target feature is intended to refer to raised features as well as contact or via holes.
  • edge of the target feature is intended to refer to the base of the sidewall forming the target feature when the target feature is a raised feature, or the upper edge of a contact or via hole when the target feature is a recessed feature.
  • the instant invention has a further distinct advantage over prior art spin-coating methods which utilize large quantities of solvents. That is, the instant methods avoid spin-coating solvents which often require special handling. Thus, solvent waste is minimized and so are the negative effects that solvent waste can have on the environment. Furthermore, overall waste is minimized with the inventive process wherein substantially all of the reactants are consumed in the process.
  • FIG. 1 is a graph depicting the ultraviolet-visible (UV-Vis) spectrum of a [2.2](1,4)-naphthalenophane film deposited on a quartz slide;
  • FIG. 2 is a graph showing the reflectance curve of [2.2](1,4)-naphthalenophane on various substrates;
  • FIG. 3 is a scanning electron microscope (SEM) photograph illustrating the film conformality of an 850 ⁇ thick film of [2.2](1,4)-naphthalenophane on 2000 ⁇ topography;
  • FIG. 4 is an SEM photograph of the resist profile cross-section of a [2.2](1,4)-naphthalenophane-based organic antireflective coating of 930 ⁇ thick film using a commercially available photoresist;
  • FIG. 5 is a graph depicting the UV-V is spectrum of a [2.2](9,10)-anthracenophane film deposited on a quartz slide;
  • FIG. 6 is a graph showing the reflectance curve of [2.2](9,10)-anthracenophane on various substrates
  • FIG. 7 is an SEM photograph illustrating the film conformality of a 360 ⁇ thick film of [2.2](9,10)-anthracenophane on a 2000 ⁇ topography
  • FIG. 8 is an SEM photograph showing the resist profile cross-section of a [2.2](9,10)-anthracenophane-based organic antireflective coating of a 900 ⁇ thick film using a commercially available photoresist.
  • R is C 6 H 5 , 4-Me-C 6 H 4 , 4-MeO-C 6 H 4 , 4-NO 2 -C 6 H 4 , cinnamoyl, 1-naphthoyl, 2-naphthoyl, acryloyl, methacryloyl, 2-furoyl, or 2-thiophenecarbonyl.
  • R is C 6 H 5 , 4-Me-C 6 H 4 , 4-MeO-C 6 H 4 , 4-NO 2 -C 6 H 4 , cinnamoyl, 1-naphthoyl, 2-naphthoyl, acryloyl, methacryloyl, 2-furoyl, 2-thiophenecarbonyl.
  • the antireflective coating layers prepared according to Example 1, using [2.2](1,4)-naphthalenophane were CVD polymerized on six- or eight-inch flat silicon wafers, topography wafers, quartz slides, aluminum substrates, tantalum (Ta) substrates, and tantalum nitride (TaN) substrates.
  • the film thickness of each sample was optically measured by ellipsometry at 25 points on a planar silicon wafer to estimate the mean thickness.
  • the films generated uniform coats, without pinholes, voids, or particles and having a preferred thickness of 1000 ⁇ .
  • the films exhibited thickness uniformities of greater than 98% on the various substrates.
  • the film thickness uniformity data is set forth in Table 1. TABLE 1 Film Thickness Uniformity Mean Standard Thickness Sample Number Thickness ( ⁇ ) Deviation ( ⁇ ) Uniformity (%) 63-154-1A 828 8.17 1.00 63-154-1B 828 8.17 1.00 63-154-1C 828 5.56 1.00
  • the deposited antireflective layer was also substantially insoluble in typical photoresist solvents.
  • the solvents evaluated included ethyl lactate and propylene glycol monomethyl ether acetate (PGMEA). No thickness loss was observed with either ethyl lactate and PGMEA.
  • the stripping data is set forth in Table 2. TABLE 2 Stripping Test Sample Initial Final Stripping Number Solvent ( ⁇ ) Thickness ( ⁇ ) Thickness ( ⁇ ) Estimate (%) 63-152-1A Ethyl lactate 380 381 0.00
  • FIG. 1 is a graph showing the UV-Vis spectrum of the deposited film according to Example 1, using [2.2](1,4)-naphthalenophane on quartz slide.
  • the ⁇ max was at 233 nm demonstrating that the [2.2](1,4)-naphthalenophane-based antireflective film is useful for deep UV (248 nm) applications.
  • the optical density was 6.46/ ⁇ m at 248 nm.
  • FIG. 2 is a graph showing the reflectance curve of this film.
  • the first minimum thickness was 775 ⁇ , and the second minimum thickness was 1300 ⁇ .
  • FIG. 3 is an SEM photograph showing the film conformality of an 850 ⁇ thick film of [2.2](1,4)-naphthalenophane on a 2000 ⁇ topography.
  • FIG. 4 is an SEM photograph showing an excellent resist profile cross-section of the [2.2](1,4)-naphthalenophane-based organic antireflective coating of a 930 ⁇ thick film using UV-6 photoresist. Resist profiles as small as 100 nm dense lines and 90 nm isolated lines were achieved.
  • Antireflective coating layers were prepared according to the procedure described in Example 4 using [2.2](9,10)-anthracenophane. These layers were CVD polymerized on six-or eight-inch flat silicon wafers, topography wafers, quartz slides, aluminum substrates, tantalum (Ta) substrates, and tantalum nitride (TaN) substrates.
  • the film thickness was optically measured by ellipsometry at 25 points on a planar silicon wafer to estimate the mean thickness.
  • the films resulted in a uniform coat, without pinholes, voids, or particles and having a preferred thickness of 1000 ⁇ .
  • the films exhibited a thickness uniformity of greater than 94% on various substrates.
  • the film thickness uniformity data is set forth in Table 3. TABLE 3 Film Thickness Uniformity Mean Standard Thickness Sample Number Thickness ( ⁇ ) Deviation ( ⁇ ) Uniformity (%) 63-167-1A 377 3.55 1.00 63-167-1B 377 2.21 1.00
  • the deposited antireflective layer was also substantially insoluble in typical photoresist solvents.
  • the solvents evaluated included ethyl lactate and propylene glycol monomethyl ether acetate (PGMEA).
  • the thickness loss observed using ethyl lactate and PGMEA was well within the target of 50 ⁇ (less than 20%).
  • This stripping data is set forth in Table 4. TABLE 4 Stripping Test Sample Initial Final Stripping Number Solvent ( ⁇ ) Thickness ( ⁇ ) Thickness ( ⁇ ) Estimate (%) 63-152-1A Ethyl lactate 233 191 18.00 63-152-1A PGMEA 191 165 14.00
  • the ability of the. film to remain chemically inert to the photoresist was also. tested using the UV-6 photoresist.
  • the photoresist was coated on the antireflective coating layer, exposed, and then developed with LDD26W developer. Excellent profiles were obtained using the UV-6 photoresist during photolithography.
  • the antireflective coating layers prepared according to the procedure described in Example 4 exhibited excellent adhesion to silicon, aluminum, tantalum, tantalum nitride substrates.
  • FIG. 5 is a graph showing the UV-V is spectrum of the deposited film according to Example 4 using [2.2](9,10)-anthracenophane on quartz slide.
  • the )max was at 267 nm, thus demonstrating that the [2.2](9,10)-anthracenophane-based antireflective film is useful material for deep UV (248 nm) applications.
  • the optical density was 5.80/ ⁇ m at 248 nm.
  • FIG. 6 is a graph showing the reflectance curve ofthis film.
  • the first minimum thickness was 570 ⁇ , and the second minimum thickness was 1300 ⁇ .
  • FIG. 7 is an SEM photograph showing the film conformality of 815 ⁇ thick film of [2.2](9,10)-anthracenophane on a 2000 ⁇ topography.
  • FIG. 8 is an SEM photograph showing the excellent resist profile cross-section of the [2.2](9,10)-anthracenophane-based organic antireflective coatings of a 900 ⁇ thick film using Uv-6 photoresist. Resist profiles as small as 170 nm dense lines were achieved.
  • antireflective compounds can be prepared according to the invention and applied to substrates via chemical vapor deposition processes. These compounds include:

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
US10/185,694 2000-02-22 2002-06-28 Organic polymeric antireflective coatings deposited by chemical vapor deposition Abandoned US20030049548A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/185,694 US20030049548A1 (en) 2000-02-22 2002-06-28 Organic polymeric antireflective coatings deposited by chemical vapor deposition

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US51142100A 2000-02-22 2000-02-22
US09/745,350 US6936405B2 (en) 2000-02-22 2000-12-21 Organic polymeric antireflective coatings deposited by chemical vapor deposition
US10/185,694 US20030049548A1 (en) 2000-02-22 2002-06-28 Organic polymeric antireflective coatings deposited by chemical vapor deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/745,350 Division US6936405B2 (en) 2000-02-22 2000-12-21 Organic polymeric antireflective coatings deposited by chemical vapor deposition

Publications (1)

Publication Number Publication Date
US20030049548A1 true US20030049548A1 (en) 2003-03-13

Family

ID=27057217

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/185,622 Expired - Fee Related US6900000B2 (en) 2000-02-22 2002-06-28 Organic polymeric antireflective coatings deposited by chemical vapor deposition
US10/185,623 Expired - Fee Related US6869747B2 (en) 2000-02-22 2002-06-28 Organic polymeric antireflective coatings deposited by chemical vapor deposition
US10/185,694 Abandoned US20030049548A1 (en) 2000-02-22 2002-06-28 Organic polymeric antireflective coatings deposited by chemical vapor deposition

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/185,622 Expired - Fee Related US6900000B2 (en) 2000-02-22 2002-06-28 Organic polymeric antireflective coatings deposited by chemical vapor deposition
US10/185,623 Expired - Fee Related US6869747B2 (en) 2000-02-22 2002-06-28 Organic polymeric antireflective coatings deposited by chemical vapor deposition

Country Status (9)

Country Link
US (3) US6900000B2 (fr)
EP (1) EP1269258B1 (fr)
JP (1) JP3759456B2 (fr)
KR (1) KR100610406B1 (fr)
CN (1) CN100451830C (fr)
AU (1) AU2001233290A1 (fr)
CA (1) CA2400157A1 (fr)
TW (1) TWI224150B (fr)
WO (1) WO2001063358A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050267277A1 (en) * 2004-05-26 2005-12-01 Masaru Takahama Composition for forming anti-reflective coating film, anti-reflective coating film composed of the composition, and method of forming resist pattern using the composition

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
AU5600200A (en) * 1999-06-10 2001-01-02 Allied-Signal Inc. Spin-on-glass anti-reflective coatings for photolithography
JP4381143B2 (ja) * 2001-11-15 2009-12-09 ハネウェル・インターナショナル・インコーポレーテッド フォトリソグラフィー用スピンオン反射防止膜
US7261997B2 (en) * 2002-01-17 2007-08-28 Brewer Science Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
AU2002357645A1 (en) * 2002-09-20 2004-04-08 Honeywell International, Inc. Interlayer adhesion promoter for low k materials
US6933227B2 (en) 2003-10-23 2005-08-23 Freescale Semiconductor, Inc. Semiconductor device and method of forming the same
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
DE102005004596B4 (de) * 2005-02-01 2011-09-15 Austriamicrosystems Ag Verfahren zur Herstellung von verrundeten Polysiliziumelektroden auf Halbleiterbauelementen
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US7576361B2 (en) * 2005-08-03 2009-08-18 Aptina Imaging Corporation Backside silicon wafer design reducing image artifacts from infrared radiation
US7354779B2 (en) * 2006-03-10 2008-04-08 International Business Machines Corporation Topography compensated film application methods
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
JP5118191B2 (ja) * 2007-04-02 2013-01-16 チェイル インダストリーズ インコーポレイテッド 反射防止性を有するハードマスク組成物及びこれを利用した材料のパターン形成方法
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
KR101647158B1 (ko) 2008-01-29 2016-08-09 브레우어 사이언스 인코포레이션 다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
CN102925858B (zh) * 2011-10-23 2014-11-19 碳元科技股份有限公司 具有保护层结构的碳层材料
CN102925860B (zh) * 2011-10-23 2015-07-01 碳元科技股份有限公司 一种具有保护层结构的碳层材料的制备方法
US9958579B2 (en) * 2013-09-06 2018-05-01 Corning Incorporated UV protective coating for lens assemblies having protective layer between light absorber and adhesive
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
CN105037106B (zh) * 2015-05-20 2016-08-17 中节能万润股份有限公司 一种联芘酚及其制备方法和用途
ES2686136B1 (es) * 2017-03-29 2019-08-14 Univ Castilla La Mancha Procedimiento de sintesis de 9,10-bis (clorometil) antraceno

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5137780A (en) * 1987-10-16 1992-08-11 The Curators Of The University Of Missouri Article having a composite insulative coating
US5991081A (en) * 1997-01-27 1999-11-23 Haaland; Peter D. Anti-reflection coatings and coated articles
US6150010A (en) * 1997-03-04 2000-11-21 Texas Instruments Incorporated Integrated circuit insulator
US6300672B1 (en) * 1998-07-22 2001-10-09 Siemens Aktiengesellschaft Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3896133A (en) * 1971-03-05 1975-07-22 Smithkline Corp Substituted 10-heterocyclicaminoalkyl-9,10-dihydroanthracenes
US3900600A (en) * 1973-06-29 1975-08-19 Ibm Paraxylylene-silane dielectric films
US4187318A (en) * 1975-09-26 1980-02-05 Eli Lilly And Company Rodenticidal N-alkyldiphenylamines
JP2557898B2 (ja) 1987-07-31 1996-11-27 株式会社東芝 半導体装置
US4921723A (en) * 1987-10-16 1990-05-01 The Curators Of The University Of Missouri Process for applying a composite insulative coating to a substrate
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5198153A (en) 1989-05-26 1993-03-30 International Business Machines Corporation Electrically conductive polymeric
US5110903A (en) * 1990-12-20 1992-05-05 Union Carbide Chemicals & Plastics Technology Corporation Process for the preparation of mixed parylene dimers free of alpha-halogens
US5153986A (en) 1991-07-17 1992-10-13 International Business Machines Method for fabricating metal core layers for a multi-layer circuit board
GB9126677D0 (en) * 1991-12-16 1992-02-12 Johnson Matthey Plc Improvements in chemical compounds
US5443941A (en) 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
JP3457372B2 (ja) 1993-12-28 2003-10-14 日本写真印刷株式会社 絞り機能付きレンズとその製造方法
JPH07211616A (ja) 1994-01-21 1995-08-11 Matsushita Electric Ind Co Ltd 微細パターン形成方法
JPH0864492A (ja) * 1994-08-18 1996-03-08 Sony Corp 反射防止膜およびこれを用いたパターン形成方法
TW388083B (en) * 1995-02-20 2000-04-21 Hitachi Ltd Resist pattern-forming method using anti-reflective layer, resist pattern formed, and method of etching using resist pattern and product formed
US5545588A (en) 1995-05-05 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of using disposable hard mask for gate critical dimension control
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
KR100235235B1 (ko) * 1997-01-10 1999-12-15 김환규 기능성고분자 단량체로 유용한 유기실리콘 화합물 및 그 제조방법
US6198153B1 (en) * 1997-04-21 2001-03-06 Lsi Logic Corporation Capacitors with silicized polysilicon shielding in digital CMOS process
US6063547A (en) * 1998-06-11 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Physical vapor deposition poly-p-phenylene sulfide film as a bottom anti-reflective coating on polysilicon

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5137780A (en) * 1987-10-16 1992-08-11 The Curators Of The University Of Missouri Article having a composite insulative coating
US5991081A (en) * 1997-01-27 1999-11-23 Haaland; Peter D. Anti-reflection coatings and coated articles
US6150010A (en) * 1997-03-04 2000-11-21 Texas Instruments Incorporated Integrated circuit insulator
US6300672B1 (en) * 1998-07-22 2001-10-09 Siemens Aktiengesellschaft Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050267277A1 (en) * 2004-05-26 2005-12-01 Masaru Takahama Composition for forming anti-reflective coating film, anti-reflective coating film composed of the composition, and method of forming resist pattern using the composition

Also Published As

Publication number Publication date
CA2400157A1 (fr) 2001-08-30
WO2001063358A1 (fr) 2001-08-30
US6900000B2 (en) 2005-05-31
AU2001233290A1 (en) 2001-09-03
EP1269258A4 (fr) 2010-03-10
KR20030008209A (ko) 2003-01-24
TWI224150B (en) 2004-11-21
CN1404584A (zh) 2003-03-19
CN100451830C (zh) 2009-01-14
KR100610406B1 (ko) 2006-08-09
EP1269258A1 (fr) 2003-01-02
US20030049566A1 (en) 2003-03-13
JP3759456B2 (ja) 2006-03-22
US20030031957A1 (en) 2003-02-13
JP2003524210A (ja) 2003-08-12
US6869747B2 (en) 2005-03-22
EP1269258B1 (fr) 2012-01-11

Similar Documents

Publication Publication Date Title
US6869747B2 (en) Organic polymeric antireflective coatings deposited by chemical vapor deposition
TWI409591B (zh) 抗反射塗料組合物
US7932018B2 (en) Antireflective coating composition
EP2723809B1 (fr) Composition de sous-couche et procédé s'y rapportant
US11698587B2 (en) Resist underlayer composition, and method of forming patterns using the composition
JP2007231270A (ja) 有機反射防止膜用重合体、その製造方法および半導体装置
US8906590B2 (en) Antireflective coating composition and process thereof
KR20110084901A (ko) 융합 방향족 고리를 포함하는 반사방지 코팅 조성물
KR20110084900A (ko) 융합 방향족 고리를 포함하는 반사방지 코팅 조성물
TWI408185B (zh) 抗反射塗料組合物
EP3928348A1 (fr) Compositions à déposer par centrifugation comprenant un constituant oxyde inorganique et un constituant carboné à déposer par centrifugation substitué par un alcynyloxy utiles en tant que masques durs et matériaux de remplissage à durée de conservation améliorée
US6852474B2 (en) Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6936405B2 (en) Organic polymeric antireflective coatings deposited by chemical vapor deposition
US20030064608A1 (en) Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
TWI443121B (zh) 用於光阻底層之含芳香環的化合物、含有其之光阻底層組成物及使用其圖案化裝置之方法
US20080286686A1 (en) Fluorinated half ester of maleic anhydride polymers for dry 193 nm top antireflective coating application
Meador et al. Recent progress in 193-nm antireflective coatings
He et al. Bottom antireflective coatings (BARCs) for 157-nm lithography

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION