TWI840398B - 電漿處理用方法及設備 - Google Patents

電漿處理用方法及設備 Download PDF

Info

Publication number
TWI840398B
TWI840398B TW108129748A TW108129748A TWI840398B TW I840398 B TWI840398 B TW I840398B TW 108129748 A TW108129748 A TW 108129748A TW 108129748 A TW108129748 A TW 108129748A TW I840398 B TWI840398 B TW I840398B
Authority
TW
Taiwan
Prior art keywords
plasma processing
electrode
processing system
plasma
edge
Prior art date
Application number
TW108129748A
Other languages
English (en)
Other versions
TW202025215A (zh
Inventor
彼得 凡特薩克
艾洛克 蘭傑
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202025215A publication Critical patent/TW202025215A/zh
Application granted granted Critical
Publication of TWI840398B publication Critical patent/TWI840398B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32348Dielectric barrier discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/063Electron sources

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

在一實施例中,電漿處理系統包含真空腔室、用以固持待處理基板的基板固持器,其中基板固持器係設置在真空腔室中。系統更包含設置在基板固持器之周緣區域上方的電子源,電子源用以產生朝向基板固持器之周緣區域的電子束。

Description

電漿處理用方法及設備
本發明大致關於電漿處理,且在特定實施例中,本發明關於電漿處理用方法及設備。 [相關申請案的交互參照]
本申請案主張2018年8月30日提出申請之美國臨時專利申請案第62/724,865號、及2018年12月17日提出申請之美國專利申請案第16/221,918號的權利,該等申請案係併入於此做為參考。
於近來數十年,電漿處理已尋得若干產業中的諸多應用。舉例而言,電漿處理被慣常地用於沉積或移除材料,包括沉積或蝕刻微電子電路、平板或其他顯示器、太陽能電池、微機電系統等之製作中採用的薄層。
半導體裝置的製造涉及一系列的技術,包括基板上若干材料層的成形、圖案化、及移除。為了達成當前及下一世代半導體裝置的物理及電性規格,因此期望用於諸多圖案化程序且實現減小特徵部尺寸但維持結構完整性的處理流程。歷史上,在微製造的情形中,已在具有線路/金屬化形成於其上方的一平面中產生電晶體,且因此已特性化為二維(2D)電路或2D製造。縮放之努力已大幅增加2D電路中每單位面積的電晶體數目,但當縮放進入奈米尺度半導體裝置製造節點時,縮放之努力遭遇到更大的挑戰。
當裝置結構密集化且垂直發展時,對於精準材料處理的需求變得更加迫切。選擇性、輪廓控制、膜保形性、及電漿製程中的均勻性之間的權衡可能難以控管。因此,期望隔離並控制對於蝕刻及沉積體系最佳之製程條件的設施及技術,以精準操控材料並符合先進縮放挑戰。
隨著每一世代的半導體科技,不僅材料需要精準地加以操控,且亦必須在半導體晶圓範圍維持足夠的均勻性。尤其,邊緣處的特徵部必須在外觀及功能上皆與晶圓中央處的特徵部相似。若此晶圓範圍的變異過多,便必須捨棄形成在晶圓邊緣處的晶片,進而顯著降低製程良率。
電漿處理經常用於半導體裝置的製造中。舉例而言,電漿蝕刻及電漿沉積為半導體裝置製造期間的常見製程步驟。在電漿處理期間控制晶圓範圍變異由於半導體製造中使用之大晶圓尺寸(例如300mm)而具有挑戰性。
依據本發明之實施例,電漿處理系統包含真空腔室;配置成固持待處理基板的基板固持器,其中該基板固持器設置在真空腔室中。該系統更包含設置在基板固持器之周緣區域上方的電子源,該電子源配置成產生朝向基板固持器之周緣區域的電子束。
依據本發明之替代實施例,處理方法包含將待處理基板置於設在真空腔室內的基板固持器上,其中該基板包含由周緣區域圍繞的中央區域。包含彈道電子的電子束從電子源受導引朝向周緣區域。
依據本發明之替代實施例,電漿系統的電子產生設備包含設置於中央部分周圍的邊緣電極部分。該邊緣電極部分包含大於中央部分之直徑的內直徑、小於內直徑的厚度、設於內直徑與外直徑之間的第一傳導區域、及用於將直流(DC)電源耦接至第一傳導區域的第一電耦接元件。邊緣電極部分亦包含配置成暴露至電漿系統之電漿且配置成產生電子的外表面。
為了改善電漿處理期間的製造良率,本發明的實施例揭示電漿處理工具及方法,其中電子束選擇性地在覆於晶圓之周緣區域上方的電極組件之周緣區域中產生。電子束可在電漿處理期間同時或依序選擇性施加,以改變此周緣區域中之晶圓表面的反應性,此幫助減輕晶圓之中央區域與晶圓之周緣區域中的裝置間變異。
實施例電漿處理設備將使用圖1-3加以說明。處理工具的說明性應用將使用圖4A-4C加以討論。可用於圖1之電漿工具中之上電極組件的實施例將利用圖5-8、10加以說明。電漿處理設備的另一實施例將利用圖12加以說明。可用於圖12之電漿工具中之上電極組件的實施例將利用圖13-14加以說明。具有主電漿真空腔室之外的電子源之實施例將利用圖15加以說明。
圖1為包括DC偏壓邊緣電極之電漿處理設備的實施例示意圖。
在此實施例中,電漿處理設備100包括處理腔室105(例如真空腔室)、氣體輸入系統110、基板固持器115、及上電極組件120、與線圈125。氣體輸入系統110提供處理氣體至處理腔室105中。氣體輸入系統110可包含複數輸入部,且可輸入不同氣體至處理腔室105中。在一實施例中,將射頻(RF)偏壓施加至線圈125,而在處理腔室105之區域130中產生感應耦合電漿(inductively coupled plasma, ICP)。在其他實施例中,可使電漿產生為電容耦合電漿(capacitively coupled plasma, CCP)、微波電漿、或藉由其他方式產生。工件或基板135(例如半導體晶圓)可置於基板固持器115上。在一實施例中,可透過匹配網路137將RF偏壓施加至基板固持器115及基板135。
此外,聚焦環139可設置在基板135周圍。在一些實施例中,電漿處理可為蝕刻處理,例如反應性離子蝕刻(RIE)處理、原子層蝕刻(ALE)處理等等。在其他實施例中,電漿處理可為沉積處理,例如電漿增強物理氣相沉積(PVD)處理、電漿增強化學氣相沉積(CVD)處理、及原子層沉積(ALD)處理等等。在另外其他實施例中,電漿處理可產生基板的任何物理及化學改質。
在一實施例中,上電極組件120包括邊緣電極140、及可選的中央電極145。如進一步說明,邊緣電極140可為彈道電子的電子源。在其中基板135約呈圓形的實施例中,邊緣電極140及可選的中央電極145可分別為環形及圓形。在此察知,由於基板135、上電極組件120、及邊緣與中央電極140與145具有非零高度,因此用語「圓形」欲更精確地意指圓柱形,且用語「環形」欲更精確地意指環狀圓柱形。
直流(DC)供應器150提供DC偏壓至邊緣電極140。在諸多實施例中,DC偏壓可為連續式或脈衝式。在一實施例中,供給至邊緣電極140的DC偏壓相對例如極邊緣區域165之基板135的周緣區域為負,而從極邊緣區域165至邊緣電極140產生電場。在此電場的影響下,電漿中帶正電的離子朝邊緣電極140加速,且其一些者與邊緣電極140碰撞。在這些碰撞的其中一些者,電子從邊緣電極140射出。如此電子稱為二次電子,以將其與從氣體離子化生成之電子區別。從表面射出之二次電子之數目對與該表面碰撞之正離子之數目的比率為二次電子發射係數。二次電子發射係數相依於諸多因素。對於氧化物表面通常較高,且對於金屬表面通常較低。對於矽表面,典型二次電子發射係數可為約0.1(例如在一範例中對於100V離子為0.027),表示對於每十個與矽電極表面碰撞的離子,可產生一個二次電子。
從邊緣電極140發出的二次電子在電場的影響下朝基板135之極邊緣區域165加速並在處理中獲得能量,但可能散射偏離,且亦在與存在於電漿中之諸多物種的碰撞中損失能量。彈道電子160不受電漿中之碰撞影響且到達極邊緣區域165,而帶有彈道電子160被電場賦予的全部能量。舉例而言,若邊緣電極140與極邊緣區域165之間的電位差為300V,彈道電子160可攜帶約300eV之能量到達基板135。其他接近彈道的電子可僅經歷少量接近彈性的碰撞而維持大部分能量,並到達基板而具有足以激起基板上化學反應的大量能量。又,其他電子可能受許多碰撞影響且攜帶比對應至電漿中平均電子溫度之能量少得多的能量。
從DC電源施加至邊緣電極140之DC偏壓的大小不僅影響能量,且亦影響到達極邊緣區域165的電子數目。在表面處激起化學反應所需之電子能量取決於該表面及所期望的化學反應。在許多受關注的電漿處理中,約數百電子伏特的能量可使表面處的反應速率加速或減速,而在他處,約數十電子伏特的能量可能足夠。施加至邊緣電極之DC偏壓的大小亦可能必須足以確保足夠數目的電子帶有足夠能量到達極邊緣區域165。在一些實施例中,施加至邊緣電極140的DC偏壓相對出現在基板135之極邊緣區域165上的最小電位為負,出現在基板135之極邊緣區域165上的最小電位可能與出現於基板135上其他處的最小電位有所差異。當將RF偏壓供給至基板135時,最小電位出現在RF循環的極負點。當透過電容將RF偏壓施加至基板135時,DC自偏壓亦可能出現在基板135上並貢獻至總基板偏壓。此基板自偏壓取決於系統及操作參數兩者。在諸多實施例中,施加至邊緣電極140的DC偏壓係選定為在50V至1000V的範圍內,且於一實施例中在約500V至1000V的範圍內。在一些實施例中,施加至邊緣電極140的DC偏壓可在基板RF偏壓開啟時施加。在其他實施例中,施加至邊緣電極140的DC偏壓及基板RF偏壓可交替施加。在一範例中,可將基板RF偏壓關閉,然後可將DC脈衝施加至邊緣電極140,並在DC脈衝後開啟基板RF偏壓,且重複該序列。如此實施例在基板自偏壓大的時候尤其具有優勢。
雖然彈道電子初始可以諸多角度從邊緣電極140放射,且電子可由於電漿中的碰撞而改變方向,但電場沿著垂直於邊緣電極140之表面的方向為最強,且在於該方向上使許多電子轉向的方面高度有效。因此,對邊緣電極施加負DC偏壓產生彈道性及近彈道性電子的電子束。就良好近似值而言,二次電子密度在橫截面上為環形並反映邊緣電極140的尺寸。這些二次電子實質上在垂直於邊緣電極的路徑中行進,並撞擊基板135的周緣區域。因此,彈道性及近彈道性電子以高空間精準度被輸送至基板135的周緣區域。
如圖1所示,二次電子撞擊基板135上的極邊緣區域165。邊緣電極140係設計成使得就良好近似值而言,到達基板的電子束覆蓋基板135的極邊緣區域165。在一實施例中,上電極組件120可在電漿處理系統中定位成相對基板居中,邊緣電極140的內半徑及寬度可大約等於基板135的極邊緣區域165之內半徑及寬度。
在另一實施例中,邊緣電極140的寬度可大於基板135之極邊緣區域165的寬度,使得電子束覆蓋並略微延伸超出基板135。電子束延伸超出基板135的邊緣至少在兩方面有益。在電漿操作期間,離子可穿透通常存在於基板135與聚焦環139之間的小間隙,但該間隙內部通常阻隔電子。正電荷因此可能在基板固持器115上累積於該間隙中。此電荷因而改變基板135之邊緣附近的電位,而導致極邊緣區域165與基板135之其餘部分之間在期望電漿處理中的差異。當電子束覆蓋間隙區域時,電子束之電子中和並因此防止該處的正電荷累積。此外,電子束延伸超過基板135的邊緣使基板135相對邊緣電極140之任何放置上的失準之影響減小。
除了產生二次電子之外,在已知為濺鍍的處理中,撞擊在邊緣電極140上的正離子(未顯示)亦可使電極材料的原子變位。接著這些原子可進入電漿並到達基板135。若邊緣電極140及可選的中央電極145係直接暴露至電漿,其可由特別選定的材料製成,使得其不對所欲之電漿處理有害。或者,邊緣電極140及可選的中央電極145可被由對所欲電漿處理無害之材料製成的保護層包覆。上電極組件120的實施例及其他特性於以下進一步討論。
在一些實施例中,可在基板135之表面暴露至來自電漿的離子之同時,將電子束導至基板135上。在此情形中,當離子與基板135之表面交互作用時,電子束的電子存在於極邊緣區域165處。或者,在一些實施例中,可將電子束導至極邊緣區域165上,且後接離子通量,使得基板135的表面在不同於接收離子的時間接收電子。
圖2為表面處的例示性電子激發化學反應之圖示。表面210可為任何材料的任何表面,包括例如矽、矽氧化物、矽氮化物、矽碳化物、光阻、底部抗反射層(BARC)、諸多平面化材料、硬遮罩等等的材料之上表面或側壁。尤其,表面210可為在電漿蝕刻處理期間用作蝕刻遮罩的任何層之表面。雖然表面210在圖2顯示為氫封端,但其亦可更廣泛地完全或部分由一或更多其他原子或原子團封端,例如羥基、鹵素原子、胺基、氟碳基等等。
若電子220以足夠能量碰撞表面210,其可破壞表面處的鍵結,藉此使先前鍵結至表面的物種脫附,並留下懸鍵。舉例而言,電子220可激起氫原子223的脫附,並產生第一懸鍵227。
如此產生的懸鍵可參與後續的反應。舉例而言,氫原子230可到達第二懸鍵233的位置並藉由與下方材料之原子形成鍵結而吸附於表面上,因此將第二懸鍵233封端。類似地,反應物R1 240可吸附於表面上,因而將第三懸鍵243封端。在另一情形中,反應物R2 250與懸鍵的交互作用可導致兩物種:吸附於表面上的反應物R2 ’ 253及離開表面的反應副產物P 257。在一些電漿處理中,反應物R1 及R2 可為氟碳化合物。
懸鍵之表面密度中或覆蓋表面之物種中的改變可能影響不同電漿處理,例如蝕刻或沉積。舉例而言,懸鍵可提升沉積。類似地,由氟碳化合物覆蓋矽表面可保護該矽表面阻隔電漿離子,使該矽表面對於蝕刻比氫封端表面相對更具抗性。值得注意的是,額外電子的到達可在已吸附之反應物上產生額外的懸鍵,導致表面210上材料的持續生長。舉例而言,若反應物R1 240或反應物R2 250為氟碳化合物,氟碳化合物覆層可在表面210上繼續生長。
在一實施例中,參照圖1,基板135的極邊緣區域165包括基板135之寬度的百分之10以下,且在另一實施例中包括基板135之寬度的百分之2以下,且在另一實施例中包括基板135的外緣。
在一實施例中,基板135可為圓形半導體晶圓。圖3為半導體晶圓上例示性極邊緣區域的圖示。在晶圓300上,極邊緣區域310可呈近似環形。如同半導體晶圓300為基板135的範例,半導體晶圓300的極邊緣區域310為基板135之極邊緣區域165的範例。在諸多實施例中,對於半導體晶圓而言,極邊緣區域310之內半徑315對極邊緣區域310之寬度320的比率可介於10:1至150:1之間,且在一範例中介於40:1至150:1之間。
在一範例中,極邊緣區域310包括晶圓300的外緣330。在一些實施例中,極邊緣區域包括從外緣330朝內延伸寬度320的環形區域,該寬度320為基135之半徑的百分之10以下、且較佳為百分之2以下。舉例而言,對於具有300毫米直徑的晶圓而言,極邊緣區域包括從外緣330朝內延伸15毫米以下、且較佳為3毫米以下之寬度320的環形區域。此處考量到在一些應用中,極邊緣區域310可能不達到外緣330,且可取而代之地完全位於由外緣330所定義的圓內。此處亦察知若基板並非圓形,極邊緣區域可不呈環形。基板135之極邊緣區域165中電漿處理之效應的至少一態樣可與晶圓之內部分340中相同態樣不同。舉例而言,電漿處理可為沉積處理,且電漿處理之效應的態樣可為給定類型之特徵部的上表面或側壁上之生長速率。電漿處理可為意圖藉由蝕刻線特徵部周圍而形成該等線特徵部的蝕刻處理,且電漿處理之效應的態樣可為該等線特徵部的寬度。或者,電漿處理可為意圖在基板上一層中蝕刻近似圓柱形孔的蝕刻處理,且電漿處理之效應的態樣可為孔的直徑。
圖4A為半導體晶圓之中央部分中之孔洞的蝕刻例圖。一層經圖案化的光阻405已形成於硬遮罩410上,硬遮罩410係接著位於氧化物層415上。硬遮罩410可為矽、矽氮化物、矽碳化物等等。兩步驟蝕刻處理常用於如此情形。在第一步驟中,蝕刻處理用以在硬遮罩410中利用光阻405作為蝕刻遮罩來蝕刻開口。在第二步驟中,第二蝕刻處理用以在氧化物層415中利用硬遮罩410及任何留存的光阻405作為遮罩來蝕刻孔洞。光阻405、硬遮罩410、或兩者的暴露表面在該等電漿步驟其中一者或兩者期間受侵蝕,因為二者皆在某種程度上受蝕刻。電漿處理之效應的態樣為氧化物層中所蝕刻的孔洞之直徑425,其係例如於頂部量測。直徑425係部分由此侵蝕所決定。
圖4B為半導體晶圓之極邊緣區域中之孔洞的蝕刻例圖。在此實例中,光阻405的上表面440及側壁445、以及硬遮罩420的側壁450相較於晶圓之內部區域中的一般相對位置435展現較大的侵蝕。因此,極邊緣區域中之孔洞的直徑455大於晶圓之內部區域中的直徑425。極邊緣區域相較於內部區域在侵蝕程度上的差異可由若干因素引起,包括晶圓及聚焦環之電位上的差異、晶圓的溫度或接近晶圓邊緣之諸多電漿物種的能量或密度上之差異。舉例而言,如此密度上的差異可由於邊緣處之晶圓上特徵部的驟然終結所引起之負載效應而發生。由晶圓及聚焦環之電位上的差異所引起的效應可透過審慎的聚焦環設計及操作而減輕。然而,由於電漿物種的擴散及熱擴散,關於溫度及化學因素的效應在細微空間解析度下難以影響。此處亦察知可能有由較早處理步驟引起之光阻405之初始厚度或側壁輪廓上的差異。
圖4C為存在電子束的情況下、半導體晶圓之極邊緣部分中之孔洞的蝕刻例圖。可執行兩競爭性處理:一方面為透過蝕刻之光阻405及硬遮罩410的侵蝕,另一方面為電子激起之反應物在光阻405及硬遮罩410之表面上的生長。舉例而言,生長可在侵蝕的初始時期之後開始。光阻及硬遮罩之表面的有利位置465可在兩競爭性處理之間達到並接著維持平衡。
因此,在利用電子束的情況下,可在晶圓的極邊緣區域中達成小於先前所述孔直徑455且因此較接近內孔洞直徑425的外孔洞直徑470。此處察知,雖然電子束之電子可到達受蝕刻之孔洞的內部表面,但其在抑制孔洞蝕刻方面上的影響受限於氟碳化合物在孔洞內部由側壁之陰影效應所致的相對不可利用性。
圖5為包含邊緣電極之上電極組件的實施例之平面圖示。在一實施例中,上電極組件500包括介電中央件510、邊緣電極140、及外絕緣體530。中央件510可約為圓柱形,且其厚度可小於其直徑。邊緣電極140及外絕緣體530可約呈環狀圓柱形。在諸多實施例中,邊緣電極140可由對於所欲電漿處理無害的傳導性材料製成。舉例而言,對於矽氧化物蝕刻處理,邊緣電極140可由矽或矽碳化物製成。在諸多實施例中,中央件510及外絕緣體530可由一或更多絕緣材料製成,包括例如氧化釔的陶瓷。邊緣電極140的內半徑570及寬度580可大約等於半導體晶圓(例如以上所討論者)之極邊緣區域310的內半徑315及寬度320。因此,在諸多實施例中,邊緣電極140之內半徑570對邊緣電極140之寬度580可介於10:1至150:1之間。在其他實施例中,寬度580可大於半導體晶圓之極邊緣區域310的寬度320。
可對邊緣電極140供給連續式或脈衝式DC偏壓。在蝕刻處理的例示應用中,處理可在約100毫秒內移除欲蝕刻材料的一單層,且蝕刻遮罩之表面的表面位置數可約為每平方公分1015 個位置的量級。舉例而言,矽(100)表面上的晶格表面位置總數為約1.3x1015 cm-2 。為了有利地影響如此蝕刻處理中之蝕刻遮罩之表面上的化學處理,諸多實施例可在每100毫秒提供介於每平方公分1014 電子與每平方公分1016 電子之間的電子通量。舉例而言,電子通量可選定為維持相對表面上反應物或懸鍵之瞬間濃度的電子過飽和,該瞬間濃度本身可低於鍵結位置的總數。
對於其中施加至邊緣電極140之DC偏壓為連續式的實施例,一般可用的連續式DC電源便能夠優異地提供必要的電流。
在一些實施例中,可僅在需要激起蝕刻遮罩表面上的生長時及持續時間施加連續DC偏壓。一般可用的在千赫頻率範圍中操作之脈衝式DC電源提供每秒數千脈衝。對於其中施加至邊緣電極140之DC偏壓為脈衝式的實施例,提供介於每平方公分1014 電子與1016 電子之間之電子束通量所需的電流位準係完全在如此電源的能力範圍內。在一實施例中,脈衝寬度係選定為足夠長而確保供給所期望之電子束通量。在一些實施例中,DC脈衝可僅在需要激起蝕刻遮罩表面上的生長時施加。
圖6為包括邊緣及中央電極之上電極組件的實施例之平面圖示。不若圖5的實施例,在此實施例中,上電極組件包括額外的中央電極。
因此,在此實施例中,上電極組件120包括中央電極145、內絕緣體620、邊緣電極140、及外絕緣體530。中央電極145可約呈圓柱形,且其厚度可小於其直徑。內絕緣體620、邊緣電極140、及外絕緣體530可約呈環狀圓柱形。在一實施例中,中央電極145可由摻雜矽製成。內絕緣體620可由諸多絕緣材料製成,包括例如氧化釔的陶瓷。
在諸多實施例中,可對中央電極145供給不同於邊緣電極140的偏壓電壓。對中央電極145及邊緣電極140施以偏壓的諸多實施例將於以下進一步討論。
圖7為包括邊緣及中央電極之上電極組件的另一實施例之平面圖示。除了圖6所示的中央電極之外,此實施例更包含圍繞邊緣電極的外部件。在此實施例中,上電極組件700包括中央電極145、內絕緣體620、邊緣電極140、外絕緣體740、及外部件750。外絕緣體740及外部件750可約呈環狀圓柱形。外絕緣體740提供邊緣電極140與外部件750之間的電性絕緣,並可由例如陶瓷等等之一或更多絕緣材料製成,且在寬度上可與外絕緣體530不同。外部件750可由絕緣或傳導性材料製成。
在其中外部件750為傳導性的實施例中,可使其接地、或在連續或脈衝模式中施以DC或RF偏壓。在如此實施例中,額外的絕緣環(未顯示)可圍繞外部件750。
在圖5-7的實施例中,上電極組件的電極暴露至電漿。因此,電極材料可能噴濺至電漿中,且可能到達基板並干擾基板上的電漿處理。舉例而言,在其中電極由摻雜矽製成的實施例中,矽的噴濺可能與矽蝕刻處理的效率相爭且使其降低。對於如此的應用,可採用受保護遮罩實施例。在受保護遮罩實施例中,電極可由覆蓋或疊層材料保護而與電漿隔絕。由於覆蓋材料可能在操作期間噴濺,所以其係選定成使得其對於蝕刻處理無害。取決於蝕刻處理,覆蓋材料可為介電質,例如包括氧化釔之陶瓷、氧化物、氮化物等等、或其複合物或組合。
圖8為受遮蔽上電極組件800之實施例的示意立面剖視圖,其中邊緣電極由遮罩保護。在此實施例中,邊緣電極140設置在保護性介電質820中。邊緣電極係由傳導性材料製成,例如摻雜矽、金屬或合金、或其組合,且約呈環狀圓柱形。邊緣電極的高度通常小於其直徑。保護性介電質可包含一或更多件的一或更多絕緣材料,包括例如氧化釔的陶瓷。在諸多實施例中,邊緣電極140可印製在一或更多件的保護性介電質820中,或邊緣電極140及保護性介電質820可從單獨部件組合。邊緣電極140設有邊緣接點825以供容許施加偏壓的電性通路。
保護性介電質820的表面區域830面向電漿、覆蓋邊緣電極140、且約呈環形。當將負DC偏壓施加至邊緣電極時,電漿中的正離子朝邊緣電極受吸引,而撞擊於表面區域830上,並從表面區域830產生二次電子。然而,由於介電質並非傳導性,所以電荷逐漸聚集在表面區域830上,直到二次電子及因此產生之電子束的生成被壓制。
圖9顯示用於介電質保護邊緣電極之電子束的例示動態。軌跡A、B、及C分別繪示施加至邊緣電極的偏壓、介電質表面處的電壓、及電子束中的電子通量。負DC偏壓在時間t1 施加至邊緣電極140。在一些處理中,表面區域830處的電壓從不具有所施加偏壓之情況下之其數值、朝大約等於所施加偏壓的數值快速下降到約10V的小負值。可察知之電子束通量在時間t2 產生。當電荷聚集在表面區域830上時,表面電壓朝其原始值緩慢回升。在時間t3 ,表面電壓不再足夠產生顯著的電子束通量,且在時間t4時,表面電壓已近乎回復至其原始值。為了以連續式之基礎在基板上使電漿處理發生作用,移除DC偏壓而容許累積於表面區域830上之電荷消散於電漿中並在時間t5 重新施加DC偏壓是具有優勢的。因此,使用脈衝式DC電源對受遮蔽上電極組件800中之邊緣電極140施以偏壓是具有優勢的。
圖10為受保護上電極組件之實施例的示意立面剖視圖,該上電極組件包含邊緣電極及中央電極。在此實施例中,邊緣電極140及中央電極145設置在絕緣本體1020中。此外,保護性介電質1030用以使邊緣電極140及中央電極145絕緣、並用以保護邊緣電極140及中央電極145而與電漿隔絕。
在一實施例中,保護性介電質1030可為氧化釔。氧化釔通常用作電漿系統中的覆層,且一般而言不造成顯著汙染。在其他實施例中,保護性介電質1030可包括一或更多件的一或更多其他絕緣材料,包含其他陶瓷。邊緣及中央電極140及145係由傳導性材料製成,例如摻雜矽、金屬或合金、或其組合。在諸多實施例中,邊緣及中央電極140及145可印製於一或更多件的本體1020或保護性介電質1030中,或其可從單獨部件組合。邊緣及中央電極140及145設有邊緣接點825及中央接點1045以供容許獨立施加偏壓的電性通路。
圖11A為電漿處理設備中之邊緣電極140、中央電極145、及基板固持器115的獨立偏壓示意圖,而圖11B為電漿處理設備中之邊緣電極140、中央電極145、及基板固持器115之偏壓配置的一些實施例之列表。施加至邊緣電極140之脈衝式DC偏壓A與施加至中央電極145之脈衝式DC偏壓B可在電壓、脈衝寬度、脈衝頻率、脈衝時序、或其組合上有所不同。在一些實施例中,脈衝式DC偏壓A可在大小上大於脈衝式DC偏壓B,導致比基板之內部部分更大的電子通量到達極邊緣區域。在其他實施例中,脈衝式DC偏壓B可在大小上大於脈衝式DC偏壓A,導致比基板之及邊緣區域更大的電子通量到達內部部分。後者實施例在需要電子相較於及邊緣區域優先在內部部分激起化學反應的情形中是具有優勢的。在更其他實施例中,脈衝式DC偏壓A的脈衝可與脈衝式DC偏壓B的脈衝交替,而使基板的極邊緣及內部部分交替暴露於電子。
圖11B之列1-3中標註的HF(高頻)及LF(低頻)偏壓分別表示電漿系統中常用於上電極及基板固持器的偏壓。LF頻率通常低於30 KHz,但在一些應用中為400 kHz或800 kHz,而HF偏壓通常在3與300 MHz之間的頻率範圍內,尤其是常用頻率當中的13、27、40、60、100、120、及200 MHz。列4-7中所列出的配置係關於列1-3中的配置,因HF偏壓係施加至基板固持器來代替中央電極。
其他偏壓配置亦有可能。舉例而言,除了脈衝式DC偏壓A之外,亦可將配置1-3中施加至中央電極145的HF偏壓施加至邊緣電極140。在其他配置中,可使基板115接地,而將RF偏壓施加至中央電極145,且可選地施加至邊緣電極140。再者,可透過匹配網路或電容及線圈施加諸多偏壓,該等匹配網路或電容及線圈可存在用以進一步維持電漿。
此處察知,在一些電漿處理系統的實施例中,可藉由平面線圈維持感應耦合電漿。在如此實施例中,法拉第籠可用以容許專用電極的DC偏壓、及起因於此的在晶圓之極邊緣區域處受引導之電子束的產生。
在一些電漿系統中,通常供給處理氣體通過上電極組件。圖12為具有通過上電極組件之氣體供應器且包含DC偏壓邊緣電極的電漿處理設備之實施例的示意圖。在此實施例中,電漿處理設備1200包括氣體輸入系統1210、基板固持器115、上電極組件1220。處理氣體係透過上電極組件1220中的氣體導管1240導入電漿區域1230中。在一實施例中,上電極組件包括邊緣電極1250、且可選地包括中央電極1260。此外,DC電源1270對邊緣電極1250提供DC偏壓。取決於任何上電極組件1220之實施例中的氣體導管1240之設置,邊緣電極1250可類似於邊緣電極140。
圖13為上電極組件之實施例的平面圖示,該上電極組件包含邊緣及中央電極與氣體供應器用導管。在此實施例中,受遮蓋的上電極組件1300包括中央電極1260、內絕緣體620、邊緣電極1250、外絕緣體740、及外部件1350。在此實施例中,中央電極1260及外部件1350在材料、形式、操作上可相似於圖7的中央電極145及外部件750,除了氣體導管1240設置在中央電極1260及外部件1350中以容許氣體通過之外。
圖14為受保護上電極組件1400之實施例的示意立面剖視圖,該上電極組件1400包含邊緣及中央電極與氣體供應器用導管。在此實施例中,邊緣電極1250及中央電極1260係設置於絕緣本體1420中。此外,保護性介電質1430用以使邊緣電極1250及中央電極1260絕緣,且用以保護邊緣電極1250及中央電極1260而與電漿隔絕。
在一實施例中,保護性介電質1430可為氧化釔。在其他實施例中,保護性介電質1430可包括一或更多件的一或更多其他絕緣材料,包含其他陶瓷。邊緣及中央電極1250及1260係由傳導性材料製成,例如摻雜矽、金屬或合金、或其組合。在諸多實施例中,邊緣及中央電極1250及1260可印製於一或更多件的本體1420或保護性介電質1430中,或其可從單獨部件組合。
邊緣電極1250及中央電極1260分別設有邊緣接點1440及中央接點1445以供容許施加偏壓的電性通路。氣體導管1240係設置在受保護的上電極組件1400中,以容許氣體通過。
如先前所述,此處考量到,在諸多實施例中,不論是連續式或脈衝式,施加至邊緣電極或中央電極或兩者的DC偏壓皆可在電漿處理之前或期間僅在選定時間施加。舉例而言,DC偏壓可僅在電漿處理的早期階段施加、僅在多步驟處理的一步驟期間施加、僅偶爾施加以抵銷例如蝕刻遮罩之特徵部的侵蝕、僅在例如蝕刻遮罩之特徵部的侵蝕達到關注程度時施加、以週期性方式施加等等。類似地,DC偏壓可例如藉由在電漿處理之前或期間的選定時間改變偏壓大小、或改變用於脈衝式偏壓之脈衝寬度及脈衝頻率、或限制供給至電極的電流來加以調變。再者,DC偏壓可在一或更多RF偏壓開啟時施加至邊緣電極或中央電極、或與一或更多RF偏壓交替施加至邊緣電極或中央電極。舉例而言,可使一或更多RF偏壓關閉、施加DC脈衝、該RF偏壓開啟,並重複該序列。
如先前所述,在一些上電極組件的實施例中,可不具有中央電極。於此考量到,在如此情形中,上電極組件可呈環形,其中缺少至少一部分的無作用中央部分。
在以上揭示的實施例中,受供給脈衝式或連續式DC偏壓的邊緣電極係用以產生主要由二次電子構成的電子束,並將其導向基板的極邊緣區域。在其他實施例中,可採用可能在傳統電漿處理設備之關鍵元件之外的其他電子源來形成電子束。
圖15為電漿系統1500之實施例的示意圖,該電漿系統1500包含主電漿腔室1515(例如真空腔室)之外的電子源1510。
在此實施例中,將RF偏壓施加至主線圈1520,而在主電漿腔室1515之區域1525中維持電漿以供電漿處理。在一實施例中,電子源1510包括來源腔室1550,來源腔室1550可為環狀圓柱。與主電漿不同的電子源電漿可藉由諸多技術在來源腔室1550的內部區域1553中產生,該等技術包括感應耦合、電子迴旋共振(ECR)、空心陰極等等。
在圖15所示的實施例中,受供給RF的來源線圈1557在區域1553中維持來源電漿。DC電源1559提供DC偏壓至來源腔室1550的一些內部表面。在諸多實施例中,DC偏壓可為連續式或脈衝式。在一實施例中,出口1560接地。二次電子係藉由來源電漿中之離子與來源腔室1550之內部表面碰撞而產生,且在來源腔室1550之經DC偏壓表面與接地之出口1560之間之電場的影響下,受驅動朝向並通過出口1560。出口1560可呈環形,而產生環狀截面的電子束。在諸多實施例中,面向主電漿的表面1565亦接地。
本發明之例示實施例係於此總結。其他實施例亦可由說明書的整體及此處提出的申請專利範圍獲得理解。
範例1。一種電漿處理系統,包含:真空腔室;基板固持器,其配置成固持待處理基板且設置在真空腔室中;及電子源,設置在基板固持器之周緣區域上方,該電子源配置成產生朝向基板固持器之周緣區域的電子束。
範例2。如範例1之系統,其中電子源包含耦接至直流(DC)電源節點的邊緣電極。
範例3。如範例2之系統,其中邊緣電極之內半徑對邊緣電極之寬度的比率在10:1至150:1之間更動。
範例4。如範例2至3其中一者之系統,其中邊緣電極由介電材料覆蓋。
範例5。如範例2至4其中一者之系統,更包含設置在基板固持器之中央區域上方的中央電極,該中央電極設置在邊緣電極內。
範例6。如範例5之系統,更包含通過中央電極並用於提供氣體進入腔室的氣體入口。
範例7。如範例5至6其中一者之系統,其中中央電極包括經摻雜半導體材料。
範例8。如範例1至7其中一者之系統,其中該系統配置成利用感應處理或電容處理在真空腔室內產生電漿。
範例9。如範例1至8其中一者之系統,其中電子源包含配置成耦接至外部電子源的出口。
範例10。一種利用範例1之系統處理半導體基板的方法,其中該方法包含將半導體基板設置於基板固持器上;及在真空腔室中處理半導體基板。
範例11。一種處理方法,包含:將待處理基板置於設在真空腔室內的基板固持器上,該基板包含由周緣區域圍繞的中央區域;及從電子源將包含彈道電子的電子束朝向周緣區域導引。
範例12。如範例11之方法,其中導引電子束包含在設於周緣區域上方之邊緣電極上施加第一直流脈衝。
範例13。如範例11至12其中一者之方法,其中電子源包含設於周緣區域上方的邊緣電極及設於中央區域上方的中央電極。
範例14。如範例13之方法,其中導引電子束包含:將直流脈衝序列施加在邊緣電極上、將高頻偏壓施加在中央電極上、及將低頻偏壓施加在基板固持器上;將直流的第一脈衝序列施加在邊緣電極上、將高頻偏壓及直流的第二脈衝序列施加在中央電極上、及將低頻偏壓施加在基板固持器上;將直流的第一脈衝序列施加在邊緣電極上、將高頻偏壓及直流的第二脈衝序列施加在中央電極上、及將低頻偏壓之第三脈衝序列施加在基板固持器上;將直流的第一脈衝序列施加在邊緣電極上、將參考電位施加在中央電極上、及將高頻偏壓及低頻偏壓施加在基板固持器上;將直流的第一脈衝序列施加在邊緣電極上、將直流的第二脈衝序列施加在中央電極上、及將高頻偏壓及低頻偏壓施加在基板固持器上;將直流的第一脈衝序列施加在邊緣電極上、將參考電位施加在中央電極上、及將高頻偏壓及脈衝低頻偏壓施加在基板固持器上;或將直流脈衝序列施加在邊緣電極上、將直流的第二脈衝序列施加在中央電極上、及將高頻偏壓及脈衝低頻偏壓施加在基板固持器上。
範例15。如範例13之方法,其中導引電子束包含:將直流脈衝序列施加在邊緣電極上、將射頻偏壓施加在中央電極上、及將參考電位施加在基板固持器上;將射頻偏壓及直流脈衝序列施加在邊緣電極上、將射頻偏壓施加在中央電極上、及將參考電位施加在基板固持器上;或將直流脈衝序列施加在邊緣電極上、將參考電位施加在中央電極上、及將射頻偏壓施加在基板固持器上。
範例16。如範例11至15其中一者之方法,更包含:在真空腔室內產生電漿;及從電漿將離子導引朝向基板;及利用離子及包含彈道電子的電子束處理基板的表面。
範例17。如範例16之方法,其中使導引電子束及導引離子交替。
範例18。如範例16之方法,其中導引電子束及導引離子係同時執行。
範例19。一種電漿系統的電子產生設備,包含:設置於中央部分周圍的邊緣電極部分,該邊緣電極部分包含:大於中央部分之直徑的內直徑、小於內直徑的厚度、設於內直徑與外直徑之間的第一傳導區域、用於將直流(DC)電源耦接至第一傳導區域的第一電耦接元件、及配置成暴露至電漿系統之電漿且配置成產生電子的外表面。
範例20。如範例19的設備,其中邊緣電極部分由介電材料覆蓋。
範例21。如範例19及20其中一者的設備,更包含設置在中央部分中的中央電極部分,該中央電極部分包含:沿著中央電極部分分布或在中央電極部分內分布的第二傳導區域;用於直流(DC)源或交流(AC)電源的第二電耦接元件,該第二電耦接元件耦接至第二傳導區域;及大於中央電極部分之厚度的直徑,其中第二電耦接元件係電性絕緣於第一電耦接元件。
範例22。如範例21的設備,其中第二傳導區域包含摻雜半導體材料。
範例23。如範例21及22其中一者的設備,其中中央電極部分包含複數氣體導管,該複數氣體導管包含中央電極部分之表面上的氣體入口及相對表面上的氣體出口。
雖然已參照說明性實施例敘述本發明,但此敘述並非意圖被以限制性方式解讀。在參照敘述時,說明性實施例的諸多修飾及組合、以及本發明的其他實施例對於熟悉所屬技術領域者將顯而易見。舉例而言,以上討論(例如圖5及6中)之上電極組件的其他實施例可配有氣體導管,俾使可在圖12的電漿系統實施例中操作。因此,欲使隨附申請專利範圍涵蓋任何如此的修飾及組合。
100:電漿處理設備 105:處理腔室 110:氣體輸入系統 115:基板固持器 120:上電極組件 125:線圈 130:區域 135:基板 137:匹配網路 139:聚焦環 140:邊緣電極 145:中央電極 150:DC供應器 160:彈道電子 165:極邊緣區域 210:表面 220:電子 223:氫原子 227:第一懸鍵 230:氫原子 233:第二懸鍵 240:反應物R1 243:第三懸鍵 250:反應物R2 253:反應物R2’ 257:反應副產物P 300:晶圓 310:極邊緣區域 315:內半徑 320:寬度 330:外緣 340:內部分 405:光阻 410:硬遮罩 415:氧化物層 420:硬遮罩 425:直徑 435:相對位置 440:上表面 445:側壁 450:側壁 455:直徑 465:有利位置 470:直徑 500:上電極組件 510:中央件 530:外絕緣體 570:內半徑 580:寬度 620:內絕緣體 700:上電極組件 740:外絕緣體 750:外部件750 800:上電極組件 820:保護性介電質 825:邊緣接點 830:表面區域 1020:絕緣本體 1030:保護性介電質 1045:中央接點 1200:電漿處理設備 1210:氣體輸入系統 1220:上電極組件 1230:電漿區域 1240:氣體導管 1250:邊緣電極 1260:中央電極 1270:DC電源 1300:上電極組件 1350:外部件 1400:上電極組件 1420:絕緣本體 1430:保護性介電質 1440:邊緣接點 1445:中央接點 1500:電漿系統 1510:電子源 1515:主電漿腔室 1520:主線圈 1525:區域 1550:來源腔室 1553:內部區域 1557:來源線圈 1559:DC電源 1560:出口 1565:表面
為了對於本發明及其優點的更完整理解,現在結合隨附圖式對以下說明進行參照,其中:
圖1為包括DC偏壓邊緣電極之電漿處理設備的實施例示意圖;
圖2為表面處的例示性電子激發化學反應之圖示;
圖3為半導體晶圓上例示性極邊緣區域的圖示;
圖4A為半導體晶圓之中央部分中之孔洞的蝕刻例圖;
圖4B為半導體晶圓之極邊緣區域中之孔洞的蝕刻例圖;
圖4C為存在電子束的情況下、半導體晶圓之極邊緣區域中之孔洞的蝕刻例圖;
圖5為包含邊緣電極之上電極組件的實施例之平面圖示;
圖6為包含邊緣及中央電極之上電極組件的替代實施例之平面圖示;
圖7為包含邊緣及中央電極之上電極組件的另一實施例之平面圖示;
圖8為受遮蔽上電極組件之實施例的示意立面剖視圖,其中邊緣電極由遮罩保護;
圖9顯示用於介電質保護邊緣電極之電子束的例示動態;
圖10為受保護上電極組件之實施例的示意立面剖視圖,該上電極組件包含邊緣電極及中央電極;
圖11A為電漿處理設備中之邊緣電極、中央電極、及基板固持器的獨立偏壓示意圖;
圖11B為電漿處理設備中之邊緣電極、中央電極、及基板固持器之偏壓配置的一些實施例之列表;
圖12為具有通過上電極組件之氣體供應器且包含DC偏壓邊緣電極的電漿處理設備之實施例的示意圖;
圖13為上電極組件之實施例的平面圖示,該上電極組件包含邊緣及中央電極與氣體供應器用導管;
圖14為受保護上電極組件之實施例的示意立面剖視圖,該上電極組件包含邊緣及中央電極與氣體供應器用導管;
圖15為電漿系統之實施例的示意圖,該電漿系統包含主電漿真空腔室之外的電子源;
整體而言,除非另有指示,否則不同圖示中的對應編號及符號表示對應的部件。圖示繪製成清楚說明實施例的相關態樣,且未必按比例繪製。再者,諸多圖示中之氣體導管的數目、尺寸、及定位並未反映實務上之氣體導管的數目、尺寸、及定位,且僅意圖說明相關概念。
100:電漿處理設備
105:處理腔室
110:氣體輸入系統
115:基板固持器
120:上電極組件
125:線圈
130:區域
135:基板
137:匹配網路
139:聚焦環
140:邊緣電極
145:中央電極
150:DC供應器
160:彈道電子
165:極邊緣區域

Claims (22)

  1. 一種電漿處理系統,包含:一電漿處理腔室,其配置成容納產生於該電漿處理腔室中的一直接電漿;一基板固持器,其設置在該電漿處理腔室中,且配置成固持待處理之基板;及一電子束源,設置在該基板及該基板固持器之一周緣區域上方並與之垂直對準,該周緣區域圍繞該基板及該基板固持器之一中央區域,其中該電子束源配置成從該直接電漿產生一電子束,該電子束包含在垂直於該周緣區域之方向上行進的彈道電子,且其中該電子束撞擊該周緣區域且未撞擊該基板及該基板固持器之該中央區域,該彈道電子激發該基板處的化學反應。
  2. 如請求項第1項之電漿處理系統,其中該電子束源包含耦接至一直流(DC)電源節點的一邊緣電極。
  3. 如請求項第2項之電漿處理系統,其中該邊緣電極之內半徑對該邊緣電極之寬度的比率在10:1至150:1之間更動。
  4. 如請求項第2項之電漿處理系統,其中該邊緣電極的暴露至該直接電漿之表面係由一介電材料覆蓋。
  5. 如請求項第2項之電漿處理系統,更包含設置在該中央區域上方的一中央電極,該中央電極係設置在該邊緣電極內。
  6. 如請求項第5項之電漿處理系統,其中該中央電極包括經摻雜半導體材料。
  7. 如請求項第1項之電漿處理系統,其中該電漿處理系統係配置成利用一感應處理或一電容處理在該電漿處理腔室內產生電漿。
  8. 如請求項第1項之電漿處理系統,其中該電子束源包含配置成耦接至一外部電子來源的一出口。
  9. 如請求項第4項之電漿處理系統,其中該邊緣電極更包含設置成一環形且經由一第一接點耦接至該DC電源節點的一第一傳導區域、以及配置成暴露至該電漿處理系統之電漿且配置成產生該電子束的一外表面。
  10. 如請求項第9項之電漿處理系統,更包含:一中央電極,設於該中央區域上方,該中央電極係設置在該邊緣電極內。
  11. 如請求項第10項之電漿處理系統,其中該中央電極包含沿著該中央電極而分布或在該中央電極內分布的一第二傳導區域、用於一DC源或一交流(AC)源的一第二接點,該第二接點係耦接至該第二傳導區域,該第二接點係電性絕緣於該第一接點。
  12. 如請求項第11項之電漿處理系統,其中該中央電極的直徑大於該中央電極的厚度。
  13. 如請求項第11項之電漿處理系統,其中該第二傳導區域包含經摻雜半導體材料。
  14. 如請求項第1項之電漿處理系統,其中該電子束撞擊實質上整個該周緣區域。
  15. 如請求項第14項之電漿處理系統,其中包含在該周緣區域中的該基板之寬度小於該基板之總寬度的約百分之10。
  16. 一種電漿處理系統,包含: 設置於一中央部分周圍且與該中央部分電性絕緣的一邊緣電極部分,該邊緣電極部分更設置於一基板固持器之一周緣區域上方並與之垂直對準,其中該邊緣電極部分包含:一內直徑,其係大於該中央部分之直徑,一厚度,其係小於該內直徑,一第一傳導區域,設於該內直徑與一外直徑之間,且配置成從該電漿處理系統之直接電漿產生在垂直於該周緣區域之方向上行進的彈道電子,一第一電耦接元件,用於將一直流(DC)電源耦接至該第一傳導區域,及一外表面,配置成暴露至該直接電漿並覆蓋該第一傳導區域,該外表面包含一介電材料;以及設置在該中央部分中的一中央電極部分,該中央電極部分包含:一第二傳導區域,沿著該中央電極部分而分布或在該中央電極部分內分布,一第二電耦接元件,用於耦接一交流(AC)電源,該第二電耦接元件係耦接至該第二傳導區域;及一直徑,其大於該中央電極部分之厚度,其中該第二電耦接元件係電性絕緣於該第一電耦接元件。
  17. 如請求項第16項之電漿處理系統,其中該第二電耦接元件係配置成耦接一直流(DC)源與該AC電源兩者。
  18. 如請求項第17項之電漿處理系統,其中該第二傳導區域包含經摻雜半導體材料。
  19. 一種電漿處理系統,包含: 一真空腔室,其配置成容納產生於該真空腔室中的一直接電漿;一基板固持器,其設置在該真空腔室中,且配置成固持待處理之基板,該基板與該直接電漿接觸;一電子束源,設置在該基板及該基板固持器之一周緣區域上方並與之垂直對準,該周緣區域圍繞該基板及該基板固持器之一中央區域,該電子束源係配置成從該直接電漿產生一電子束,該電子束包含朝向該周緣區域而行進的彈道電子,該電子束源包含設置成一環形且耦接至一第一直流(DC)電源節點的一邊緣電極;一中央電極,在該中央區域上方設置於該邊緣電極之該環形內,該中央電極係電性絕緣於該邊緣電極;以及一介電材料,其包覆該電子束源及該中央電極兩者。
  20. 如請求項第19項之電漿處理系統,其中該中央電極包含經摻雜半導體材料。
  21. 如請求項第19項之電漿處理系統,其中該邊緣電極係與該中央電極共平面且電性絕緣於該中央電極。
  22. 如請求項第19項之電漿處理系統,其中該中央電極耦接至一第二直流(DC)電源節點與一交流(AC)電源節點兩者。
TW108129748A 2018-08-30 2019-08-21 電漿處理用方法及設備 TWI840398B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862724865P 2018-08-30 2018-08-30
US62/724,865 2018-08-30
US16/221,918 US11688586B2 (en) 2018-08-30 2018-12-17 Method and apparatus for plasma processing
US16/221,918 2018-12-17

Publications (2)

Publication Number Publication Date
TW202025215A TW202025215A (zh) 2020-07-01
TWI840398B true TWI840398B (zh) 2024-05-01

Family

ID=69640210

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108129748A TWI840398B (zh) 2018-08-30 2019-08-21 電漿處理用方法及設備

Country Status (6)

Country Link
US (2) US11688586B2 (zh)
JP (1) JP7408050B2 (zh)
KR (1) KR20210038938A (zh)
CN (1) CN112534545A (zh)
TW (1) TWI840398B (zh)
WO (1) WO2020046561A1 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4190849A (en) * 1977-09-19 1980-02-26 Motorola, Inc. Electronic-beam programmable semiconductor device structure
TW201220962A (en) * 2010-06-07 2012-05-16 Tokyo Electron Ltd can suppress the consumption of partially eroding a dielectric protection mask disposed inside a dielectric window
US20120258601A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
TW201342464A (zh) * 2011-12-16 2013-10-16 Lam Res Corp 具有用以去偶合離子及自由基控制之源的半導體處理系統

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10172792A (ja) 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP5168907B2 (ja) 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
KR101331213B1 (ko) 2007-06-05 2013-11-20 삼성디스플레이 주식회사 기판 가공장치
KR100915613B1 (ko) 2007-06-26 2009-09-07 삼성전자주식회사 펄스 플라즈마 매칭시스템 및 그 방법
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
JP2012004160A (ja) 2010-06-14 2012-01-05 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US20130098873A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Overhead electron beam source for plasma ion generation in a workpiece processing region
US9396900B2 (en) 2011-11-16 2016-07-19 Tokyo Electron Limited Radio frequency (RF) power coupling system utilizing multiple RF power coupling elements for control of plasma properties
JP2014139889A (ja) 2013-01-21 2014-07-31 Sumitomo Heavy Ind Ltd マイクロ波イオン源及びプラズマ室
US9564297B2 (en) 2013-05-16 2017-02-07 Applied Materials, Inc. Electron beam plasma source with remote radical source
US9290848B2 (en) * 2014-06-30 2016-03-22 Tokyo Electron Limited Anisotropic etch of copper using passivation
US9799494B2 (en) * 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
JP6754890B2 (ja) * 2017-03-06 2020-09-16 日本碍子株式会社 ウエハ支持台

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4190849A (en) * 1977-09-19 1980-02-26 Motorola, Inc. Electronic-beam programmable semiconductor device structure
TW201220962A (en) * 2010-06-07 2012-05-16 Tokyo Electron Ltd can suppress the consumption of partially eroding a dielectric protection mask disposed inside a dielectric window
US20120258601A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
TW201342464A (zh) * 2011-12-16 2013-10-16 Lam Res Corp 具有用以去偶合離子及自由基控制之源的半導體處理系統

Also Published As

Publication number Publication date
US11688586B2 (en) 2023-06-27
JP2021536667A (ja) 2021-12-27
US20230230814A1 (en) 2023-07-20
KR20210038938A (ko) 2021-04-08
CN112534545A (zh) 2021-03-19
TW202025215A (zh) 2020-07-01
WO2020046561A1 (en) 2020-03-05
JP7408050B2 (ja) 2024-01-05
US20200075293A1 (en) 2020-03-05

Similar Documents

Publication Publication Date Title
TWI840398B (zh) 電漿處理用方法及設備
US11101113B2 (en) Ion-ion plasma atomic layer etch process
KR102165733B1 (ko) 전자 빔 플라즈마 프로세스에 의해 형성된 다이아몬드상 탄소 층
US9520294B2 (en) Atomic layer etch process using an electron beam
KR101376671B1 (ko) 물리 기상 증착 반응기
US8222157B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP6382055B2 (ja) 被処理体を処理する方法
KR20190124323A (ko) 플라즈마 반응기 및 플라즈마 반응기에서의 다이아몬드-유사 탄소의 증착 또는 처리
JP6982560B2 (ja) プラズマフィルタリングのためのシステム及び処理
KR102691937B1 (ko) 기판 처리 장치, 시스템 및 방법
KR102309941B1 (ko) 피처리체를 처리하는 방법
KR20210044906A (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
WO2002078041A2 (en) Neutral particle beam processing apparatus
WO2003044842A1 (en) Etching method and apparatus
WO2002078042A2 (en) Neutral particle beam processing apparatus
WO2003030240A2 (en) Etching method and apparatus
US7060931B2 (en) Neutral beam source having electromagnet used for etching semiconductor device
KR101239776B1 (ko) 타깃에 인가되는 rf 소스 파워에 의한 물리 기상 증착플라즈마 반응기
Pu Plasma Etch Equipment
JP4160823B2 (ja) ラジカル支援ドライエッチング装置
JPH08203869A (ja) プラズマ処理方法及びその装置
KR100782373B1 (ko) 중성빔을 이용한 플라즈마 처리설비