TWI836499B - 用於磊晶生長的整合濕式清潔 - Google Patents

用於磊晶生長的整合濕式清潔 Download PDF

Info

Publication number
TWI836499B
TWI836499B TW111125341A TW111125341A TWI836499B TW I836499 B TWI836499 B TW I836499B TW 111125341 A TW111125341 A TW 111125341A TW 111125341 A TW111125341 A TW 111125341A TW I836499 B TWI836499 B TW I836499B
Authority
TW
Taiwan
Prior art keywords
chamber
wet cleaning
cleaning system
transfer
substrate
Prior art date
Application number
TW111125341A
Other languages
English (en)
Other versions
TW202314802A (zh
Inventor
布萊恩K 克爾克派翠克
尤戴 米查
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202314802A publication Critical patent/TW202314802A/zh
Application granted granted Critical
Publication of TWI836499B publication Critical patent/TWI836499B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B13/00Accessories or details of general applicability for machines or apparatus for cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • C30B35/005Transport systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

例示性整合的群集工具可包括工廠介面,該工廠介面包括第一轉移機器人。該等工具可以包括濕式清潔系統,該濕式清潔系統在濕式清潔系統的第一側處與工廠介面耦接。該等工具可以包括裝載閘腔室,該裝載閘腔室在濕式清潔系統的第二側處與濕式清潔系統耦接,該第二側與該濕式清潔系統的該第一側相對。該等工具可以包括第一轉移腔室,該第一轉移腔室與裝載閘腔室耦接。該第一轉移腔室可以包括第二轉移機器人。該等工具可以包括乾法蝕刻腔室,該乾法蝕刻腔室與該第一轉移腔室耦接。該等工具可以包括第二轉移腔室,該第二轉移腔室與該第一轉移腔室耦接。第二轉移腔室可以包括第三轉移機器人。該等工具可以包括處理腔室,該處理腔室與該第二轉移腔室耦接。

Description

用於磊晶生長的整合濕式清潔
本申請主張2021年7月7日提交的名稱為「INTEGRATED WET CLEAN FOR EPITAXIAL GROWTH」的美國臨時申請第63/218,994號的權益和優先權,該美國臨時申請的內容出於所有目的而以引用方式整體併入本文。
本技術係關於半導體加工和材料。更特定言之,本技術係關於群集工具配置和在群集工具上執行的方法。
磊晶膜生長通常尋求生長將在其上發生的純潔界面表面。清潔製程可以包括濕式清潔、乾法清潔和熱烘烤操作,以提供足夠清潔的表面。然而,當以減少的熱預算執行增加的處理時,熱烘烤可能是不可行的。
因此,需要能夠用於生產高品質元件和結構的改進的系統和方法。本技術解決了該等和其他需求。
例示性整合的群集工具可包括工廠介面,該工廠介面包括第一轉移機器人。該等工具可以包括濕式清潔系統,該濕式清潔系統在濕式清潔系統的第一側處與工廠介面耦接。該等工具可以包括裝載閘腔室,該裝載閘腔室在濕式清潔系統的第二側處與濕式清潔系統耦接,該第二側與該濕式清潔系統的該第一側相對。該等工具可以包括第一轉移腔室,該第一轉移腔室與裝載閘腔室耦接。該第一轉移腔室可以包括第二轉移機器人。該等工具可以包括乾法蝕刻腔室,該乾法蝕刻腔室與該第一轉移腔室耦接。該等工具可以包括第二轉移腔室,該第二轉移腔室與該第一轉移腔室耦接。第二轉移腔室可以包括第三轉移機器人。該等工具可以包括處理腔室,該處理腔室與該第二轉移腔室耦接。
在一些實施例中,濕式清潔系統可包括單晶圓濕式清潔腔室,該單晶圓濕式清潔腔室可操作地維持在大氣壓力下。該第一轉移腔室可以維持在真空條件下。該單晶圓濕式清潔腔室可以與多個化學物質遞送系統流體耦合。工廠介面的第一轉移機器人可以進入單晶圓濕式清潔腔室。該濕式清潔系統可以包括第四轉移機器人,該第四轉移機器人設置在濕式清潔系統中。第四轉移機器人可操作以在單晶圓濕式清潔腔室與裝載閘腔室之間轉移基板。濕式清潔系統可以包括複數個彼此堆疊的單晶圓濕式清潔腔室。每個單晶圓濕式清潔腔室可以與多個化學物質遞送系統流體耦合。第一轉移機器人在其上操作的軌道可以延伸到濕式清潔系統中。
本技術的一些實施例可涵蓋整合的群集工具。該等工具可以包括工廠介面,該工廠介面包括第一轉移機器人。該工廠介面可以包括用於工廠介面的第一表面上的前開式統集盒的一或多個接取位置。該等工具可以包括濕式清潔系統,該濕式清潔系統在濕式清潔系統的第一側與工廠介面的第二表面耦接,該第二表面與工廠介面的第一表面相對。該等工具可以包括裝載閘腔室,該裝載閘腔室在濕式清潔系統的第二側處與濕式清潔系統耦接,該第二側與該濕式清潔系統的該第一側相對。該等工具可以包括轉移腔室,該轉移腔室與裝載閘腔室耦接。該轉移腔室可以包括第二轉移機器人。該等工具可以包括磊晶生長腔室,該磊晶生長腔室與轉移腔室耦接。
在一些實施例中,轉移腔室可以是第二轉移腔室。整合的群集工具可以包括第一轉移腔室,該第一轉移腔室耦接在裝載閘腔室與第二轉移腔室之間。該等工具可以包括乾法蝕刻腔室,該乾法蝕刻腔室與該第一轉移腔室耦接。該濕式清潔系統可以包括單晶圓濕式清潔腔室,該單晶圓濕式清潔腔室可操作地維持在大氣壓下。該轉移腔室可以維持在真空條件下。工廠介面的第一轉移機器人可以進入單晶圓濕式清潔腔室。該濕式清潔系統可以包括第四轉移機器人,該第四轉移機器人設置在濕式清潔系統中。第四轉移機器人可操作以在單晶圓濕式清潔腔室與裝載閘腔室之間轉移基板。該濕式清潔系統可以包括兩個或更多個豎直堆疊的單晶圓濕式清潔腔室。每個單晶圓濕式清潔腔室可以與不同的化學物質遞送系統流體耦合。
本技術的一些實施例可涵蓋處理基板的方法。該等方法可以包括在整合的群集工具的工廠介面中接收基板。該等方法可以包括將基板從工廠介面遞送至濕式清潔系統,該濕式清潔系統在該濕式清潔系統的第一表面上與工廠介面耦接。該等方法可以包括在濕式清潔系統的濕式清潔腔室中處理基板。該等方法可以包括將基板從濕式清潔系統遞送至裝載閘腔室,該裝載閘腔室在濕式清潔系統的第二表面上與濕式清潔系統耦接,該第二表面與濕式清潔系統的第一表面相對。該等方法可以包括將基板從裝載閘腔室遞送至磊晶生長腔室。該等方法可以包括在基板上磊晶生長材料。
在一些實施例中,可在少於10分鐘內將基板從濕式清潔系統遞送至磊晶生長腔室。在濕式清潔腔室中處理基板可以包括用包括氫氟酸的第一化學物質清潔基板。在濕式清潔腔室中處理基板可以包括用包括氫氧化銨的第二化學物質清潔基板。在濕式清潔腔室中處理基板可以包括用包括鹽酸的第三化學物質清潔基板。該方法可以包括,在將基板遞送至磊晶生長腔室之前,對該基板執行乾法蝕刻製程。
與習知系統和技術相比,此種技術可以提供許多益處。例如,本技術可以提供改善的表面條件以支持磊晶生長。此外,本技術可以藉由減少清潔操作與磊晶生長操作之間的總時間以及處理之間的時間變化來增加晶圓間的均勻性。結合以下描述及附圖,更詳細地描述了該等及其他實施例以及它們的許多優點及特徵。
習知磊晶膜沉積通常包括可在大於或約700℃、大於或約800℃、大於或約1000℃、或更高的溫度下進行的氫烘烤操作。隨著磊晶生長擴展到不同的元件結構,並且可以用於特定表面上的選擇性沉積或生長,高溫退火可能是不可行的。與此同時,對於較新的結構,磊晶膜厚度正在減小,此可能增加界面層品質的重要性。儘管高溫可用於促進殘餘氧和殘餘碳的移除,但是該製程亦可能超過結構中其他暴露材料的熱預算。高溫可引起表面原子的回流,並可藉由鬆弛晶體結構中的應變和允許摻雜劑具有更大的遷移率來影響摻雜分佈,該更大的遷移率可引起聚集並降低整個層的均勻性。
為了產生用於磊晶生長的足夠的表面條件,執行雜質諸如碳、氧、氯和氟的移除。習知製程可以在將基板遞送至磊晶處理工具之前執行濕式清潔。此製程中的延遲可使基板暴露於環境條件下,甚至在半導體加工設施中達一次數小時。儘管乾法蝕刻製程可以在磊晶生長之前執行,但是濕式清潔之後的時間延遲可能導致氧化物發展的顯著增加,並且生長的量可能在晶圓間變化很大。此外,碳和其他雜質可能開始重新吸附到表面上,此可能導致磊晶生長中的缺陷。乾法清潔亦可能在移除界面污染物位凖方面具有有限的有效性。因此,習知技術已經努力移除移除該等雜質所需的烘烤操作。
本技術可藉由利用可限制經濕式清潔的基板的暴露的工具和製程序列來克服該等問題。藉由在磊晶群集工具上結合濕式清潔系統,濕式清潔與真空處理之間的延遲可以被限制為幾分鐘或幾秒鐘。儘管習知技術尋求減少時間延遲,但是在基於批量處理的習知技術中數小時的延遲是不可避免的,在該批量處理中多個基板在被轉移至後續工具之前在每個工具處進行處理。因此,非原位濕式清潔不能產生根據本技術的處理實施例的結構和污染物水平。儘管剩餘的揭示內容將利用所揭示的技術常規地鑒定特定的材料和製程,但是將很容易理解,該等系統和方法同樣適用於在沉積或其他半導體處理期間可能出現的各種材料和製程。因此,該技術不應被認為局限於僅用於磊晶生長。在論述了根據本技術的一些實施例可以使用的例示性腔室系統之後,將描述可以在該系統上發生的半導體處理方法。
第1圖圖示了整合的群集工具或多腔室處理系統100的示意性俯視圖,該整合的群集工具或多腔室處理系統可以被特別配置為實施根據本技術的一些實施例的態樣或操作。多腔室處理系統100可以被配置為在單個基板(諸如任意數量的半導體基板)上執行一或多個製造製程,以用於形成半導體裝置。多腔室處理系統100可以包括可以維持在大氣壓下的部件,大氣壓可以是處理設施內的任何壓力,諸如包括正壓或負壓環境。該系統亦可包括維持在真空條件下的部件,並且該等部件可以藉由例如裝載閘系統與大氣部件分離。
多腔室處理系統100可包括工廠介面101,該工廠介面可包括接受器,該接受器沿單元第一側以接收一或多個前開式統集盒或FOUP 102,以向系統提供基板供處理。儘管四個FOUP被圖示為與系統耦接,但是在一些實施例中,一個、兩個、三個、四個或更多個FOUP可以在任何時間連接。每個FOUP 102可以包括一或多個供處理的基板。因為FOUP通常儲存幾個供處理的基板,所以習知技術往往在工具之間的處理間有很大的時間延遲。在將所有基板轉移至下一個工具之前,每個工具可以一批處理幾十個基板。此可能會增加時間延遲,從而導致上述挑戰。
工廠介面101可包括一或多個轉移機器人103,該一或多個轉移機器人可經操作以在工廠介面內側向移動,並接取任何FOUP。與工廠介面的可以耦接FOUP的一側相對的可以是濕式清潔系統105,該濕式清潔系統可以包括一或多個濕式清潔腔室106。如圖所示,濕式清潔系統105可以沿著濕式清潔系統的第一側或表面與工廠介面耦接,並且可以由一或多個轉移機器人103接取。例如,在一些實施例中,工廠介面轉移機器人103a或103b可以用於從連接至工廠介面的FOUP接收基板,並且亦可以用於將基板遞送至濕式清潔腔室106。工廠介面轉移機器人亦可以用於將基板遞送至一或多個裝載閘腔室112,該一或多個裝載閘腔室112可以在濕式清潔系統的第二側或表面(例如與濕式清潔系統與工廠介面101耦接處相對的一側)處與濕式清潔系統105耦接。
在一些實施例中,額外的轉移機器人103c可被包括在濕式清潔系統中,並且可與工廠介面轉移機器人一起工作或代替工廠介面轉移機器人工作。例如,在一些實施例中,工廠介面轉移機器人可以將基板遞送至濕式清潔腔室106中,並且濕式清潔系統轉移機器人103c可以將基板從濕式清潔腔室106遞送至裝載閘腔室112。此外,濕式清潔系統轉移機器人可以從工廠介面接收基板,並且可以遞送至濕式清潔腔室106和從該濕式清潔腔室遞送出。在一些實施例中,機器人可以在其上移動的軌道109可以延伸穿過工廠介面和濕式清潔系統兩者,以促進一或多個機器人可操作地在系統部件之間接收和遞送基板。在本技術的一些實施例中,任何提到的轉移機器人可以沿著軌道的任何方位移動。
濕式清潔系統105可包括許多用於處理基板的部件和腔室。例如,濕式清潔硬體108可以被包括在系統中以促進操作,如將在下面進一步解釋。在本技術的實施例中,濕式清潔腔室106可以是一或多個腔室。例如,在一些實施例中,濕式清潔腔室106可以包括批量清潔槽或系統,並且可以是或包括一或多個單晶圓濕式清潔腔室。在一些實施例中,單晶圓濕式清潔腔室可以是堆疊的,如將在下面解釋的,此可以允許在每個腔室中執行單個製程,或者可以允許例如同時處理多個基板。該等腔室可以與一或多個化學物質遞送系統110流體耦合,該化學物質遞送系統可以包括泵、管道、和用於將一或多種處理化學物質遞送至一或多個濕式清潔腔室106的其他材料。
可根據本技術的各態樣執行任何數量的濕式清潔製程,並且在一些實施例中,濕式清潔可包括多種清潔製程和化學物質。例如,在一些實施例中,第一化學物質遞送系統110a可以提供包括氫氟酸的第一化學物質。第二化學物質遞送系統110b可提供包括氫氧化銨的第二化學物質。第三化學物質遞送系統110c可以提供包括鹽酸的第三化學物質。應當理解的是,化學物質可以來自一或多個流體源,並被遞送至一或多個濕式清潔腔室。此外,儘管為了便於解釋而圖示為與濕式清潔系統105分開,但是應當理解的是,流體遞送系統可以被包括在系統中,諸如作為系統的清潔硬體區段的部分。一旦已經執行了清潔操作,就可以將基板遞送至裝載閘腔室112中,該裝載閘腔室可以將基板轉移至真空環境中進行處理。藉由限制濕式清潔與進一步處理之間的延遲,在一些實施例中可以限制或避免烘烤操作。
如上所述,濕式清潔腔室106可維持在大氣壓力下,或與設施環境相同的壓力下。多腔室處理系統100亦可包括處於真空條件下的多個部件。例如,裝載閘腔室112可用於將基板轉移至真空環境及從真空環境轉移出,該真空環境耦接在裝載閘腔室的與濕式清潔系統相對的側。儘管圖示了單晶圓裝載閘,但是應當理解的是,雙晶圓或多晶圓裝載閘亦可以用於根據本技術的實施例的系統中。一旦基板被遞送至裝載閘腔室,真空條件以及一或多個其他處理操作就可以被執行。因為如下文將進一步描述的濕式清潔可以移除許多污染材料,所以隨後可以採用受控環境進行進一步處理。除了提供真空壓力之外,裝載閘腔室112亦可執行額外的操作,諸如淨化和加熱。例如,裝載閘腔室112可以用氮氣、氬氣或一些其他惰性或非反應性氣體吹掃,此可以限制基板的表面上的污染物結合或氧化物形成。此外,可執行加熱操作,此可確保移除濕氣或防止濕氣接觸基板,與此同時維持基板的熱預算。例如,裝載閘腔室可將基板加熱至低於或約400℃、低於或約300℃、低於或約200℃、低於或約100℃或更低的溫度。
一旦基板準備好用於進一步處理,或基板在佇列中被接收,系統內的進一步處理就可發生。多腔室處理系統100可以包括轉移腔室,該轉移腔室用於將基板提供到系統上的任意數量的位置。例如,如圖所示,第一轉移腔室114可以與裝載閘腔室112耦接,並且可以接收基板以供進一步處理。在第一轉移腔室周圍可以有任意數量的處理腔室,該等處理腔室可以用於在基板上執行任意數量的處理。儘管剩餘的論述將涉及磊晶生長操作的準備或執行中所涉及的腔室,但是應理解的是,系統上可以包括任何數量的其他處理腔室。例如,腔室123和125可以用於預熱、計量、定向,或可以在半導體處理中執行的任何數量的其他操作。然後,可以將基板遞送到處理腔室122、124中,該等處理腔室可以被配置為執行蝕刻製程。可以執行任何數量的蝕刻製程,諸如用於移除天然氧化物的製程、用於蝕刻矽或含矽材料的製程、或者可以經執行以準備用於半導體處理的基板的任何其他數量的蝕刻製程。根據本技術的實施例,蝕刻製程可以包括電漿或非電漿製程,並且可以是任何數量的乾法蝕刻製程。
在乾法蝕刻處理後,可將基板轉移至額外的處理腔室120,該等額外的處理腔室可用於執行一或多個磊晶沉積操作,如下文將進一步描述。在一些實施例中,第二轉移腔室117可以與第一轉移腔室114耦接,並且可以用於將基板遞送至磊晶生長腔室和從磊晶生長腔室遞送出。如將在下面進一步詳細解釋的,取決於要執行的處理,處理腔室120a、120b、120c和120d中每個處理腔室可以被類似地或不同地配置。例如,腔室可以被配置為供應單獨的摻雜劑或執行不同的生長操作,該等摻雜劑或生長操作在一些實施例中可以促進多個磊晶操作。第一轉移腔室114與第二轉移腔室117之間的遞送可以用腔室內的轉移機器人來促進。兩個基板轉移平臺115可以設置在轉移腔室114與轉移腔室117之間,並且可以促進在機器人126與128之間的轉移。例如,轉移平臺115a和115b可以向轉移腔室敞開,該等轉移腔室亦可以是緩衝腔室,或者平臺可以選擇性地與腔室隔離開或密封,以允許在兩個轉移腔室之間維持不同的操作壓力。在本技術的一些實施例中,轉移平臺115可各自包括一或多個工具116,諸如以用於定向或測量操作。
多腔室處理系統100的操作可由電腦系統130控制。電腦系統130可以包括被配置為實施下述操作的任何裝置或裝置組合。因此,電腦系統130可以是控制器或控制器陣列和/或配置有儲存在非暫時性電腦可讀取媒體上的軟體的通用電腦,該軟體在被執行時可以執行關於根據本技術的實施例的方法所描述的操作。處理腔室和濕式清潔系統中的每一者都可以被配置為在半導體結構的製造中執行一或多個處理操作。更特定言之,處理腔室可以被裝配以執行許多額外的基板處理操作,該等基板處理操作可以包括乾法蝕刻處理、循環層沉積、原子層沉積、化學氣相沉積、物理氣相沉積、蝕刻、預清潔、脫氣、定向、以及任何數量的其他基板製程。
如前所述,在本技術的一些實施例中,濕式清潔腔室106可包括多個單晶圓濕式清潔腔室。第2圖圖示了根據本技術的一些實施例的經堆疊的濕式清潔腔室的示意性剖視圖。如圖所示,兩個或更多個濕式清潔腔室106可以豎直地堆疊,此在本技術的一些實施例中可能限制整合的群集工具的長度。該等腔室可以彼此堆疊,並且可以由任何轉移機器人接取。此外,因為濕式清潔腔室可以以某個角度接取,而不是僅藉由直線遞送接取,所以如前所述,該等腔室可以由不同的轉移機器人容易地接取,而不需要對轉移機器人進行額外的修改。
如前所述,根據本技術的一些實施例的處理可包括執行多次濕式清潔操作,該多次濕式清潔操作可促進移除可執行磊晶生長的基板表面上的大量雜質。在一些實施例中,不同的濕式清潔腔室可以用於執行不同的清潔操作。例如,濕式清潔腔室106a可與化學物質遞送系統110a耦接,該化學物質遞送系統可包括用於執行第一濕式清潔的化學物質,濕式清潔腔室106b可與化學物質遞送系統110b耦接,該化學物質遞送系統可包括用於執行第二濕式清潔的化學物質,並且濕式清潔腔室106c可與化學物質遞送系統110c耦接,該化學物質遞送系統可包括用於執行第三濕式清潔的化學物質。此外,如圖所示,各個濕式清潔腔室106可以與各個化學物質遞送系統110流體耦合,此可以允許各個濕式清潔腔室執行多個清潔操作,如將在下文所述。儘管圖示了三個堆疊的濕式清潔腔室106,但是應當理解的是,在本技術的實施例中,濕式清潔系統可以包括單個濕式清潔腔室,或者可以包括堆疊的或以其他方式定向的清潔腔室,包括兩個或更多個,諸如三個、四個、五個或更多個根據本技術的實施例的濕式清潔腔室。
轉向第3圖,該圖圖示了根據本技術的一些實施例的處理基板的方法300中的選定操作。方法300可包括在該方法開始之前的一或多個操作,包括前端處理、沉積、蝕刻、拋光、清潔或可以在所述操作之前執行的任何其他操作。例如,在一些實施例中,可以開發半導體結構,在該半導體結構上可以執行磊晶生長,包括選擇性磊晶生長。作為一個非限制性實例,FinFET閘極結構可以發展到可以執行源極/汲極磊晶生長的程度。任何數量的其他結構可以類似地被生產到可能需要預磊晶清潔和/或磊晶生長的程度,諸如在矽或含矽材料上。該方法可以包括多個可選操作,該等可選操作可以或可以不與根據本技術的方法的一些實施例特別相關聯。例如,描述操作中的許多操作是為了提供結構形成的更寬範圍,但是對於技術來說不是關鍵的,或者可以藉由替代的方法來執行,如將在下面進一步論述的。
方法300可涉及在操作305處在整合的群集工具(諸如上述整合的多腔室處理系統100)的工廠介面處接收基板。可以藉由轉移機器人將基板從FOUP移除至工具環境中。在操作310處,可以將基板從工廠介面遞送至濕式清潔系統,該濕式清潔系統可以維持在較高的處理壓力下,諸如高達大氣壓。在操作315處,可以用一或多個濕式清潔腔室來執行基板處理,如將在下面進一步描述。一旦基板清潔操作已經完成,就可以在操作320處將基板遞送至真空系統。例如,如前所述,可以從濕式清潔腔室移除基板的轉移機器人可以將基板直接裝載到與濕式清潔系統連接的裝載閘腔室中。在操作325處,可從裝載閘腔室進一步處理基板。例如,在一些實施例中,可以執行乾法蝕刻製程,該乾法蝕刻製程可以包括例如電漿蝕刻製程。另外,處理可以包括將基板遞送至一或多個磊晶生長腔室,可以在該一或多個磊晶生長腔室中執行一或多個磊晶生長操作。
磊晶生長製程可包括任何數量的用於在半導體基板上發展出結構的製程,並可包括選擇性磊晶生長。作為可根據本技術的實施例執行的沉積的一個非限制性實例,可在經清潔的基板表面上執行第一磊晶沉積,諸如使用低壓磊晶生長來沉積第一摻雜矽材料。可以將基板轉移至第二磊晶生長腔室,在該第二磊晶生長腔室處可以形成第二摻雜矽材料,例如利用與第一製程不同的摻雜劑。此外,可以執行的磊晶製程可以包括產生矽化物層,該矽化物層是在基板的已經預先清潔過的部分上選擇性形成的。應當理解的是,在本技術所涵蓋的實施例中,可以執行任意數量的磊晶生長操作。
藉由將基板直接遞送至裝載閘腔室,可將完成濕式清潔製程與開始磊晶生長製程之間的時間從習知系統中的數小時延遲減少至小於或為約30分鐘,並且取決於所執行的任何中介處理,時間可小於或為約20分鐘、小於或為約15分鐘、小於或約為10分鐘、小於或為約5分鐘或更少。此外,不同於習知技術中可能發生的數小時的大氣暴露,完成濕式清潔操作與將基板遞送至裝載閘腔室之間的時間可小於或為約5分鐘、小於或為約3分鐘、小於或為約1分鐘、小於或為約50秒、小於或為約40秒、小於或為約30秒、小於或為約20秒、小於或為約10秒、小於或為約5秒或更少。如前所述,具有用於濕式清潔和磊晶的單獨工具的習知技術不能在晶圓處理中產生此種均勻性,因為由於批量處理和工具佇列時間,基板需要等待數小時才能在系統之間轉移。因此,習知技術需要額外的烘烤操作或額外的處理。然而,本技術可以用濕式清潔產生足夠的介面,並且在磊晶形成之前並且包括磊晶形成期間的所有時間,基板可以維持在低於或約700℃的溫度,並且可以維持在低於或約600℃的溫度、低於或約500℃的溫度、低於或約400℃的溫度、低於或約300℃的溫度、低於或約200℃的溫度、低於或約100℃的溫度,或更低。
在沒有足夠烘烤溫度的情況下,藉由習知製程進行的濕式和乾法清潔可能無法降低污染物水平,或者可能產生以基於表面污染的缺陷增加為特徵的元件。例如,習知技術可能不能將氧污染物減少到低於或為約3×10 13at/cm 2,並且如果不在高於700℃進行烘烤,可能同樣不能將其他污染物減少到低於5×10 10at/cm 2。本技術可能能夠將氧、碳、氟和氯污染物減少到低於或為約5×10 10at/cm 2,或低於或為約5×10 9at/cm 2,與此同時維持溫度低於或為約700℃,或上述範圍中的任何範圍。藉由執行多重清潔製程,可以提供許多污染物的減少。
例如,在本技術的一些實施例中,在乾法清潔和/或磊晶生長製程之前執行的濕式清潔可包括在一或多個濕式清潔腔室中執行的多個操作。例如,第一清潔製程可以包括執行氫氟酸清潔達第一時間段。用稀氫氟酸執行的氫氟酸蝕刻可以移除殘留的介電材料,該殘留的介電材料可能殘留在可以執行磊晶生長的表面上。然後可以執行第一沖洗製程,諸如使用去離子水。第二清潔製程可以包括用氫氧化銨和過氧化氫的溶液執行清潔達第二時間段。該溶液可以從基板表面移除輕有機材料和粒子,並且亦可以移除表面吸附的鹵素材料。然後可以用去離子水執行第二沖洗。第三清潔製程可以包括用鹽酸和過氧化氫的溶液執行清潔達第三時間段。該溶液可以從可以執行磊晶生長的表面上移除殘留的金屬材料。然後可以用去離子水執行第三沖洗。
用於任何清潔和/或沖洗製程的時間段可小於或為約60秒,並且可小於或為約50秒、小於或為約40秒,小於或為約30秒、小於或為約20秒、小於或為約10秒,或更短。在已經執行清潔操作之後,可以執行一或多個乾燥操作。例如,可以在旋轉乾燥製程中乾燥基板。此外,該腔室可以執行更多所涉及的乾燥操作。例如,待乾燥的經清潔晶圓可以水平旋轉,並且包含兩個流體遞送噴嘴的可移動臂可以被帶到晶圓的中心。例如,前噴嘴可以包含在晶圓上遞送的去離子水,並且後噴嘴可以包含醇或N 2/表面活性蒸汽分配物。然後,臂可以從晶圓的中心移動到自旋晶圓的邊緣,從而以限制或防止水痕形成的方式乾燥晶圓。此外,在一些實施例中,可執行表面改質乾燥操作,此可引起乾燥待執行生長的表面的矽化反應。如上所述,可以在任意數量的濕式清潔腔室中執行不同的操作。在一些實施例中,各個製程都可以在單晶圓濕式清潔腔室中執行,包括乾燥操作。藉由執行本技術所涵蓋的製程,可以提供用於磊晶生長的改善的表面。此外,基於濕式清潔與引入真空環境之間的顯著減少的時間,本技術可以提高處理晶圓間的均勻性。
在前面的描述中,出於解釋的目的,已經闡述了許多細節,以便提供對本技術的各種實施例的理解。然而,對於本領域技藝人士而言將顯而易見的是,某些實施例可以在沒有該等細節中的一些細節或者具有額外細節的情況下實踐。
已經揭示了幾個實施例,本領域技藝人士將會認識到,在不脫離實施例的精神的情況下,可以使用各種修改、替代構造和等同物。此外,為了避免不必要地模糊本技術,沒有描述許多眾所周知的製程及元件。因此,以上描述不應被視為限制該技術的範疇。
在提供值範圍的情況下,應當理解的是,除非上下文另有明確指示,否則該範圍的上限與下限之間的每個中介值介至下限單位的最小分數亦被特別揭示。包含在規定範圍內的任何規定值或未規定的中介值與該規定範圍內的任何其他規定值或中介值之間的任何較窄範圍。該等較小範圍的上限和下限可以獨立地被包括在該範圍內或排除在該範圍外,並且該技術涵蓋其中該範圍的任一極限、該範圍的兩個極限或該範圍的沒有一個極限被包括在該較小範圍內的每個範圍,受制於規定範圍內的任何特別排除的極限值。當規定範圍包括該等極限值中的一或兩者時,亦包括排除了彼等被包括的極限值中的一或兩者的範圍。
如本文和所附申請專利範圍中所使用的,除非上下文另有明確指示,否則單數形式「一(a)」、「一(an)」和「該」包括複數個引用物。因此,例如,提及「一層」包括複數個此類層,並且提及「該腔室」包括提及本領域技藝人士已知的一或多個腔室及其等同物,等等。
此外,當在本說明書和以下申請專利範圍中使用時,詞語「包括」、「包含」和「含有」意欲指定所陳述的特徵、整數、部件或操作的存在,但是它們不排除一或多個其他特徵、整數、部件、操作、動作或基團的存在或添加。
100:多腔室處理系統 101:工廠介面 102a:前開式統集盒 102b:前開式統集盒 102c:前開式統集盒 102d:前開式統集盒 103a:工廠介面轉移機器人 103b:工廠介面轉移機器人 103c:轉移機器人 105:濕式清潔系統 106:濕式清潔腔室 106a:濕式清潔腔室 106b:濕式清潔腔室 106c:濕式清潔腔室 108:濕式清潔硬體 109:軌道 110a:化學物質遞送系統 110b:化學物質遞送系統 110c:化學物質遞送系統 112:裝載閘腔室 114:第一轉移腔室 115a:轉移平臺 115b:轉移平臺 116:工具 117:第二轉移腔室 120a:處理腔室 120b:處理腔室 120c:處理腔室 120d:處理腔室 122:處理腔室 123:腔室 124:處理腔室 125:腔室 126:機器人 128:機器人 130:電腦系統 300:方法 305:操作 310:操作 315:操作 320:操作 325:操作
藉由參考說明書的剩餘部分和附圖,可以實現對所揭示技術的本質和優點的進一步理解。
第1圖圖示了根據本技術的一些實施例的例示性處理系統的一個實施例的示意性俯視圖。
第2圖圖示了根據本技術的一些實施例的經堆疊的濕式清潔腔室的示意性剖視圖。
第3圖圖示了根據本技術的一些實施例的處理基板的方法中的選定操作。
附圖中的幾幅圖係作為示意圖被包括。應當理解的是,該等圖是為了說明的目的,並且除非特別聲明是按比例的,否則不視為係按比例的。此外,作為示意圖,附圖係提供用於幫助理解,並且與現實表示相比,附圖可不包括所有態樣或資訊,並且可包括用於說明目的的誇大材料。
在附圖中,相似的部件及/或特徵可以具有相同的參考標記。此外,相同類型的各種部件可以藉由在參考標記後面加上在相似的部件之間進行區分的字母來區分。若說明書中僅使用第一參考標記,則該描述適用於具有相同第一參考標記的類似部件中的任何一個類似部件,而無論字母如何。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:方法
305:操作
310:操作
315:操作
320:操作
325:操作

Claims (20)

  1. 一種整合的群集工具,包括: 一工廠介面,該工廠介面包括一第一轉移機器人; 一濕式清潔系統,該濕式清潔系統在該濕式清潔系統的一第一側處與該工廠介面耦接; 一裝載閘腔室,該裝載閘腔室在該濕式清潔系統的一第二側處與該濕式清潔系統耦接,該第二側與該濕式清潔系統的該第一側相對; 一第一轉移腔室,該第一轉移腔室與該裝載閘腔室耦接,其中該第一轉移腔室包括一第二轉移機器人; 一乾法蝕刻腔室,該乾法蝕刻腔室與該第一轉移腔室耦接; 一第二轉移腔室,該第二轉移腔室與該第一轉移腔室耦接,其中該第二轉移腔室包括一第三轉移機器人;以及 一處理腔室,該處理腔室與該第二轉移腔室耦接。
  2. 如請求項1所述之整合的群集工具,其中該濕式清潔系統包括: 一單晶圓濕式清潔腔室,該單晶圓濕式清潔腔室可操作地維持在大氣壓下,其中該第一轉移腔室維持在真空條件下。
  3. 如請求項2所述之整合的群集工具,其中該單晶圓濕式清潔腔室與多個化學物質遞送系統流體耦合。
  4. 如請求項2所述之整合的群集工具,其中該單晶圓濕式清潔腔室可由該工廠介面的該第一轉移機器人接取。
  5. 如請求項2所述之整合的群集工具,其中該濕式清潔系統進一步包括: 一第四轉移機器人,該第四轉移機器人設置在該濕式清潔系統中,其中該第四轉移機器人可操作以在該單晶圓濕式清潔腔室與該裝載閘腔室之間轉移基板。
  6. 如請求項2所述之整合的群集工具,其中該濕式清潔系統進一步包括: 複數個彼此堆疊的單晶圓濕式清潔腔室。
  7. 如請求項6所述之整合的群集工具,其中每個單晶圓濕式清潔腔室與多個化學物質遞送系統流體耦合。
  8. 如請求項1所述之整合的群集工具,其中一軌道延伸到該濕式清潔系統中,該第一轉移機器人在該軌道上操作。
  9. 一種整合的群集工具,包括: 一工廠介面,該工廠介面包括一第一轉移機器人,其中該工廠介面包括用於該工廠介面的一第一表面上的前開式統集盒的一或多個接取位置; 一濕式清潔系統,該濕式清潔系統在該濕式清潔系統的一第一側與該工廠介面的一第二表面耦接,該第二表面與該工廠介面的該第一表面相對; 一裝載閘腔室,該裝載閘腔室在該濕式清潔系統的一第二側處與該濕式清潔系統耦接,該第二側與該濕式清潔系統的該第一側相對; 一轉移腔室,該轉移腔室與該裝載閘腔室耦接,其中該轉移腔室包括一第二轉移機器人;以及 一磊晶生長腔室,該磊晶生長腔室與該轉移腔室耦接。
  10. 如請求項9所述之整合的群集工具,其中該轉移腔室是一第二轉移腔室,該整合的群集工具進一步包括: 一第一轉移腔室,該第一轉移腔室耦接在該裝載閘腔室與該第二轉移腔室之間。
  11. 如請求項10所述之整合的群集工具,進一步包括:一乾法蝕刻腔室,該乾法蝕刻腔室與該第一轉移腔室耦接。
  12. 如請求項9所述之整合的群集工具,其中該濕式清潔系統包括: 一單晶圓濕式清潔腔室,該單晶圓濕式清潔腔室可操作地維持在大氣壓下,其中該轉移腔室維持在真空條件下。
  13. 如請求項12所述之整合的群集工具,其中該單晶圓濕式清潔腔室可由該工廠介面的該第一轉移機器人接取。
  14. 如請求項12所述之整合的群集工具,其中該濕式清潔系統進一步包括: 一第四轉移機器人,該第四轉移機器人設置在該濕式清潔系統中,其中該第四轉移機器人可操作以在該單晶圓濕式清潔腔室與該裝載閘腔室之間轉移基板。
  15. 如請求項12所述之整合的群集工具,其中該濕式清潔系統進一步包括: 兩個或更多個豎直堆疊的單晶圓濕式清潔腔室。
  16. 如請求項15所述之整合的群集工具,其中每個單晶圓濕式清潔腔室與一不同的化學物質遞送系統流體耦合。
  17. 一種處理一基板的方法,該方法包括以下步驟: 在一整合的群集工具的一工廠介面中接收該基板; 將該基板從該工廠介面遞送至一濕式清潔系統,該濕式清潔系統在該濕式清潔系統的一第一表面上與該工廠介面耦接; 在該濕式清潔系統的一濕式清潔腔室中處理該基板; 將該基板從該濕式清潔系統遞送至一裝載閘腔室,該裝載閘腔室在該濕式清潔系統的一第二表面上與該濕式清潔系統耦接,該第二表面與該濕式清潔系統的該第一表面相對; 將該基板從該裝載閘腔室遞送至一磊晶生長腔室;以及 在該基板上磊晶生長材料。
  18. 如請求項17所述之處理一基板的方法,其中在少於10分鐘內將該基板從該濕式清潔系統遞送至該磊晶生長腔室。
  19. 如請求項17所述之處理一基板的方法,其中在該濕式清潔腔室中處理該基板之步驟包括以下步驟: 用包括氫氟酸的一第一化學物質清潔該基板; 用包括氫氧化銨的一第二化學物質清潔該基板;以及 用包括鹽酸的一第三化學物質清潔該基板。
  20. 如請求項17所述之處理一基板的方法,進一步包括以下步驟:在將該基板遞送至該磊晶生長腔室之前,在該基板上執行一乾法蝕刻製程。
TW111125341A 2021-07-07 2022-07-06 用於磊晶生長的整合濕式清潔 TWI836499B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163218994P 2021-07-07 2021-07-07
US63/218,994 2021-07-07

Publications (2)

Publication Number Publication Date
TW202314802A TW202314802A (zh) 2023-04-01
TWI836499B true TWI836499B (zh) 2024-03-21

Family

ID=84798018

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111125341A TWI836499B (zh) 2021-07-07 2022-07-06 用於磊晶生長的整合濕式清潔

Country Status (3)

Country Link
US (1) US20230008695A1 (zh)
JP (1) JP7485729B2 (zh)
TW (1) TWI836499B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150255270A1 (en) * 2013-02-27 2015-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated platform for improved wafer manufacturing quality
US20170290166A1 (en) * 2013-09-26 2017-10-05 Applied Materials, Inc. Mixed-platform apparatus, systems, and methods for substrate processing
US20200203481A1 (en) * 2018-12-21 2020-06-25 Applied Materials, Inc. Processing system and method of forming a contact

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
US6328815B1 (en) * 1999-02-19 2001-12-11 Taiwan Semiconductor Manufacturing Company Multiple chamber vacuum processing system configuration for improving the stability of mark shielding process
US6519498B1 (en) * 2000-03-10 2003-02-11 Applied Materials, Inc. Method and apparatus for managing scheduling in a multiple cluster tool
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
JP2004119628A (ja) * 2002-09-25 2004-04-15 Dainippon Screen Mfg Co Ltd 基板処理装置
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール
US9147592B2 (en) * 2012-08-08 2015-09-29 Applied Materials, Inc. Linked vacuum processing tools and methods of using the same
JP6917846B2 (ja) * 2017-09-25 2021-08-11 株式会社Screenホールディングス 基板反転装置、基板処理装置および基板挟持装置
JP6770720B2 (ja) * 2017-10-27 2020-10-21 信越半導体株式会社 エピタキシャルウェーハの製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150255270A1 (en) * 2013-02-27 2015-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated platform for improved wafer manufacturing quality
US20170290166A1 (en) * 2013-09-26 2017-10-05 Applied Materials, Inc. Mixed-platform apparatus, systems, and methods for substrate processing
US20200203481A1 (en) * 2018-12-21 2020-06-25 Applied Materials, Inc. Processing system and method of forming a contact

Also Published As

Publication number Publication date
JP7485729B2 (ja) 2024-05-16
TW202314802A (zh) 2023-04-01
US20230008695A1 (en) 2023-01-12
JP2023014989A (ja) 2023-01-31

Similar Documents

Publication Publication Date Title
JP5495847B2 (ja) 半導体装置の製造方法、基板処理装置および基板処理方法
US9911596B2 (en) Modification processing method and method of manufacturing semiconductor device
CN107507768B (zh) 半导体装置的制造方法、热处理装置以及存储介质
US11127597B2 (en) Etching method
TWI821158B (zh) 用於半導體製程的整合系統
JP4762998B2 (ja) 処理方法及び記録媒体
US20160126337A1 (en) Substrate processing apparatus, semiconductor device manufacturing method, and substrate processing method
US20130052809A1 (en) Pre-clean method for epitaxial deposition and applications thereof
US20190144994A1 (en) Cleaning method and film forming method
TWI836499B (zh) 用於磊晶生長的整合濕式清潔
JP3526284B2 (ja) 基板表面の処理方法
JP2023017734A (ja) ベベル処理のための統合湿式洗浄
TWI547975B (zh) 用於在處理腔室中處理基板之方法、用於處理基板之方法及裝置、用於製備用於在處理腔室中沈積之基板的方法以及半導體結構
TWI845979B (zh) 用於閘極堆疊開發的整合濕式清潔
TWI463538B (zh) 用以處理半導體基板的方法、處理矽表面的方法以及系統
TWI850393B (zh) 蝕刻方法及蝕刻裝置
JP7175151B2 (ja) 搬送方法
KR20160120511A (ko) 반도체 소자의 제조방법
TW202305985A (zh) 用於清洗預清洗處理腔室的系統和方法
US9653282B2 (en) Silicon-containing substrate cleaning procedure
TW201909277A (zh) 用於處理基板的方法
JPH022130A (ja) シリコン熱酸化膜形成方法および形成装置