TWI817366B - 積體電路、半導體結構及其形成方法 - Google Patents

積體電路、半導體結構及其形成方法 Download PDF

Info

Publication number
TWI817366B
TWI817366B TW111106718A TW111106718A TWI817366B TW I817366 B TWI817366 B TW I817366B TW 111106718 A TW111106718 A TW 111106718A TW 111106718 A TW111106718 A TW 111106718A TW I817366 B TWI817366 B TW I817366B
Authority
TW
Taiwan
Prior art keywords
field effect
effect transistor
drain
fin field
fin
Prior art date
Application number
TW111106718A
Other languages
English (en)
Other versions
TW202234654A (zh
Inventor
陳重輝
張子敬
陳威志
陳萬得
余宗欣
謝正祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202234654A publication Critical patent/TW202234654A/zh
Application granted granted Critical
Publication of TWI817366B publication Critical patent/TWI817366B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/06Structured ASICs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/12Sizing, e.g. of transistors or gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11883Levels of metallisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Architecture (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種積體電路(IC)包括使用複數數位電路設計規則設計的複數鰭式場效電晶體單元,以提供具有複數減少單元高度的複數較小鰭式場效電晶體單元,以及包括複數類比電路單元結構,類比電路單元結構包括鰭式場效電晶體單元的複數第一鰭式場效電晶體單元,並且包括至少一切割金屬層。具有減少單元高度的較小鰭式場效電晶體單元包括在一個方向上提供第一金屬軌道,並且切割金屬層包括在另一方向上提供第二金屬軌道,以增加積體電路中的複數最大電遷移電流。

Description

積體電路、半導體結構及其形成方法
本揭露係關於一種積體電路,特別是使用數位電路設計規則和數位鰭片邊界來設計的類比單元的積體電路。
電子電路持續被設計和製造成以越來越高的操作速度來操作。電路(例如串聯器/解串器(serializer/deserializer;serdes)電路)目前在28Gbps至448Gbps的頻率範圍內操作。在這些速度下操作的關鍵裝置參數包括跨導(trans-conductance;GM)、單位增益頻率(unit gain frequency;UGF)和電遷移(electromigration;EM)考慮因素。在過去,這些電路是使用類比電路設計規則(analog circuit design rule)設計的,包括類比鰭片(analog fin)的形成或邊界。為了實現更高的操作速度,所有這三個關鍵裝置參數都藉由使用更大的接點多晶節距(contact poly pitch;CPP)、更寬的擴散上方金屬[源極/汲極接點](metal over diffusion;MD)、更大的通孔、更寬的金屬線和更大的間隔來增加。改變這些結構可以降低電阻和電容,並且提高GM、UGF和最大EM電流。
本揭露提供一種積體電路。積體電路包括複數鰭式場效電晶體單元、複數類比電路單元結構、以及複數數位電路單元結構。鰭式場效電晶體單元使用複數數位電路設計規則被設計,以提供具有複數減少單元高度的複數較小鰭式場效電晶體單元。類比電路單元結構包括鰭式場效電晶體單元的複數第一鰭式場效電晶體單元,並且包括至少一切割金屬層。數位電路單元結構包括鰭式場效電晶體單元的複數第二鰭式場效電晶體單元。類比電路單元結構直接鄰接數位電路單元結構。具有減少單元高度的較小鰭式場效電晶體單元包括在一個方向上的第一較短金屬軌道,並且切割金屬層包括在另一方向上的第二較短金屬軌道,以增加積體電路中的複數最大電遷移電流。
本揭露提供一種半導體結構。半導體結構包括複數鰭式場效電晶體單元、複數源極/汲極導體、複數閘極導體、複數閘極接點、以及複數汲極/源極接點上方通孔。源極/汲極導體設置在鰭式場效電晶體單元上,並且電性耦接至鰭式場效電晶體單元。源極/汲極導體包括複數較寬源極/汲極導體和複數較細源極/汲極導體。閘極導體設置在鰭式場效電晶體單元上,並且電性耦接至鰭式場效電晶體單元,並且與源極/汲極導體交錯佈置。閘極接點在鰭式場效電晶體單元中的複數鰭式場效電晶體閘極和閘極導體之間電性耦接。汲極/源極接點上方通孔在鰭式場效電晶體單元中的複數鰭式場效電晶體源極/汲極區和源極/汲極導體之間電性耦接。汲極/源極接點上方通孔包括複數第一汲極/源極接點上方通孔和複數第二汲極/源極接點上方通孔。第一汲極/源極接點上方通孔各自具有第一寬度並且電性耦接至較寬源極/汲極導體的至少一者,第二汲極/源極接點上方通孔各自具有第二寬度並且電性耦接至較細源極/汲極導體的至少一者,其中第一寬度大於第二寬度。
本揭露提供一種半導體結構之形成方法。半導體結構之形成方法包括基於數位鰭片邊界提供複數鰭式場效電晶體單元,其中鰭片單元的每一者包括具有非均勻鰭片節距的複數鰭片;以及在鰭式場效電晶體單元的至少一者中提供複數中段層。提供中段層的操作包括提供具有第一寬度的第一汲極/源極接點上方通孔;以及提供具有第二寬度的第二汲極/源極接點上方通孔,第二寬度大於上述第一寬度。
20:積體電路
22:鰭式場效電晶體
24:鰭片源極區、源極區、源極/汲極區
25:擴散上方金屬
26:鰭片汲極區、汲極區、源極/汲極區
27:擴散上方金屬
28:閘極
29:切割擴散上方金屬區
30:第一層金屬
32:較大汲極/源極接點上方通孔
34:汲極/源極接點上方通孔
36:汲極/源極接點上方通孔
37:閘極接點上方通孔
S:源極
D:汲極
VD:汲極/源極接點上方通孔
MD:擴散上方金屬
M0:第一層金屬
VG:閘極接點上方通孔
CMD:切割擴散上方金屬區
VD2:較大汲極/源極接點上方通孔
40:第三層金屬
42:第二層金屬
44:第一通孔
46:第二通孔
M1:第二層金屬
M2:第三層金屬
VIA0:第一通孔
VIA1:第二通孔
50:串聯器/解串器電路
52a~52i:電路塊
56:電子設計自動化系統
58:處理器
60:電腦可讀儲存媒體
62:指令
64:製造工具
66:匯流排
68:輸入/輸出介面
70:網路介面
72:網路
74:標準單元庫
76:使用者介面
78:積體電路製造系統、製造系統
80:設計廠
82:罩幕廠
84:積體電路製造商/製造廠
86:積體電路設計佈局圖
88:資料準備、罩幕資料準備
90:罩幕製造
92:罩幕
94:半導體晶圓
96:晶圓製造
98:積體電路
100:鰭式場效電晶體單元
102a~102d:鰭片
104:閘極
106:單元邊界
108:源極區
110:汲極區
112:網格線
114:相等鰭片網格
116:頂部
118:底部
120:中間部分
H:高度
200:類比單元裝置類型
206:鰭式場效電晶體單元
212:兩列PMOS鰭式場效電晶體
214:兩列NMOS鰭式場效電晶體
216:PMOS鰭式場效電晶體
218:NMOS鰭式場效電晶體
220,222:鰭片
224:鰭式場效電晶體對
226:閘極
202:類比單元裝置類型
208:鰭式場效電晶體單元
208a:PMOS鰭式場效電晶體單元
208b:NMOS鰭式場效電晶體單元
228:四列PMOS鰭式場效電晶體
230:四列NMOS鰭式場效電晶體
232:PMOS鰭式場效電晶體
234:NMOS鰭式場效電晶體
236,238:鰭片
240:雙重高度鰭式場效電晶體組
242:閘極
204:類比單元裝置類型
210:鰭式場效電晶體單元
300:鰭式場效電晶體單元
302a~302d:鰭片
304:閘極
306:單元邊界
308a~308d:源極區
310a~310d:汲極區
312:網格線
316:頂部
318:底部
320:中間部分
322a~322d:擴散上方金屬
324a~324g:第一層金屬線、第一層金屬
326:閘極上方通孔
328a~328d:汲極/源極接點上方通孔
330a,330b:較大汲極/源極接點上方通孔
B-B:線段
332:基板
Wd:寬度
Wd2:寬度
348:鰭式場效電晶體單元
350:鰭式場效電晶體單元
354:閘極
358a,358b:源極區
360a,360b:汲極區
362a~362D:擴散上方金屬
364a~364c:切割擴散上方金屬區
366:閘極上方通孔
368a~368d:汲極/源極接點上方通孔
370a,370b:較大汲極/源極接點上方通孔
400:表格
402,404,406,408,410:類比單元、類比電路單元
412a~412d:鰭片
414:閘極
416:單元邊界
418:源極區
420:汲極區
422:網格線
426:頂部
428:底部
430:中間部分
432:第一層金屬軌道
434a,434b,436a,436b,436c,438a,438b,438c,438d,440a,440b,440c,440d:第一層金屬軌道
500:表格
502~518:方框
600:積體電路
602:類比單元、類比電路單元、單元
604:數位單元、數位電路單元、單元
606:單元邊界
608:鰭片
610:位置
700:積體電路
702:鰭式場效電晶體
704:源極區、源極/汲極區
706:汲極區、源極/汲極區
708:閘極
709:切割擴散上方金屬區
710:第一層金屬
712:較大汲極/源極接點上方通孔
714:汲極/源極接點上方通孔
716:汲極/源極接點上方通孔
717:閘極接點上方通孔
720:切割第一層金屬區
740:第三層金屬
742:第二層金屬
744:通孔
746:通孔
750:溫度分佈
800:操作
802:操作
揭露實施例可透過閱讀以下之詳細說明以及範例並配合相應之圖式以更詳細地了解。需要注意的是,依照業界之標準操作,各種特徵部件並未依照比例繪製。事實上,為了清楚論述,各種特徵部件之尺寸可以任意地增加或減少。此外,圖式是說明性的作為本揭露的實施例的示例,而不是限制性的。
第1圖是根據一些實施例之示意性地顯示積體電路(integrated circuit;IC)的一部分中的第一層金屬(M0)和下方的示例的俯視圖,積體電路(IC)包括使用數位電路(邏輯)設計規則和數位鰭片邊界(digital fin boundary)來設計的串聯器/解串器(serdes)電路的一部分。
第2圖是根據一些實施例之示意性地顯示達到IC的第三層金屬(M2)的後段(back end of line;BEOL)層的示例的俯視圖,IC包括使用數位電路設計規則和數位鰭片邊界來設計的serdes電路。
第3圖是根據一些實施例之示意性地顯示使用具有類比鰭片邊界(analog fin boundary)的類比電路設計規則和具有數位鰭片邊界的數位電路設計規則來設計 和製造的serdes電路的示例的示意圖。
第4圖是根據一些實施例之顯示被配置以設計和製造本揭露的單元和電路的電腦系統的示例的示意圖。
第5圖是根據一些實施例之IC製造系統和與其相關的IC製造流程的示意圖。
第6圖是根據一些實施例之示意性地顯示使用數位電路設計規則和數位鰭片邊界而不是類比鰭片邊界來設計的鰭式場效電晶體(fin field-effect transistor;FinFET)單元的示意圖。
第7圖是根據一些實施例之示意性地顯示類比單元裝置類型的示意圖,類比單元裝置類型包括使用數位鰭片邊界來設計,並且在重複圖案中被佈置為具有兩列PMOS FinFET電晶體後跟兩列NMOS FinFET電晶體的FinFET單元。
第8圖是根據一些實施例之示意性地顯示另一個類比單元裝置類型的示意圖,類比單元裝置類型包括使用數位鰭片邊界來設計的FinFET單元。
第9圖是根據一些實施例之示意性地顯示類比單元裝置類型的示意圖,類比單元裝置類型包括FinFET單元,其使用數位鰭片邊界來設計且排列成一行,並且具有所有相同種類的FinFET。
第10A圖是根據一些實施例之示意性地顯示在IC中的FinFET單元的示意圖,IC具有使用數位電路設計規則和數位鰭片邊界來設計的類比單元並且包括中段(middle end of line;MEOL)層。
第10B圖是根據一些實施例之示意性地顯示沿著第10A圖中的線段B-B的第10A圖的FinFET單元的一部分的剖面圖。
第11圖是根據一些實施例之示意性地顯示在IC中的多個FinFET單元的示意圖,IC具有使用數位電路設計規則和數位鰭片邊界來設計的類比單元並且包括 MEOL層。
第12圖是根據一些實施例之示意性地顯示使用數位電路設計規則和數位鰭片邊界來設計的類比單元中的M0線或軌道的表格。
第13圖是根據一些實施例之顯示可以在使用數位電路設計規則和數位鰭片邊界來設計的類比和數位單元中實現的臨界電壓(Vt)準位相對在使用類比電路設計規則和類比鰭片邊界來設計的類比單元中實現的Vt準位的表格。
第14圖是根據一些實施例之示意性地顯示IC的示意圖,IC包括位在使用數位電路設計規則和數位鰭片邊界來設計的數位單元旁邊的使用數位電路設計規則和數位鰭片邊界來設計的類比單元。
第15圖是根據一些實施例之示意性地顯示包括使用數位電路設計規則和數位鰭片邊界來設計的類比單元的IC中的M0和下面的示例的俯視圖。
第16圖是根據一些實施例之示意性地顯示第15圖所示的IC的達到M2的BEOL層的示例的俯視圖。
第17圖是根據一些實施例之顯示第15圖和第16圖的IC的溫度分佈的示意圖。
第18圖是根據一些實施例之顯示製造包括使用數位電路設計規則和數位鰭片邊界來設計的類比單元的IC的方法的示意圖。
本揭露提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定實施例,以簡化說明。當然,這些特定的範例並非用以限定。舉例來說,若是本揭露敘述了一第一特徵形成 於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下本揭露不同實施例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。除此之外,設備可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
在過去,一些半導體結構(包括一些積體電路)僅使用類比電路設計規則和類比鰭片邊界來設計和製造。這些積體電路可以包括一或多個類比電路、一或多個數位電路、類比和數位電路的混合、及/或一或多個serdes電路。然而,隨著製造製程的微縮,使用類比鰭片邊界的效能改進受到裝置尺寸的限制。
為了應對增加的操作速度和更小的裝置尺寸的挑戰,本揭露的半導體結構包括電路、例如使用具有第一鰭片邊界的第一組設計規則和具有第二鰭片邊界的第二組設計規則的組合來設計和製造的類比電路、數位電路、類比和數位電路的混合、及/或serdes電路。其中第一組設計規則與第二組設計規則不同,並且第一鰭片邊界與第二鰭片邊界不同。
在複數實施例中,第一組設計規則是具有類比鰭片邊界的類比電 路設計規則,並且第二組設計規則是具有數位鰭片邊界的數位電路設計規則(邏輯設計規則),例如標准單元邏輯設計規則。使用數位電路設計規則來設計和製造的電路的效能隨著製程幾何尺寸的微縮或減少而提高。在一些實施例中,作為本揭露的主題的半導體結構包括使用具有類比鰭片邊界的類比電路設計規則和具有數位鰭片邊界的數位電路設計規則的組合來設計和製造的類比電路、數位電路、混合類比和數位電路、及/或serdes電路。
在一些實施例中,類比電路設計規則包括以下一或多者:在類比鰭片邊界的鰭片網格線(fin grid line)上對齊FinFET的鰭片、在一個尺寸範圍中提供一個汲極/源極接點上方通孔(via over drain/source contact;VD)、將M0與鰭片對齊並且具有一個標準M0寬度、提供有限數量的臨界電壓(threshold voltage)、不切割金屬層、以及具有不能直接鄰接數位電路單元的類比電路單元。相反地,在一些實施例中,數位電路設計規則包括以下一或多者:未在類比鰭片邊界的鰭片網格線上對齊FinFET的鰭片、提供包括VD和具有比VD更小電阻的較大汲極/源極接點上方通孔(VD2)的多個不同汲極/源極接點上方通孔、提供多個寬度的M0並且不將M0與鰭片對齊,提供包括具有低漏電超低臨界電壓(ultra low threshold voltages with low leakage;ULVTLL)和極低臨界電壓(extreme low threshold voltages;ELVT)的額外臨界電壓、切割金屬線並且具有較短單元高度,其提供較短金屬軌道長度、以及提供可以直接鄰接數位電路單元的類比電路單元。
在一些實施例中,第一組設計規則和第二組設計規則的每一者包括佈局設計中的每一個通孔之間的間隔要求(spacing requirement)。在一些實施例中,第一組設計規則和第二組設計規則的每一者包括通孔佈局圖案之間的節距 間隔要求(pitch spacing requirement)。在一些實施例中,第一組設計規則和第二組設計規則的每一者包括佈局設計的鰭片佈局圖案之間的節距間隔要求。在一些實施例中,第一組設計規則和第二組設計規則的每一者包括通孔佈局圖案和切割特徵佈局圖案之間的間隔要求。在一些實施例中,第一組設計規則和第二組設計規則的每一者包括主動區佈局圖案和切割特徵佈局圖案之間的間隔要求。在一些實施例中,第一組設計規則和第二組設計規則的每一者包括主動區佈局圖案之間的間隔要求。在一些實施例中,第一組設計規則和第二組設計規則的每一者包括一或多個MD設計規則。在一些實施例中,第一組設計規則和第二組設計規則的每一者包括一或多個閘極上方通孔[閘極接點](VG)設計規則。在一些實施例中,第一組設計規則和第二組設計規則的每一者包括一或多個金屬零(metal zero)(M0)軌道設計規則。
在整個本揭露中,之前使用類比電路設計規則和類比鰭片邊界來設計和製造的FinFET單元和電路是使用數位電路設計規則和數位鰭片邊界來設計和製造的。這些FinFET單元和電路在本此處被稱為使用數位電路設計規則和數位鰭片邊界設計的類比單元。在複數實施例中,這些類比單元可以用在類比電路、數位電路、類比和數位電路的混合、以及serdes電路中。
在複數實施例中,數位鰭片邊界應用於電路(例如類比電路、混合類比和數位電路及/或serdes電路)中的FinFET單元,使得這些FinFET單元的鰭片不位在與類比電路設計規則和類比鰭片邊界相關的鰭片網格結構的網格線上。相反地,鰭片位在鰭片網格結構的網格線之間或與它們交錯佈置。由於鰭片位在鰭片網格結構的網格線之間,可減少鰭片單元的高度,並且可以減少裝置的尺寸。
此外,這些FinFET單元中的鰭片的數位鰭片邊界與邏輯FinFET單元中的鰭片的數位鰭片邊界相同,使得這些電路可以直接放置相鄰邏輯FinFET單元,而不包括電路和數位邏輯FinFET單元之間的禁止區或禁止區域(keep-out zone or region)。這節省了空間,並且減小了裝置的尺寸。此外,數位鰭片邊界在FinFET單元中間的鰭片之間留有空間,其可用於佈線。在一些實施例中,使用鰭片之間的空間進行佈線增加了空間使用並且減小了裝置的尺寸。
在一些實施例中,被設計具有數位鰭片邊界而不是類比鰭片邊界的電路類型包括佈置成複數列的NMOS鰭片和佈置成複數列的PMOS鰭片。在一些實施例中,這些電路的至少一者中的NMOS鰭片和PMOS鰭片的佈置與被設計具有數位鰭片邊界的至少一個邏輯電路中的NMOS鰭片和PMOS鰭片的佈置相同。在一些實施例中,這些電路的至少一者中的NMOS鰭片和PMOS鰭片的佈置與被設計具有數位鰭片邊界的至少一個邏輯電路中的NMOS鰭片和PMOS鰭片的佈置不同。
使用數位電路設計規則和數位鰭片邊界來設計和製造的類比單元和電路可以包括MEOL層,MEOL層不被包括在使用類比電路設計規則和類比鰭片邊界來設計的電路中。舉例來說,使用數位電路設計規則來設計和製造的單元可以包括到MD的較大汲極/源極接點上方通孔VD2以提高效能,因為VD2的電阻至少比到MD的較小汲極/源極接點上方通孔VD的電阻低50%。
此外,使用數位電路設計規則來設計的FinFET單元中的M0線的佈置可以與使用類比電路設計規則來設計的FinFET單元中的M0線的佈置不同。舉例來說,使用數位電路設計規則來設計的FinFET單元中的M0線或軌道的數量是可選的,並且可以從1到5個線變化或更多。
此外,使用數位電路設計規則來設計的電路可以包括較短的MD使得最大EM電流更高、較短的M0線使得最大EM電流更高、以及較短的第二層金屬(M1)線使得最大EM電流更高。
設計和製造包括使用數位鰭片邊界而不是類比鰭片邊界設計的單元和電路的積體電路的優點包括具有更高GM、更高UGF和更高EM的電路。GM較高,因為使用數位電路設計規則的MEOL和後段(back end of line;BEOL)中的電阻較低。此外,UGF=GM/C,因此GM越高和MEOL電容C越小,UGF越高。此外,金屬線越短,最大EM電流越高,其中數位電路設計規則允許切割M0,並且單元高度越短,M1線越短。
第1圖是根據一些實施例之示意性地顯示包括使用數位電路設計規則來設計的serdes電路的一部分的IC 20的一部分中的M0線和下方的示例的俯視圖。IC 20包括在第1圖中從左到右佈置的多個FinFET 22。每一個FinFET 22包括電性耦接至指示在S的一或多個MD 25的鰭片源極區24、電性耦接指示在D的一或多個MD 27的鰭片汲極區26、以及位在源極區24和汲極區26之間的閘極28。在這個示例中,每一個FinFET 22可以具有MD 25和27切割以提供切割MD區(CMD)29。
在複數實施例中,在每一個FinFET 22中,源極S的MD 25透過一或多個VD2 32和一或多個VD 34連接至M0 30。此外,在每一個FinFET 22中,汲極D的MD 27透過一或多個VD 36連接至M0 30。每一個閘極28透過閘極接點上方通孔(via over gate contact;VG)37連接至M0 30。
第2圖是根據一些實施例之示意性地顯示在IC 20中達到M2 40的BEOL層的示例的俯視圖。IC 20包括設置在IC 20上的水平線或水平軌道中的M2 40和設置在IC 20上的垂直線或垂直軌道中的M1 42。
如第1圖和第2圖所示,M0 30設置在IC 20上的水平線或水平軌道中,使得M1 42的垂直線與M0 30的水平線正交並且與M2 40的水平線正交。M1 42的軌道透過第一通孔44(VIA0)連接至M0 30的各個軌道,並且M1 42的軌道進一步透過第二通孔46(VIA1)連接至M2 40的軌道。因此,達到M2 40的BEOL層電性耦接至IC 20的源極/汲極區24和26,並且在複數實施例中,電性耦接至IC 20的閘極28。
IC 20的FinFET 22藉由M0 30、M1 42和M2 40的不同金屬層彼此連接以執行IC 20的功能。在當前示例實施例中,IC 20包括使用數位電路設計規則和數位鰭片邊界來設計的serdes電路的一部分,而不是使用類比電路設計規則和類比鰭片邊界來設計的。在其他示例實施例中,IC 20可以包括其他電路,例如類比電路、數位電路及/或使用數位電路設計規則和數位鰭片邊界來設計的混合類比和數位電路。
第3圖是根據一些實施例之示意性地顯示使用具有類比鰭片邊界的類比電路設計規則和具有數位鰭片邊界的數位電路設計規則來設計和製造的serdes電路50的示例的示意圖。serdes電路50包括多個電路元件或電路塊52a至52i。在複數實施例中,電路塊52a至52i的至少一者僅使用類比電路設計規則和類比鰭片邊界來設計和製造、電路塊52a至52i的至少一者僅使用數位電路設計規則和數位鰭片邊界來設計和製造、以及電路塊52a至52i的至少一者使用類比電路設計規則和類比鰭片邊界以及數位電路設計規則和數位鰭片邊界兩者來設計和製造。
類比電路設計規則和類比鰭片邊界可以用於提供長通道 FinFET。長通道鰭片具有較高的主動區密度,其中主動區對應源極及/或汲極擴散部分。此外,長通道鰭片具有較低的雜訊准位(noise level),並且長通道鰭片可以具有較厚的氧化層,因此長通道鰭片可以承受更高的電壓並且非常適合用於輸入/輸出(input/output;IO)裝置。在一些實施例中,主動區佈局圖案被稱為氧化物擴散(oxide diffusion;OD)區佈局圖案,其中OD區佈局圖案可用於製造一或多個電晶體的源極及/或汲極區。
數位電路設計規則和數位鰭片邊界可用於提供更高的GM、更高的UGF和更高的最大EM電流。數位鰭片邊界提供具有較低互連電阻的MEOL層和BEOL層,其導致更高的GM。此外,數位鰭片邊界提供更小的單元尺寸,從而電容C值更低,其中較高的GM和較低的電容C有助於較高的UGF。此外,由於單元尺寸更小,數位鰭片邊界提供更短的金屬軌道(例如更短的M0軌道和更短的M1軌道),這增加了最大EM電流。
在複數實施例中,僅使用類比電路設計規則和類比鰭片邊界來設計和製造電路塊52a和52b。電路塊52a和52b個別包括長通道鎖相環(long channel phase locked loop;LC-PLL)和公共偏壓產生器(common bias generator)。在LC振盪器(Inductance Capacitance voltage controlled oscillator;LC-VCO)中使用類比鰭片邊界製造的長通道FinFET以最小化雜訊,並且在電流鏡(current mirror)中使用長通道FinFET以減少在IC中使用的面積。
在複數實施例中,電路塊52c至52g是根據數位電路設計規則和數位鰭片邊界來設計和製造的。電路塊52c至52g個別包括四進制時脈產生器(quaternary clock generator;QCG)和時脈分配元件(clock distribution element)、高速多工器(multiplexer;MUX)、傳輸(transmit;TX)驅動器、高速切片器(slicer)、 以及接收器(receiver;RX)前段(front end;FE)均衡器(equalizer)。在這些電路上使用數位鰭片邊界以達到serdes電路50的高帶寬(high bandwidth)。
在複數實施例中,使用類比鰭片邊界和數位鰭片邊界兩者來設計和製造電路塊52h和52i。電路塊52h和52i個別包括串聯器電路和解串器電路。在這些電路中,從面積的角度來看,類比鰭片邊界比數位鰭片邊界具有更好的主動區密度,因此如果這些電路僅使用數位鰭片邊界來設計,它們將遭受面積損失。
佈局過程可以藉由電腦系統(例如電子設計自動化(Electronic design automation;EDA)系統)來實現。第4圖是根據本揭露顯示EDA系統56的各個方面的示意圖。此處所揭露的佈局方法的一些或全部操作能夠作為在設計廠(design house)中執行的設計程序的一部分來執行,例如下面關於第5圖討論的設計廠80。
在一些實施例中,在第4圖中所示的EDA系統56包括自動佈局和佈線(automated place and route;APR)系統。在一些實施例中,EDA系統56是包括處理器58和非暫態的電腦可讀儲存媒體60的通用計算裝置。電腦可讀儲存媒體60可以被編碼具有(例如儲存)電腦程序代碼,例如一組可執行指令62。處理器58對指令62的執行表示(至少部分)實現EDA系統56的部分或全部功能的EDA工具,例如使用此處所述的類比和數位電路設計規則和程序提供佈局。此外,包括製造工具64以佈局和物理地實施佈局的設計和製造。
處理器58透過匯流排(bus)66電性耦接至電腦可讀儲存媒體60。處理器58還藉由匯流排66電性耦接至I/O介面68。網路介面70也透過匯流排66電性連接至處理器58。網路介面70連接至網路72,使得處理器58和電腦可讀儲存媒 體60能夠透過網路72連接至外部元件。處理器58被配置以執行電腦可讀儲存媒體60中編碼的電腦程序代碼或指令62,以導致EDA系統56可用於執行EDA系統56的一部分或全部功能,例如使用此處所述的類比和數位電路設計規則和程序以及EDA系統56的其他功能來提供佈局。在複數實施例中,處理器58是中央處理單元(central processing unit;CPU)、多處理器、分佈式處理系統(distributed processing system)、特殊應用積體電路(application specific integrated circuit;ASIC)及/或合適處理單元。
在複數實施例中,電腦可讀儲存媒體60是電子的、磁性的、光學的、電磁的、紅外線的及/或半導體系統或裝置或裝置。舉例來說,電腦可讀儲存媒體60可以包括半導體或固態記憶體、磁帶、可移除電腦磁片(removable computer diskette)、隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read-only memory;ROM)、硬磁碟及/或光碟。在使用光碟的實施例中,電腦可讀儲存媒體60可以包括光碟唯讀記憶體(compact disk,read only memory;CD-ROM)、光碟讀取/寫入記憶體(compact disk read/write memory;CD-R/W)及/或數位影音光碟(digital video disc;DVD)。
在一些實施例中,電腦可讀儲存媒體60儲存被配置以導致EDA系統56可用於執行EDA系統56的一部分或全部功能的電腦程序代碼或指令62,例如使用此處所述的類比和數位電路設計規則和程序以及EDA系統56的其他功能來提供佈局。在一些實施例中,電腦可讀儲存媒體60還儲存有助於執行EDA系統56的一部分或全部功能的資訊。在一些實施例中,電腦可讀儲存媒體60儲存包括標準邏輯單元的標準單元庫(standard cell library)74。
EDA系統56包括耦接至外部電路的I/O介面68。在複數實施例 中,I/O介面68包括用於向處理器58傳送資訊和命令的鍵盤、小鍵盤(keypad)、滑鼠、軌跡球、軌跡板、觸控螢幕及/或游標方向鍵(cursor direction key)。
網絡介面70耦接至處理器58,並且允許EDA系統56與一或多個其他電腦系統連接的網路72通訊。網絡介面70可以包括:無線網路介面,例如BLUETOOTH、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,例如ETHERNET、USB或IEEE-1364。在複數實施例中,EDA系統56的一部分或全部功能可以在兩個或多個EDA系統56中執行。
EDA系統56被配置以透過I/O介面68接收資訊。透過I/O介面68接收的資訊包括指令、資料、設計規則、標準單元庫及/或由處理器58處理的其他參數中的一或多者。資訊透過匯流排66傳輸至處理器58。此外,EDA系統56被配置以透過I/O介面68接收與使用者介面(user interface;UI)相關的資訊。此資訊可以作為UI 76儲存在電腦可讀儲存媒體60中。
在一些實施例中,EDA系統56的一部分或全部功能透過由處理器執行的獨立軟體應用(standalone software application)來實現。在一些實施例中,EDA系統56的一部分或全部功能在作為額外軟體應用的一部分的軟體應用中實現。在一些實施例中,EDA系統56的一部分或全部功能被實現為軟體應用的插件(plug-in)。在一些實施例中,EDA系統56的至少一個功能被實現為作為EDA工具的一部分的軟體應用。在一些實施例中,EDA系統56的一部分或全部功能被實現為由EDA系統56使用的軟體應用。在一些實施例中,包括標准單元的佈局圖是使用例如可從益華電腦股份有限公司(CADENCE DESIGN SYSTEMS,Inc.)獲得的VIRTUOSO的工具或其他合適佈局產生工具來產生的。
在一些實施例中,佈局和其他程序被實現為儲存在非暫態電腦可 讀記錄媒體中的程序的功能。非暫態電腦可讀記錄媒體的示例包括(但不限於)外部/可移除及/或內部/內置(internal/built-in)儲存或記憶體單元,例如一或多個光碟(例如DVD)、磁碟(例如硬碟)、半導體記憶體(例如ROM和RAM)以及記憶卡(memory card)等。
如上面所述,EDA系統56的實施例包括用於實現EDA系統56的製造製程的製造工具64。舉例來說,可以對設計執行合成(synthesis),其中藉由將設計與從標準單元庫74中選擇的標準單元相匹配,以將來自設計期望的行為及/或功能轉換成功能等效邏輯門級電路描述(functionally equivalent logic gate-level circuit description)。這種合成產生功能等效邏輯門級電路描述,例如門級網表(gate-level netlist)。基於門級網表,可以產生用於由製造工具64製造IC的微影罩幕。
結合第5圖揭露了裝置製程的其他方面,第5圖是根據一些實施例的IC製造系統78和與其相關的IC製造流程的示意圖。在一些實施例中,基於佈局圖,至少以下之一者:一或多個半導體罩幕;或半導體IC的一個層中的至少一個部件,使用製造系統78製造。
在第5圖中,IC製造系統78包括在設計中相互作用的實體(entity)(例如設計廠80、罩幕廠(mask house)82以及IC製造商/製造廠(“fab”)84)、開發、以及製造週期及/或與製造IC(例如此處所述的IC)相關的服務。IC製造系統78中的實體藉由通訊網路連接。在一些實施例中,通訊網路是單一網路。在一些實施例中,通訊網路是各種不同的網路,例如內部網路和網際網路。通訊網路包括有線及/或無線通訊通道。每一個實體與一或多個其他實體交互作用,並且向一或多個其他實體提供服務及/或從一或多個其他實體接收服務。在 一些實施例中,設計廠80、罩幕廠82以及IC fab 84的兩者或更多者由單一更大的公司所擁有。在一些實施例中,設計廠80、罩幕廠82以及IC fab 84的兩者或更多者共存於公共設施中並且使用公共資源。
設計廠(或設計團隊)80產生IC設計佈局圖86。IC設計佈局圖86包括各種幾何圖案或為IC裝置設計的IC佈局圖,例如使用類比電路設計規則和類比鰭片邊界及/或數位電路設計規則和數位鰭片邊界來設計的裝置。幾何圖案對應構成要製造的半導體結構的各種部件的金屬、氧化物或半導體層的圖案。各個層組合形成各種IC特徵。舉例來說,IC設計佈局圖86的一部分包括要形成在半導體基板(例如矽晶圓)中和設置在半導體基板上的各種材料層中的各種IC特徵,例如主動區、閘極電極、源極、汲極、金屬線、局部通孔和用於接合墊(bond pad)的開口。設計廠80實施設計程序以形成IC設計佈局圖86。設計程序包括類比電路設計、數位邏輯電路設計、物理佈局設計、以及佈局和佈線程序的一或多者。IC設計佈局圖86呈現在一或多個具有幾何圖案的資訊的資料檔案(data file)中。舉例來說,IC設計佈局圖86可以以GDSII檔案格式或DFII檔案格式表示。
罩幕廠82包括資料準備88和罩幕製造90。罩幕廠82使用IC設計佈局圖86來製造一或多個罩幕92以用於製造IC或半導體結構的各個層。罩幕廠82執行罩幕資料準備88,其中IC設計佈局圖86被轉換成代表性資料檔案(representative data file;RDF)。罩幕資料準備88將RDF提供給罩幕製造90。罩幕製造90包括罩幕寫入器,其將RDF轉換成基板上的圖像(image),例如罩幕(倍縮罩幕)92或半導體晶圓94。IC設計佈局圖86由罩幕資料準備88操作以符合罩幕寫入器的特定特性及/或IC fab 84的要求。在第5圖中,罩幕資料準備88和罩幕製造90被顯示為分開的元件。在一些實施例中,罩幕資料準備88和罩幕製造90可以 統稱為罩幕資料準備。
在一些實施例中,罩幕資料準備88包括光學鄰近校正(optical proximity correction;OPC),其使用微影增強技術來補償圖像誤差,例如可能由繞射、干涉、其他製程影響等所引起的那些圖像誤差。OPC調整IC設計佈局圖86。在一些實施例中,罩幕資料準備88包括進一步的解析度增強技術(resolution enhancement technique;RET),例如離軸照明(off-axis illumination)、次解析度輔助特徵(sub-resolution assist feature)、相位移罩幕、其他合適技術等或其組合。在一些實施例中,還使用反向微影技術(inverse lithography technology;ILT),其將OPC作為反向成像問題。
在一些實施例中,罩幕資料準備88包括罩幕規則檢查器(mask rule checker;MRC),其使用一組罩幕創建規則來檢查已經在OPC中進行處理的IC設計佈局圖86,以考慮半導體製造過程中的可變性等,罩幕創建規則包含某些幾何及/或連接性限制以確保足夠的餘量(margin)。在一些實施例中,MRC修改IC設計佈局圖86以在補償罩幕製造90期間的限制,這可以撤銷由OPC執行的部分修改以滿足罩幕創建規則。
一些實施例中,罩幕資料準備88包括微影製程檢查(lithography process checking;LPC),其模擬將由IC fab 84實施的製程。LPC基於IC設計佈局圖86模擬此製程以創建模擬製造裝置。LPC模擬中的製程參數可以包括與IC製造週期的各種製程相關的參數、與用於製造IC的工具相關的參數及/或製造製程的其他方面。LPC考慮各種因素,例如空間圖像對比度(aerial image contrast)、焦深(“DOF(depth of focus)”)、罩幕誤差增強因素(“MEEF(mask error enhancement factor)”)、其他合適因素等或其組合。在一些實施例中,在LPC創建模擬製造 裝置之後,如果模擬裝置的形狀不夠接近以滿足設計規則,則重複OPC及/或MRC以進一步精鍊(refine)IC設計佈局圖86。
為了清楚起見,對罩幕資料準備88的上述描述已被簡化。在一些實施例中,資料準備88包括額外特徵,例如邏輯操作(logic operation;LOP),以根據製造規則修改IC設計佈局圖86。此外,在資料準備88期間應用於IC設計佈局圖86的製程可以以各種不同的順序執行。
在罩幕資料準備88之後和罩幕製造90期間,基於修改的IC設計佈局圖86製造罩幕92或一組罩幕92。在一些實施例中,罩幕製造90包括基於IC設計佈局圖86執行一或多個微影曝光。在一些實施例中,基於修改的IC設計佈局圖86,使用電子束(e-beam)或多個電子束的機制在罩幕(光罩幕或倍縮罩幕)92上形成圖案。罩幕92可以以各種技術形成。在一些實施例中,罩幕92使用二元技術(binary technology)形成。在一些實施例中,罩幕圖案包括不透明區和透明區。用於曝光已經塗佈在晶圓上的圖像敏感材料層(例如:光阻)的輻射束(例如紫外光(ultraviolet;UV)束)被不透明區阻擋,並且穿透過透明區。在一個示例中,罩幕92的二元罩幕版本(binary mask version)包括透明基板(例如:熔融石英)和塗佈在二元罩幕的不透明區中的不透明材料(例如:鉻)。在另一示例中,使用相位移技術形成罩幕92。在罩幕92的相位移罩幕(phase shift mask;PSM)版本中,形成在相位移罩幕上的圖案中的各種特徵被配置以具有適當的相位差以提高解析度和成像品質。在各種示例中,相位移罩幕可以是衰減的PSM(attenuated PSM)或交替的PSM(alternating PSM)。由罩幕製造90產生的罩幕用於多種製程。舉例來說,這樣的罩幕用於離子佈值製程中以在半導體晶圓94中形成各種摻雜區、蝕刻製程中以在半導體晶圓94中形成各種蝕刻區、及/或其他合適製程中。
IC fab 84包括晶圓製造96。IC fab 84是IC製造企業,包括用於製造各種不同IC產品的一或多個製造設備。在一些實施例中,IC Fab 84是半導體代工廠。舉例來說,可能有一個製造設備用於複數IC產品的前段製程(FEOL製程),而第二個製造設備可以位IC產品的互連和封裝提供後段製程(BEOL製程),並且第三個製造設備可以為代工企業提供其他服務。
IC fab 84使用由罩幕廠82製造的罩幕2來製造本揭露的半導體結構或IC 98。因此,IC fab 84至少間接地使用IC設計佈局圖86來製造本揭露的半導體結構或IC 98。在一些實施例中,半導體晶圓94由IC fab 84使用罩幕92製造,以形成本揭露的半導體結構或IC 98。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖86執行一或多個微影曝光。半導體晶圓94包括其上形成有材料層的矽基板或其他合適基板。半導體晶圓94進一步包括各種摻雜區、介電特徵、多級互連等(在後續的製造步驟中形成)的一或多者。
第6圖是根據一些實施例之示意性地顯示使用數位電路設計規則和數位鰭片邊界而不是類比電路設計規則和類比鰭片邊界來設計的FinFET單元100的示意圖。FinFET單元100包括四個鰭片102a至102d和在單元邊界106內的閘極104。四個鰭片102a至102d的每一者包括在閘極104的一側(例如左側)的源極區108和在閘極104的另一側(例如右側)的汲極區110。在其他實施例中,源極區108可以在閘極104的右側,並且汲極區110可以在閘極104的左側。此外,在其他實施例中,FinFET單元100可以包括少於四個鰭片102a至102d或多於四個鰭片102a至102d。
在使用類比電路設計規則和類比鰭片邊界來設計的IC中,FinFET單元的鰭片分開相等的距離,即鰭片節距。鰭片位在與類比電路設計規 則和類比鰭片邊界相關的相等鰭片網格114的相等間距的網格線112上。FinFET單元的每一個鰭片與相等鰭片網格114的網格線112之一者對齊。舉例來說,對於四個鰭片,一個鰭片位在四個相鄰的網格線112的每一者上。
使用數位電路設計規則和數位鰭片邊界來設計的FinFET單元100的鰭片102a至102d不與相等鰭片網格114的網格線112對齊,並且不位在相等鰭片網格114的網格線112上。相反,鰭片102a至102d位在相等鰭片網格114的網格線112之間,或與位在相等鰭片網格114的網格線112交錯。此外,FinFET單元100具有與使用數位鰭片邊界來設計的數位邏輯單元相同的鰭片形成或邊界。FinFET單元100在FinFET單元100的頂部116具有兩個鰭片102a和102b,並且在FinFET單元100的底部118具有兩個鰭片102c和102d。在頂部116和底部118之間是中間部分120,其沒有任何鰭片,這允許將佈線金屬線通過FinFET單元100或到達FinFET單元100的閘極104。
在複數實施例中,由於鰭片102a至102d位在網格線112之間並且FinFET單元100具有沒有任何鰭片的中間部分120,可以提高FinFET單元100中和通過FinFET單元100的空間使用效率,使得裝置尺寸可以製造得更小。此外,在複數實施例中,由於鰭片102a至102d位在網格線112之間,FinFET單元100的高度H可以相對於使用類比電路設計規則和類比鰭片邊界來設計的FinFET單元減少或減小,使得裝置尺寸可以製造得更小。
第7圖至第9圖是根據一些實施例之示意性地顯示不同類比單元裝置類型200、202和204的示意圖。類比單元裝置類型200、202和204個別包括使用數位電路設計規則和數位鰭片邊界來設計的FinFET單元206、208和210。在複數實施例中,類比單元裝置類型可以至少用於類比電路、類比和數位電路的 混合、及/或serdes電路中。在複數實施例中,FinFET單元206、208和210與第6圖中所示的FinFET單元100相似。
第7圖是根據一些實施例之示意性地顯示類比單元裝置類型200的示意圖,類比單元裝置類型200包括使用數位電路設計規則和數位鰭片邊界來設計佈置成一行的FinFET單元206,以在重複圖案中被佈置為具有兩列PMOS FinFET 212,接著是兩列NMOS FinFET 214,接著是兩列PMOS FinFET 212,接著是兩列NMOS FinFET 214,以此類推。在複數實施例中,類比單元裝置類型200包括佈置在複數擴展列(未顯示)中的FinFET單元206。
每一個FinFET單元206包括一列PMOS FinFET 216和一列NMOS FinFET 218。一列PMOS FinFET 216包括兩個鰭片220,並且一列NMOS FinFET 214包括兩個鰭片222。在複數實施例中,每一個FinFET單元206包括多個FinFET對224,每一個FinFET對224包括一個PMOS FinFET 216和一個NMOS FinFET 218。在複數實施例中,每一個FinFET對224共享相同的閘極226。
類比單元裝置類型200具有排列的FinFET單元206,使得一個FinFET單元206中的一列PMOS FinFET 216與相鄰的FinFET單元206中的一列PMOS FinFET 216相鄰,並且一個FinFET單元206中的一列NMOS FinFET 218與相鄰的FinFET單元206中的一列NMOS FinFET 218相鄰。這導致在重複圖案中兩列PMOS FinFET 212彼此相鄰,接著兩列NMOS FinFET 214彼此相鄰。在複數實施例中,NMOS FinFET和PMOS FinFET的這種佈置與邏輯電路裝置(例如標準單元裝置)中的NMOS FinFET和PMOS FinFET的佈置相同。
第8圖是根據一些實施例之示意性地顯示類比單元裝置類型202的示意圖,類比單元裝置類型202包括使用數位電路設計規則和數位鰭片邊界來 設計的FinFET單元208。類比單元裝置類型202被設計以在重複圖案中具有四列PMOS FinFET 228,接著是四列NMOS FinFET 230,接著是四列PMOS FinFET 228,接著是四列NMOS FinFET 230,以此類推。類比單元裝置類型202中的FinFET單元208佈置成一行。當然,在複數實施例中,類比單元裝置類型202可以包括佈置在複數擴展列(未顯示)中的FinFET單元208。
FinFET單元208有兩種。一個是包括兩列PMOS FinFET 232的PMOS FinFET單元208a,並且另一個是包括兩列NMOS FinFET 234的NMOS FinFET單元208b。每一列PMOS FinFET 232包括兩個鰭片236,每一列NMOS FinFET 234包括兩個鰭片238。
FinFET單元208被佈置在類比單元裝置類型202中以在重複圖案中具有兩個PMOS FinFET單元208a,接著是兩個NMOS FinFET單元208b,以此類推。在複數實施例中,類比單元裝置類型202包括雙重高度FinFET組240,其中每一個雙重高度FinFET組240包括來自兩列PMOS FinFET 232和來自兩列NMOS FinFET 234的FinFET。在複數實施例中,每一個雙重高度FinFET組共享相同的閘極242。在複數實施例中,類比單元裝置類型202中的NMOS FinFET 234和PMOS FinFET 232的佈置與邏輯電路裝置(例如標準單元裝置)中的NMOS FinFET和PMOS FinFET的佈置不同。
第9圖是根據一些實施例之示意性地顯示類比單元裝置類型204的示意圖,類比單元裝置類型204包括使用數位電路設計規則和數位鰭片邊界來設計佈置成一行並且具有所有相同種類的FinFET的FinFET單元210。在複數實施例中,類比單元裝置類型204具有排列在一行中的FinFET單元210,該行的所有列都是PMOS FinFET。在複數實施例中,類比單元裝置類型204具有排列在一行 中的FinFET單元210,該行的所有列都是NMOS FinFET。在一些實施例中,類比單元裝置類型204包括具有所有列是PMOS FinFET的一行FinFET單元210和具有所有列是NMOS FinFET的相鄰一行FinFET單元210。在複數實施例中,FinFET單元210的這種佈置與邏輯電路裝置(例如標準單元裝置)中的NMOS FinFET和PMOS FinFET的佈置不同。
第10A圖是根據一些實施例之示意性地顯示在IC 20中的FinFET單元300的圖,IC 20具有使用數位電路設計規則和數位鰭片邊界來設計的類比單元並且包括MEOL層。在複數實施例中,這些類比單元可以用在類比電路、數位電路、類比和數位電路的混合、以及以前完全使用類比電路設計規則和類比鰭片邊界來設計的serdes電路中。在複數實施例中,FinFET單元300與第6圖中所示的FinFET單元100相似。
FinFET單元300包括四個鰭片302a至302d和位在單元邊界306內的閘極304。四個鰭片302a至302d的每一者包括在閘極304的一側(例如左側)的對應源極區308a至308d和在閘極304的另一側(例如右側)的汲極區310a至310d。在其他實施例中,源極區308a至308d可以在閘極304的右側,並且汲極區310a至310d可以在閘極304的左側。此外,在其他實施例中,FinFET單元300可以包括少於四個鰭片302a至302d或多於四個鰭片302a至302d。
使用數位電路設計規則和數位鰭片邊界來設計的FinFET單元300的鰭片302a至302d不與網格線312對齊,並且不位在網格線312上。相反,鰭片302a至302d位在網格線312之間。此外,FinFET單元300具有與使用數位鰭片邊界來設計的邏輯單元相同的鰭片邊界或形成。FinFET單元300在FinFET單元300的頂部316具有兩個鰭片302a和302b,並且在FinFET單元300的底部318具有 兩個鰭片302c和302d。在頂部316和底部318之間是中間部分320,其沒有任何鰭片,這允許將金屬線的佈線通過FinFET單元300或到達FinFET單元300的閘極304。
如第10A圖所示,MEOL層包括MD 322a至322d、M0線324a至324g、VG 326、VD 328a至328d、以及VD2 330a和330b。FinFET單元300的閘極304藉由VG 326電性耦接至M0 324d。源極區308a和308b電性耦接至MD 322a,並且源極區308c和308d電性耦接至MD 322b。此外,汲極區310a和310b電性耦接至MD 322c,並且汲極區310c和310d電性耦接至MD 322d。
M0線324a和324g是較寬的金屬線,其每單位長度的電阻比M0線324b至324f的較窄金屬線低。在複數實施例中,較寬的金屬線的M0 324a和324g的寬度範圍為10奈米(nm)至50nm,並且較窄的金屬線的M0 324b-324f的寬度範圍為6nm至20nm。
在FinFET單元300的源極側,MD 322a藉由VD 328a電性耦接至M0 324c,並且藉由VD2 330a電性耦接至M0 324a,其將源極區308a和308b電性耦接至M0 324a和M0 324c。MD 322b藉由VD 328b電性耦接至M0 324e,並且藉由VD2 330b電性耦接至M0 324g,其將源極區308c和308d電性耦接至M0 324e和M0 324g。
VD2 330a和330b的每一者在尺寸上大於VD 328a至328d的每一者。在一些實施例中,VD2的範圍為8nm×8nm至24nm×24nm,並且VD的範圍為6nm×6nm至20nm×20nm。VD2接觸較寬的金屬線的M0 324a和324g,並且VD接觸較窄的金屬線的M0 324b、324c、324e和324f。在複數實施例中,僅當數位電路設計規則和數位鰭片邊界用於設計單元時才允許使用VD2 330a和330b。因 此,使用數位電路設計規則來設計和製造的類比電路可以包括VD2以接觸MD以提高效能,因為VD2的電阻比VD的電阻低至少50%。
在FinFET單元300的汲極側,MD 322c藉由VD 328c電性耦接至M0 324b以將汲極區310a和310b電性耦接至M0 324b,並且MD 322d藉由VD 328d電性耦接至M0 324f以將汲極區310c和310d電性耦接至M0 324f。
第10B圖是根據一些實施例之示意性地顯示沿著第10A圖中的線段B-B的第10A圖的FinFET單元的一部分的剖面圖。第10B圖的剖面圖顯示了從基板332延伸的鰭片302a和302b。鰭片302a和302b的源極區308a和308b個別電性耦接至MD 322a。
VD2 330a將MD 322a電性連接至較寬的金屬線的M0 324a,並且VD 328a將MD 322a電性連接至較窄的金屬線的M0 324c。VD2 330a的尺寸大於VD 328a。在一些實施例中,VD2是正方形的,並且在一些實施例中,VD是正方形的。此外,在一些實施例中,VD2具有範圍從8nm至24nm的寬度Wd2,並且在一些實施例中,VD具有範圍從6nm至20nm的寬度Wd。
第11圖是根據一些實施例之示意性地顯示在IC 20中的FinFET單元348的示意圖,IC 20具有使用數位電路設計規則和數位鰭片邊界來設計的類比單元並且包括至少一些MEOL層。在複數實施例中,這些類比單元可以用在類比電路、數位電路、類比和數位電路的混合、以及以前完全使用類比電路設計規則和類比鰭片邊界來設計的serdes電路中。
在第11圖中,至少部分地顯示了四個FinFET單元348。然而,為了清楚起見,下面將僅詳細描述FinFET單元350。當然,在複數實施例中,其他FinFET單元348的每一者與FinFET單元350相似。此外,在複數實施例中,FinFET 單元348的每一者與第10A圖中所示的FinFET單元300相似。
FinFET單元350包括四個鰭片352、在352a的兩個鰭片和在352b的兩個鰭片、以及閘極354。此外,在352a的每一個鰭片包括在閘極354的一側上的對應源極區358a和在閘極354的另一側的對應汲極區360a,並且在352b的每一個鰭片包括在閘極354的一側上的對應源極區358b和在閘極354的另一側的對應汲極區360b。在其他實施例中,源極區358和汲極區360可以切換到閘極354的另一側。
如第11圖所示,MEOL層包括MD 362a至362d、切割MD區(CMD)364a至364c、VG 366、VD 368a至368d、以及VD2 370a和370b。FinFET單元350的閘極354電性耦接至VG 366。源極區358a電性耦接至MD 362a,並且源極區358b電性耦接至MD 362b。汲極區360a電性耦接至MD 362c,並且汲極區360b電性耦接至MD 362d。
在FinFET單元350的源極側,MD 362a電性耦接至VD 368a和VD2 370a,並且MD 362b電性耦接至VD 368b和VD2 370b。VD2 370a和370b的每一者在尺寸上大於VD 368a至368d的每一者。在一些實施例中,VD2的範圍為8nm×8nm至24nm×24nm,並且VD的範圍為6nm×6nm至20nm×20nm。在一些實施例中,僅允許使用數位電路設計規則的VD2 370a和370b。因此,使用數位電路設計規則來設計和製造的類比電路可以包括VD2以接觸MD以提高效能,因為VD2的電阻比VD的電阻低至少50%。
在FinFET單元350的汲極側,MD 362c電性耦接至VD 368c,並且MD 362d電性耦接至VD 368d。
在FinFET單元350中,MD 362a和362b被CMD 364b分開,並且 MD 362c和362d被CMD 364b分開。此外,MD 362c和362d的每一者已經個別被CMD 364a和364c進一步縮短,這進一步縮短了MD 362c和362d。使MD 362a至362d更短,減小了從MD 362a至362d到閘極354的多晶矽的電容C。
因此,使用數位電路設計規則來設計類比電路會增加GM,其中VD2藉由減小MEOL中的電阻來增加GM,並且減小從MD到閘極354的多晶矽的電容C。這也增加了UGF,其中UGF=GM/C。此外,使用數位電路設計規則來設計類比單元為超低電壓臨界(ultra-low voltage threshold;ULVT)電路提供了製程錨點(process anchor point)。
第12圖是根據一些實施例之示意性地顯示使用數位電路設計規則和數位鰭片邊界來設計的類比單元402、404、406、408和410中的M0線或軌道的表格400。在一些實施例中,類比單元402、404、406、408和410的每一者與第6圖中所示的FinFET單元100相似。
類比單元402、404、406、408和410的每一者包括四個鰭片412a至412d和位在單元邊界416內的閘極414。四個鰭片412a至412d的每一者包括位在閘極414一側的源極區418和位在閘極414另一側的汲極區420。類比單元402、404、406、408和410的鰭片412a至412d不與相等鰭片網格的網格線422對齊,並且不位在相等鰭片網格的網格線422上。相反,鰭片412a至412d位在網格線422之間。此外,類比單元402、404、406、408和410的每一者具有與邏輯單元相同的鰭片邊界或形成、在頂部426上的兩個鰭片412a和412b、以及在底部428上的兩個鰭片412c和412d。在頂部426和底部428之間是中間部分430,其沒有任何鰭片,這允許用於金屬線的佈線。
在使用類比電路設計規則和類比鰭片邊界來設計的類比單元 中,M0軌道與鰭片對齊,並且基本上與相等鰭片網格的網格線422對齊。這與使用數位電路設計規則和數位鰭片邊界來設計的類比單元(例如類比電路單元402、404、406、408和410)中的M0線或軌道的佈置不同。在這些類比電路單元402、404、406、408和410中,M0軌道的數量是可選的。舉例來說,在類比單元402、404、406、408和410的每一者中,M0軌道的數量可以在從1到5甚至更多的M0軌道的範圍內。
表格400包括類比單元402、404、406、408和410的佈局,其個別包括一個M0軌道432、兩個M0軌道434a和434b、三個M0軌道436a至436c、四個M0軌道438a至438d、以及5個M0軌道440a至440e。這些M0軌道數量不包括在每一個類比電路單元402、404、406、408和410的頂部和底部的寬M0軌道。
如第12圖所示,類比單元402包括位在鰭片412b和412c之間,並且位在網格線422之一者上的一個M0軌道432。類比單元404包括兩個M0軌道434a和434b,一個M0軌道434a位在鰭片412a和412b附近並且在網格線422上,並且另一個M0軌道434b位在鰭片412c和412d附近並且在網格線422上。類比單元406包括三個M0軌道436a至436c,其中一個M0軌道436b位在鰭片412b和412c之間並且在網格線422上或附近,另一個M0軌道436a位在鰭片412a和412b附近並且在網格線422上,並且另一個M0軌道436c位在鰭片412c和412d附近並且在網格線422上。類比單元408包括四個M0軌道438a至438d,其中一個M0軌道438a至438d位在鰭片412a至412d之每一者附近並且在網格線422上或附近。模類比單元410包括五個M0軌道440a至440e,其中一個M0軌道440a至440d位在鰭片412a至412d之每一者附近,並且一個M0軌道440e位在鰭片412b和412c之間。在其他實施例中,類比單元402、404、406、408和410可以具有多於五個M0線或軌道。
第13圖是根據一些實施例之顯示可以在使用數位電路設計規則和數位鰭片邊界來設計的類比和數位單元中實現的臨界電壓(Vt)準位相對可以在使用類比電路設計規則和類比鰭片邊界來設計的類比單元中實現的Vt準位的表格500。Vt準位包括:在方框502的標準Vt(standard Vt;SVT),其具有最低的漏電準位(leakage level);在方框504的具有低漏電的低Vt(low Vt with low leakage;LVTLL);在方框506的低Vt(low Vt;LVT);在方框508的具有低漏電的超低Vt(ultra low Vt with low leakage;ULVTLL);在方框510的超低Vt(ultra low Vt;ULVT);以及在方框512的極低Vt(extreme low Vt;ELVT)。方框502的SVT具有最高Vt,接著是(以Vt遞減順序)方框504的LVTLL,方框506的LVT,方框508的ULVTLL,方框510的ULVT,以及方框512的具有最低Vt的ELVT。
如表格500所示,可以使用方框502的SVT、方框504的LVTLL、方框506的LVT、方框508的ULVTLL、方框510的ULVT和方框512的ELVT的任何閾值電壓來設計和製造在方框514的使用數位鰭片邊界來設計的類比單元和在方框516的使用數位鰭片邊界來設計的數位電路。這與在方框518使用類比鰭片邊界來設計的類比單元不同,其中方框508的ULVTLL和方框512的ELVT不能使用類比電路設計規則和類比鰭片邊界來構建。
第14圖是根據一些實施例之示意性地顯示IC 600的示意圖,IC 600包括位在使用數位電路設計規則和數位鰭片邊界來設計的數位電路單元604旁邊的使用數位電路設計規則和數位鰭片邊界來設計的類比單元602。由於使用相同的數位電路設計規則和相同的數位鰭片邊界來設計類比電路單元602和數位電路單元604,單元602和604具有相同的單元邊界606和四個鰭片608的鰭片形成。因此,類比單元602可以在位置610直接鄰接數位單元604,而不需在不同的 單元602和604之間提供額外的禁止空間(keep-out space)。
第15圖是根據一些實施例之示意性地顯示包括使用數位電路設計規則和數位鰭片邊界來設計的類比單元的IC 700中的M0和下面的示例的俯視圖。在IC 700中,至少一些金屬線可以製造得更短,這增加了通過金屬的最大允許EM電流。在複數實施例中,IC 700與第1圖和第2圖的IC 20相似。
IC 700包括在第15圖中從左到右佈置的多個FinFET 702。每一個FinFET 702包括電性耦接至指示在S的一或多個MD的鰭片源極區704、電性耦接指示在D的一或多個MD的鰭片汲極區706、以及位在源極區704和汲極區706之間的閘極708。在複數實施例中,每一個FinFET 702可以具有在CMD區709中切割的MD。
在複數實施例中,在每一個FinFET 702中,源極S的MD透過一或多個VD2 712和一或多個VD 714連接至M0 710。此外,在每一個FinFET 702中,汲極D的MD透過一或多個VD 716連接至M0 710。每一個閘極708透過VG 717連接至M0 710。
如第15圖所示,IC 700包括切割M0區720,其中M0 710被切割以形成M0 710的更短的線。與類比電路設計規則和類比鰭片邊界相反,使用數位電路設計規則和數位鰭片邊界允許切割M0區720。M0 710的線越短,最大EM電流越高。此外,切割的M0線710可以直接位在VD及/或通孔VIA0旁邊(如第16圖所示)。在一些實施例中,切割的M0線的長度範圍從0.5CPP到8CPP,並且在一些實施例中,M0節距範圍從5nm到40nm。
第16圖是根據一些實施例之示意性地顯示IC 700的達到M2 740的BEOL層的示例的俯視圖。IC 700包括設置在水平線或軌道中的M2 740和設置 在垂直線或軌道中的M1 742。
如第15圖和第16圖所示,M0 710設置在IC 700上的水平線或水平軌道中,使得M1 742的垂直線與M0 710的水平線正交並且與M2 740的水平線正交。M1 742的軌道透過通孔744(VIA0)連接至M0 710的各個軌道,並且M1 742的軌道透過通孔746(VIA1)連接至M2 740的軌道。因此,達到M2 740的BEOL層電性耦接至源極/汲極區704和706,並且在複數實施例中,電性耦接至閘極708。
如此處所述,使用數位電路設計規則和數位鰭片邊界來設計的FinFET單元比使用類比電路設計規則和類比鰭片邊界來設計的FinFET單元短,其中使用數位鰭片邊界來設計的FinFET單元可以小於7CPP。因此,單元高度越短,M1 742的長度越短,EM最大電流越高。此外,在複數實施例中,M1節距範圍從28nm到60nm。
第17圖是根據一些實施例之顯示IC 700的溫度分佈750的示意圖。如所示,溫度分佈750從頂部到底部以及從一側到另一側均勻地分佈在IC上。因此,通過了溫度分佈的EM分析。
第18圖是根據一些實施例之顯示製造包括使用數位電路設計規則和數位鰭片邊界來設計的類比單元的半導體結構(例如IC)的方法的示意圖。
在操作800中,方法包括基於類比電路設計規則和類比鰭片邊界提供具有網格線的鰭片網格結構。方法在操作802繼續,基於數位電路設計規則和數位鰭片邊界在鰭片網格結構(其基於類比電路設計規則和類比鰭片邊界)上形成複數FinFET單元。其中,複數FinFET單元的每一者包括位在鰭片網格結構的網格線之間的鰭片,而不是位在網格線上。
在一些實施例中,方法包括在複數FinFET單元的至少一者中切 割M0線,以提供更短的M0線,這增加了最大EM電流。此外,在一些實施例中,使用數位鰭片邊界而不是類比鰭片邊界設計的FinFET單元的單元高度更短,使得至少一些金屬線更短,這增加了最大EM電流。
在一些實施例中,方法包括在至少一個類比電路結構中的複數FinFET單元中的至少一者中形成MEOL層,其中形成MEOL層包括:形成具有第一電阻的第一汲極/源極接點上方通孔VD,以及形成具有第二電阻的第二汲極/源極接點上方通孔VD2,第二電阻小於或等於第一電阻的0.5倍。
此外,在一些實施例中,方法包括:形成包括複數FinFET單元的至少一些的類比單元結構、形成包括複數FinFET單元的至少一些的數位單元結構、以及將類比單元結構鄰接在數位單元結構旁邊。
因此,所揭露的實施例提供了半導體結構(例如IC),其包括使用數位電路設計規則和數位鰭片邊界來設計和製造的類比單元。積體電路包括FinFET,並且設計包括基於數位電路設計規則和數位鰭片邊界的鰭片形成、有益的(beneficial)MEOL層、可選的M0軌道、各種臨界電壓Vt、以及例如類比單元與數位邏輯單元直接鄰接的特徵。
所揭露的實施例包括具有未位在與類比電路設計規則和類比鰭片邊界相關的鰭片網格的網格線上的鰭片的FinFET單元。相反,鰭片位在鰭片網格的網格線之間。在這些實施例中,FinFET單元的高度被減少,使得裝置尺寸可以製造得更小。此外,這些類比單元中的鰭片形成在FinFET單元中間的鰭片之間留有空間,可用於佈線金屬。在這些實施例中,可以增加FinFET單元中和周圍的空間使用並且裝置尺寸可以製造得更小。
類比電路裝置類型中的NMOS和PMOS FinFET的佈置是靈活 的。在一些實施例中,可以使類比電路裝置中的NMOS和PMOS FinFET的佈置與邏輯電路裝置中的NMOS和PMOS FinFET的佈置相同。在其他實施例中,可以使類比電路裝置中的NMOS和PMOS FinFET的佈置與邏輯電路裝置中的NMOS和PMOS FinFET的佈置不同。
使用數位電路設計規則和數位鰭片邊界來設計的MEOL層的有益屬性包括在MD上較大的VD2以提高效能,因為VD2的電阻比在MD上的較小VD低至少50%。
此外,使用數位電路設計規則和數位鰭片邊界來設計的類比單元中的M0線的佈置和數量是可選的,並且數量從1到5個M0線變化或更多。此外,使用數位電路設計規則和數位鰭片邊界來設計的所揭露的類比單元可以包括較短的MD使得最大EM電流更高、較短的M0線使得最大EM電流更高、以及較短的M1線使得最大EM電流更高。
設計和製造包括使用數位電路設計規則和數位鰭片邊界來設計和製造的類比單元的半導體結構(例如積體電路)的優點包括具有更高GM、更高UGF和更高EM的FinFET單元。GM較高,因為MEOL和BEOL層中的電阻較低。此外,在UGF=GM/C且GM較高和MEOL電容C較小時,UGF較高。此外,金屬線長度越短,最大EM電流越高,其中數位電路設計規則允許切割M0,並且單元高度越短,M1線越短。
根據一些揭露的實施例,積體電路包括使用數位電路設計規則來設計的FinFET單元,以提供具有減少單元高度的較小FinFET單元,以及包括FinFET單元的第一FinFET單元並且包括至少一個切割金屬層的類比電路單元結構。數位電路單元結構包括FinFET單元的第二FinFET單元,並且類比電路單元 結構直接鄰接數位電路單元結構。具有減少單元高度的較小FinFET單元包括在一個方向上提供第一較短金屬軌道,並且該至少一個切割金屬層包括在另一方向上提供第二較短金屬軌道,以增加積體電路中的最大電遷移電流。
在一些實施例中,FinFET單元被佈置以在用於類比電路單元結構的第一圖案中和在用於數位電路單元結構的第二圖案中形成NMOS FinFET的複數列和PMOS FinFET的複數列,其中第一圖案與第二圖案相同。
在一些實施例中,FinFET單元被佈置以在用於類比電路單元結構的第一圖案中和在用於數位電路單元結構的第二圖案中形成NMOS FinFET的複數列和PMOS FinFET的複數列,其中第一圖案與第二圖案不同。
在一些實施例中,FinFET單元使用中段層相互連接並且連接至金屬層,中段層使用類比電路單元結構中的數位電路設計規則設計。
在一些實施例中,中段層包括汲極/源極接點上方通孔,其中汲極/源極接點上方通孔包括具有第一電阻的第一汲極/源極接點上方通孔和具有第二電阻的第二汲極/源極接點上方通孔,第二電阻小於或等於第一汲極/源極接點上方通孔的第一電阻的0.5倍。
在一些實施例中,FinFET單元的每一者被配置以包括1至5個或多個第一金屬層軌道。
在一些實施例中,類比電路單元結構包括基於數位電路設計規則的臨界電壓。
在一些實施例中,積體電路更包括對應類比電路設計規則的鰭片網格結構,其中鰭式場效電晶體單元的鰭片位在鰭片網格結構的網格線之間。
在一些實施例中,第二較短金屬軌道是第一金屬層軌道,並且第 一較短金屬軌道是第二金屬層軌道。
在一些實施例中,積體電路更包括串聯器/解串器電路,串聯器/解串器電路包括使用數位電路設計規則設計的FinFET單元。
根據進一步的實施例,半導體結構包括FinFET單元。源極/漏極導體設置在FinFET單元上,並且電性耦接至FinFET單元,源極/汲極導體包括較寬源極/汲極導體和較細源極/汲極導體。閘極導體設置在FinFET單元上,並且電性耦接至FinFET單元,並且與源極/汲極導體交錯佈置。閘極接點在FinFET單元中的FinFET閘極和閘極導體之間電性耦接。汲極/源極接點上方通孔在FinFET單元中的FinFET源極/汲極區和源極/汲極導體之間電性耦接。汲極/源極接點上方通孔包括第一汲極/源極接點上方通孔和第二汲極/源極接點上方通孔,第一汲極/源極接點上方通孔各自具有第一寬度並且電性耦接至較寬源極/汲極導體的至少一者,第二汲極/源極接點上方通孔各自具有第二寬度並且電性耦接至較細源極/汲極導體的至少一者,其中第一寬度大於第二寬度。
在一些實施例中,第一汲極/源極接點上方通孔之每一者具有第一電阻,第一電阻小於或等於第二汲極/源極接點上方通孔之每一者的第二電阻的0.5倍。
在一些實施例中,FinFET單元被佈置以在類比電路單元結構中的第一圖案中和在數位電路單元結構的第二圖案中形成NMOS FinFET的複數列和PMOS FinFET的複數列,其中第一圖案與第二圖案相同。
在一些實施例中,FinFET單元被佈置以在類比電路單元結構中的第一圖案中和在數位電路單元結構的第二圖案中形成NMOS FinFET的複數列和PMOS FinFET的複數列,其中第一圖案與第二圖案不同。
在一些實施例中,半導體結構更包括類比電路單元結構和數位電路單元結構,類比電路單元結構包括FinFET單元的第一FinFET單元,並且數位電路單元結構包括FinFET單元的第二FinFET單元,其中類比電路單元結構直接鄰接數位電路單元結構。
根據更進一步的實施例,半導體結構之形成方法包括:提供具有均勻節距的網格線並且基於類比鰭片邊界的鰭片網格結構;基於鰭片網格結構上的數位鰭片邊界提供FinFET單元,FinFET單元的每一者包括與鰭片網格結構的網格線交錯的鰭片;以及在FinFET單元的至少一者中提供中段(MEOL)層,其中提供MEOL層包括:提供具有第一寬度的第一汲極/源極接點上方通孔;以及提供具有第二寬度的第二汲極/源極接點上方通孔,第二寬度大於上述第一寬度。
在一些實施例中,半導體結構之形成方法,更包括在至少一類比電路結構中的FinFET單元的至少一者中切割第一金屬層線,以提供較短第一層金屬線。
在一些實施例中,半導體結構之形成方法,更包括基於具有減小單元高度的FinFET單元的至少一者,在FinFET單元的至少一者中提供較短金屬線。
在一些實施例中,FinFET單元的每一者被提供有5個或多個第一金屬層軌道。
在一些實施例中,半導體結構之形成方法更包括:提供包括上述鰭式場效電晶體單元的複數第一鰭式場效電晶體單元的複數類比單元;提供包括上述鰭式場效電晶體單元的複數第二鰭式場效電晶體單元的複數數位單元;將上述類比單元直接與上述數位單元相鄰鄰接。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
300:鰭式場效電晶體單元
302a~302d:鰭片
304:閘極
306:單元邊界
308a~308d:源極區
310a~310d:汲極區
312:網格線
316:頂部
318:底部
320:中間部分
322a~322d:擴散上方金屬
324a~324g:第一層金屬線、第一層金屬
326:閘極上方通孔
328a~328d:汲極/源極接點上方通孔
330a,330b:較大汲極/源極接點上方通孔
B-B:線段

Claims (12)

  1. 一種積體電路,包括:複數鰭式場效電晶體單元,使用複數數位電路設計規則被設計,以提供具有複數減少單元高度的複數較小鰭式場效電晶體單元;複數類比電路單元結構,包括上述鰭式場效電晶體單元的複數第一鰭式場效電晶體單元;以及複數數位電路單元結構,包括上述鰭式場效電晶體單元的複數第二鰭式場效電晶體單元,其中上述類比電路單元結構直接鄰接上述數位電路單元結構;其中具有上述減少單元高度的上述較小鰭式場效電晶體單元包括在一方向上的一第一金屬軌道,並且包括在一另一方向上的一第二金屬軌道,以增加上述積體電路中的複數最大電遷移電流;其中上述較小鰭式場效電晶體單元更包括:複數源極/汲極接點,在上述較小鰭式場效電晶體單元中的複數鰭式場效電晶體源極/汲極區中;以及複數汲極/源極接點上方通孔,在上述源極/汲極接點上方,其中上述汲極/源極接點上方通孔包括複數第一汲極/源極接點上方通孔和複數第二汲極/源極接點上方通孔,上述第一汲極/源極接點上方通孔各自具有一第一寬度,上述第二汲極/源極接點上方通孔各自具有一第二寬度,其中上述第一寬度大於上述第二寬度。
  2. 如請求項1之積體電路,其中使用在上述類比電路單元結構中的複數中段層,上述鰭式場效電晶體單元相互連接並且連接至複數金屬層,上述 中段層使用上述數位電路設計規則設計。
  3. 如請求項2之積體電路,其中上述中段層包括上述汲極/源極接點上方通孔,其中上述第一汲極/源極接點上方通孔具有一第一電阻,並且上述第二汲極/源極接點上方通孔具有一第二電阻,上述第一電阻小於或等於上述第二電阻的0.5倍。
  4. 如請求項1之積體電路,更包括對應複數類比電路設計規則的一鰭片網格結構,其中上述鰭式場效電晶體單元的複數鰭片位在上述鰭片網格結構的複數網格線之間。
  5. 如請求項1之積體電路,其中上述第二金屬軌道是一第一金屬層軌道,並且上述第一金屬軌道是一第二金屬層軌道。
  6. 一種半導體結構,包括:複數鰭式場效電晶體單元;複數第一導體,設置在上述鰭式場效電晶體單元上,並且電性耦接至上述鰭式場效電晶體單元,上述第一導體包括複數較寬第一導體和複數較細第一導體;複數第二導體,設置在上述鰭式場效電晶體單元上,並且電性耦接至上述鰭式場效電晶體單元,並且與上述第一導體交錯佈置;複數閘極接點,在上述鰭式場效電晶體單元中的複數鰭式場效電晶體閘極和上述第二導體之間電性耦接;以及複數汲極/源極接點上方通孔,在上述鰭式場效電晶體單元中的複數鰭式場效電晶體源極/汲極區和上述第一導體之間電性耦接,其中上述汲極/源極接點上方通孔包括複數第一汲極/源極接點上方通孔和複數第二汲極/源極接點上方通孔,上述第一汲極/源極接點上方通孔各自具有一第一寬度並且電性耦接至上述 較寬第一導體的至少一者,上述第二汲極/源極接點上方通孔各自具有一第二寬度並且電性耦接至上述較細第一導體的至少一者,其中上述第一寬度大於上述第二寬度。
  7. 如請求項6之半導體結構,其中上述第一汲極/源極接點上方通孔之每一者具有一第一電阻,上述第一電阻小於或等於上述第二汲極/源極接點上方通孔之每一者的一第二電阻的0.5倍。
  8. 如請求項6之半導體結構,更包括複數類比電路單元結構和複數數位電路單元結構,上述類比電路單元結構包括上述鰭式場效電晶體單元的複數第一鰭式場效電晶體單元,並且上述數位電路單元結構包括上述鰭式場效電晶體單元的複數第二鰭式場效電晶體單元,其中上述類比電路單元結構直接鄰接上述數位電路單元結構。
  9. 一種半導體結構之形成方法,包括:基於一數位鰭片邊界提供複數鰭式場效電晶體單元,其中上述鰭片單元的每一者包括具有一非均勻鰭片節距的複數鰭片;以及在上述鰭式場效電晶體單元的至少一者中提供複數中段層,其中提供上述中段層的操作包括:提供具有一第一寬度的一第一汲極/源極接點上方通孔;以及提供具有一第二寬度的一第二汲極/源極接點上方通孔,上述第二寬度大於上述第一寬度。
  10. 如請求項9之半導體結構之形成方法,更包括:在至少一類比電路結構中的上述鰭式場效電晶體單元的至少一者中切割一第一金屬層線,以提供一第一層金屬線。
  11. 如請求項9之半導體結構之形成方法,更包括:基於具有一減小單元高度的上述鰭式場效電晶體單元的至少一者,在上述鰭式場效電晶體單元的至少一者中提供複數金屬線。
  12. 如請求項9之半導體結構之形成方法,更包括:提供包括上述鰭式場效電晶體單元的複數第一鰭式場效電晶體單元的複數類比單元;提供包括上述鰭式場效電晶體單元的複數第二鰭式場效電晶體單元的複數數位單元;以及將上述類比單元直接與上述數位單元相鄰鄰接。
TW111106718A 2021-02-26 2022-02-24 積體電路、半導體結構及其形成方法 TWI817366B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202163154294P 2021-02-26 2021-02-26
US63/154,294 2021-02-26
US202163163982P 2021-03-22 2021-03-22
US63/163,982 2021-03-22
US17/542,014 US20220278099A1 (en) 2021-02-26 2021-12-03 Circuits designed and manufactured with first and second design rules
US17/542,014 2021-12-03

Publications (2)

Publication Number Publication Date
TW202234654A TW202234654A (zh) 2022-09-01
TWI817366B true TWI817366B (zh) 2023-10-01

Family

ID=82166869

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111106718A TWI817366B (zh) 2021-02-26 2022-02-24 積體電路、半導體結構及其形成方法

Country Status (3)

Country Link
US (1) US20220278099A1 (zh)
CN (1) CN114709207A (zh)
TW (1) TWI817366B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12001772B2 (en) * 2021-09-24 2024-06-04 International Business Machines Corporation Ultra-short-height standard cell architecture
US20240211673A1 (en) * 2022-12-21 2024-06-27 Mediatek Inc. Method for designing integrated circuit layout by using analog/mixed-signal standard cells that do not violate layout rules of digital standard cells and associated computer system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170148779A1 (en) * 2009-05-06 2017-05-25 Tela Innovations, Inc. Cell Circuit and Layout with Linear Finfet Structures
US20190211475A1 (en) * 2018-01-08 2019-07-11 Totic Technology Inc. Method and apparatus for performing layout designs using stem cells

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170148779A1 (en) * 2009-05-06 2017-05-25 Tela Innovations, Inc. Cell Circuit and Layout with Linear Finfet Structures
US20190211475A1 (en) * 2018-01-08 2019-07-11 Totic Technology Inc. Method and apparatus for performing layout designs using stem cells

Also Published As

Publication number Publication date
TW202234654A (zh) 2022-09-01
CN114709207A (zh) 2022-07-05
US20220278099A1 (en) 2022-09-01

Similar Documents

Publication Publication Date Title
TWI817366B (zh) 積體電路、半導體結構及其形成方法
TW202022880A (zh) 積體電路裝置及其電路和操作方法
US11664311B2 (en) Method and structure to reduce cell width in semiconductor device
KR102558320B1 (ko) 집적 회로 디바이스 및 방법
US20220199608A1 (en) Integrated circuit with backside power rail and backside interconnect
US20230261003A1 (en) Integrated circuit device and method
US20220384274A1 (en) Method and system for manufacturing integrated circuit device
US12009356B2 (en) Integrated circuit and method of forming the same
KR102459561B1 (ko) 집적 회로 디바이스 및 방법
KR102367627B1 (ko) 집적 회로
US20230022333A1 (en) Integrated circuit and method of forming the same
US11651133B2 (en) Integrated circuit and method of forming same
TWI753464B (zh) 半導體、積體電路元件及其製造方法
CN113314529A (zh) 集成电路装置
US20220278091A1 (en) Circuits designed and manufactured with first and second fin boundaries
TWI847793B (zh) 積體電路驅動器、積體電路及製造積體電路裝置的方法
TWI831276B (zh) 積體電路及其形成方法
US11995388B2 (en) Integrated circuit and method of forming same
US11935830B2 (en) Integrated circuit with frontside and backside conductive layers and exposed backside substrate
US20230387128A1 (en) Integrated circuit and method of forming the same
TW202349657A (zh) 積體電路結構及其製造方法
KR20230115861A (ko) 동일한 기능을 갖는 좁은 폭 셀 및 더 넓은 폭 셀을 갖는 집적 회로를 구현하는 방법