TWI847793B - 積體電路驅動器、積體電路及製造積體電路裝置的方法 - Google Patents

積體電路驅動器、積體電路及製造積體電路裝置的方法 Download PDF

Info

Publication number
TWI847793B
TWI847793B TW112125718A TW112125718A TWI847793B TW I847793 B TWI847793 B TW I847793B TW 112125718 A TW112125718 A TW 112125718A TW 112125718 A TW112125718 A TW 112125718A TW I847793 B TWI847793 B TW I847793B
Authority
TW
Taiwan
Prior art keywords
metal
segment
hole
gate
gate structure
Prior art date
Application number
TW112125718A
Other languages
English (en)
Inventor
陳佳惠
鐘淑維
閻桂鳳
張家榮
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI847793B publication Critical patent/TWI847793B/zh

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種IC驅動器包括與第二類型電晶體的疊接佈置串聯耦 合的第一類型電晶體的疊接佈置,第二類型電晶體不同於第一類型電晶體。每一疊接佈置包括:主動區域,在第一方向上延伸;閘極結構,垂直於第一方向延伸且在與疊接佈置的電晶體對應的位置處上覆於主動區域上;第一金屬段至第四金屬段,在IC的第一金屬層中在第一方向上延伸;第一通孔及第二通孔,將相應的第一閘極結構及第二閘極結構電性耦合至第一金屬段及第二金屬段;第三通孔,將疊接佈置的源極端子電性耦合至第三金屬段;以及第四通孔,將疊接佈置的汲極端子電性耦合至第四金屬段。第三金屬段與第四金屬段沿著第一方向對齊。

Description

積體電路驅動器、積體電路及製造積體電路裝 置的方法
本發明實施例是有關於一種積體電路驅動器、積體電路及製造積體電路裝置的方法。
積體電路(integrated circuit,IC)不斷微型化的趨勢已使得出現相較於早期技術消耗較少電力但以更高速度提供更多功能性的越來越小的裝置。此種微型化是藉由與日益嚴格的規範相聯繫的設計創新及製造創新來達成。使用各種電子設計自動化(electronic design automation,EDA)工具來在確保滿足IC結構設計規範及製造規範的同時產生、修訂及驗證半導體裝置的設計。
本發明實施例提供一種積體電路(IC)驅動器包括與第二類型電晶體的疊接佈置串聯耦合的第一類型電晶體的疊接佈置, 第二類型電晶體不同於第一類型電晶體。第一類型電晶體的疊接佈置及第二類型電晶體的疊接佈置中的每一者包括:主動區域,在第一方向上延伸;多個閘極結構,在與第一方向垂直的第二方向上延伸且在與疊接佈置的電晶體對應的位置處上覆於主動區域上;第一金屬段、第二金屬段、第三金屬段及第四金屬段,在IC的第一金屬層中在第一方向上延伸;第一通孔及第二通孔,經配置成將多個閘極結構中相應的第一閘極結構及第二閘極結構分別電性耦合至第一金屬段及第二金屬段;第三通孔,經配置成將疊接佈置的源極端子電性耦合至第三金屬段;以及第四通孔,經配置成將疊接佈置的汲極端子電性耦合至第四金屬段,其中第三金屬段與第四金屬段沿著第一方向對齊。
本發明實施例提供一種積體電路(IC)包括電晶體的疊接佈置。電晶體的疊接佈置包括:第一主動區域、第二主動區域、第三主動區域及第四主動區域,在第一方向上延伸;第一閘極結構、第二閘極結構、第三閘極結構、第四閘極結構、第五閘極結構及第六閘極結構,在與第一方向垂直的第二方向上延伸且在與疊接佈置的電晶體對應的位置處上覆於第一主動區域、第二主動區域、第三主動區域及第四主動區域中的每一者上;第一金屬段、第二金屬段及第三金屬段,在上覆於第一閘極結構、第二閘極結構、第三閘極結構、第四閘極結構、第五閘極結構及第六閘極結構上的第一金屬層中在第一方向上延伸;第一多個對齊金屬段、第二多個對齊金屬段、第三多個對齊金屬段、第四多個對齊金屬段及第五多個對齊 金屬段,在上覆於第一閘極結構、第二閘極結構、第三閘極結構、第四閘極結構、第五閘極結構及第六閘極結構上的第一金屬層中在第一方向上延伸;第一通孔及第二通孔,經配置成將第一閘極結構及第二閘極結構電性耦合至第一金屬段;第三通孔及第四通孔,經配置成將第三閘極結構及第四閘極結構電性耦合至第二金屬段;第五通孔及第六通孔,經配置成將第五閘極結構及第六閘極結構電性耦合至第三金屬段;第七通孔、第八通孔、第九通孔、第十通孔及第十一通孔,經配置成將疊接佈置的第一源極端子電性耦合至第一多個對齊金屬段、第二多個對齊金屬段、第三多個對齊金屬段、第四多個對齊金屬段及第五多個對齊金屬段中的每一者中的第一對齊金屬段;第十二通孔、第十三通孔、第十四通孔、第十五通孔及第十六通孔,經配置成將疊接佈置的汲極端子電性耦合至第一多個對齊金屬段、第二多個對齊金屬段、第三多個對齊金屬段、第四多個對齊金屬段及第五多個對齊金屬段中的每一者中的第二對齊金屬段;以及第十七通孔、第十八通孔、第十九通孔、第二十通孔及第二十一通孔,經配置成將疊接佈置的第二源極端子電性耦合至第一多個對齊金屬段、第二多個對齊金屬段、第三多個對齊金屬段、第四多個對齊金屬段及第五多個對齊金屬段中的每一者中的第三對齊金屬段。
本發明實施例提供一種製造積體電路(IC)裝置的方法包括:在延伸跨越半導體基底中的第一主動區域、第二主動區域、第三主動區域及第四主動區域的第一MD段上形成第一通孔、第二 通孔、第三通孔、第四通孔及第五通孔;在延伸跨越第一主動區域、第二主動區域、第三主動區域及第四主動區域的第二MD段上形成第六通孔、第七通孔、第八通孔、第九通孔及第十通孔;在延伸跨越第一主動區域、第二主動區域、第三主動區域及第四主動區域的第三MD段上形成第十一通孔、第十二通孔、第十三通孔、第十四通孔及第十五通孔;在對應的第一閘極結構、第二閘極結構及第三閘極結構上形成第十六通孔、第十七通孔及第十八通孔,第一閘極結構、第二閘極結構及第三閘極結構在第一MD段與第二MD段之間延伸跨越第一主動區域、第二主動區域、第三主動區域及第四主動區域;在對應的第四閘極結構、第五閘極結構、第六閘極結構及第七閘極結構上形成第十九通孔、第二十通孔及第二十一通孔,第四閘極結構、第五閘極結構、第六閘極結構及第七閘極結構在第二MD段與第三MD段之間延伸跨越第一主動區域、第二主動區域、第三主動區域及第四主動區域;在第十六通孔及第十九通孔上形成第一金屬段,在第十七通孔及第二十通孔上形成第二金屬段,且在第十八通孔及第二十一通孔上形成第三金屬段;以及在第一通孔、第二通孔、第三通孔、第四通孔及第五通孔中的對應通孔上形成第一多個對齊金屬段、第二多個對齊金屬段、第三多個對齊金屬段、第四多個對齊金屬段及第五多個對齊金屬段中的每一者中的第一對齊金屬段,在第六通孔、第七通孔、第八通孔、第九通孔及第十通孔中的對應通孔上形成第一多個對齊金屬段、第二多個對齊金屬段、第三多個對齊金屬段、第四多個對齊金屬段及第 五多個對齊金屬段中的每一者中的第二對齊金屬段,且在第十一通孔、第十二通孔、第十三通孔、第十四通孔及第十五通孔中的對應通孔上形成第一多個對齊金屬段、第二多個對齊金屬段、第三多個對齊金屬段、第四多個對齊金屬段及第五多個對齊金屬段中的每一者中的第三對齊金屬段。
100:電路
100D:驅動器
200、200-1、200-2、300A、300B、300C、300D、400A、400B、400C、400D:IC佈局
500、600:方法
510、520、530、540、610、620、630、640、650:操作
700:系統
702:處理器
704:儲存媒體
706:電腦程式碼/指令
707:IC佈局庫
708:匯流排
710:輸入/輸出(I/O)介面
712:網路介面
714:網路
742:使用者介面(UI)
800:系統
820:設計機構
822:設計佈局圖
830:罩幕機構
832:資料準備
844:罩幕製作
845:罩幕
850:IC製造商/IC製作廠
852:製作工具
853:半導體晶圓
860:IC裝置
A、B、C、F:寬度
AA、AA1、AA2、AA3、AA4:主動區/主動區域
CM:金屬切割區
G1、G2~Gx、GS:閘極區/閘極結構
G1A、G1B、G2A、G2B、G3A、G3B:閘極區/閘極結構
GN、GP:閘極控制訊號
L1、L2、L3:邏輯電路
LS1、LS2、LS3:位準移位器
M1、M2、M3、M4、M5、M6、M7、M8、M9、M10、M11、M12、M13、M14、M15:金屬區/金屬段
MD、MD1、MD2、MD3、MD4、MD5、MD6、MD7:類金屬界定(MD)區/MD段
MDD:MD區/MD段/汲極端子/區/段
MDS1、MDS2:MD區/MD段/源極端子/區/段
MP:金屬部分/金屬區/金屬段
MS:金屬區/金屬段/金屬零區/金屬零段
N1、N2、P1、P2:電晶體
ND:節點/汲極節點
NS:節點/源極節點
OUT:訊號
OUTP:輸出節點/輸出端子
P-P’:線
T1、T2~Tx:電晶體
VD:通孔區/通孔結構/汲極端子通孔/通孔
VDD、VDDL:電源電壓/電源節點
VDDPST:電源電壓/電源節點/電源電壓節點
VG:通孔區/通孔結構/閘極通孔/通孔
VS:通孔區/通孔結構/源極端子通孔/通孔
VSS:電源參考電壓/參考節點
VSSH:電源電壓/電源參考電壓/參考節點
X、Y:方向
藉由結合附圖閱讀以下詳細說明,會最佳地理解本揭露的態樣。應注意,根據行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1是根據一些實施例的IC輸出電路的圖。
圖2A是根據一些實施例的IC驅動器裝置的示意圖。
圖2B是根據一些實施例的IC驅動器佈局及IC驅動器裝置的圖。
圖2C是根據一些實施例的IC驅動器佈局及IC驅動器裝置的圖。
圖2D是根據一些實施例的IC驅動器佈局及IC驅動器裝置的圖。
圖2E及圖2F是根據一些實施例的IC驅動器佈局及IC驅動器裝置的平面圖。
圖2G是根據一些實施例的IC驅動器佈局及IC驅動器裝置 的剖視圖。
圖3A至圖3D是根據一些實施例的IC佈局圖及對應的IC驅動器裝置的平面圖。
圖4A至圖4D是根據一些實施例的IC佈局圖及對應的IC驅動器裝置的平面圖。
圖5是根據一些實施例的製造IC驅動器裝置的方法的流程圖。
圖6是根據一些實施例的產生IC佈局圖的方法的流程圖。
圖7是根據一些實施例的IC佈局圖產生系統的方塊圖。
圖8是根據一些實施例的IC製造系統以及與IC製造系統相關聯的IC製造流程的方塊圖。
以下揭露內容提供用於實施所提供標的物的不同特徵的諸多不同實施例或實例。以下闡述組件、值、步驟、操作、材料、佈置或類似要素的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。預期存在其他組件、值、操作、材料、佈置或類似要素。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複 使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「位於...之下(beneath)」、「位於...下方(below)」、「下部的(lower)」、「位於...上方(above)」、「上部的(upper)」及類似用語等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
在各種實施例中,基於IC佈局圖的IC驅動器裝置包括具有中斷(discontinuity)(例如,對應於金屬切割區(cut-metal region))的對齊的第一金屬層段,由此疊接電晶體佈置的源極端子與汲極端子能夠沿著不連續的第一金屬段對齊。藉由包括被劃分至源極連接部與汲極連接部中的對齊金屬段,疊接電晶體佈置相較於其他方法中的疊接電晶體佈置能夠包括更多數目的並聯連接部,由此減小後端(back-end,BE)路徑電阻並改善速度效能,尤其是當包括於輸出電路(例如,僅基於製造製程的核心電晶體的輸入/輸出(input/output,I/O)電路)的驅動器級(driver stage)中時。
如以下所論述,圖1是包括驅動器電路實施例的輸出電路的示意圖,圖2A是疊接電晶體佈置的實施例的示意圖,且圖2B 至圖4D中的每一者繪示出對應的裝置/佈局圖實施例的平面圖及/或剖視圖,其中參考標記符表示IC裝置特徵及IC佈局特徵二者,所述IC佈局特徵在製造製程(例如,以下針對圖5論述的方法500及/或與以下針對圖8論述的IC製造系統800相關聯的IC製造流程)中用於至少部分地對對應的IC裝置特徵進行界定。在一些實施例中,圖2B至圖4D中的一或多者是藉由執行以下針對圖6論述的方法600的操作中的一些操作或全部操作(例如,使用以下針對圖7論述的系統700)產生的IC佈局圖中的一些IC佈局圖或全部IC佈局圖。因此,圖2B至圖4D中的每一者表示IC佈局圖及對應的IC裝置二者的平面圖或剖視圖。
出於例示目的而對本文中各圖(例如,圖2B至圖4D)中的每一者進行簡化。所述各圖是IC結構及IC裝置的視圖,為便於進行以下論述而包括及排除各種特徵。在各種實施例中,除了圖2B至圖4D中所繪示的特徵之外,IC結構、裝置及/或佈局圖亦包括與電力分配結構、金屬內連線、接觸件、通孔、閘極結構、源極/汲極(source/drain,S/D)結構、塊狀連接部或其他電晶體元件、隔離結構或類似結構對應的一或多個特徵。
圖1是根據一些實施例的輸出電路100的示意圖。輸出電路100(在一些實施例中亦被稱為電路100或輸入/輸出(I/O)電路100)是包括驅動器100D(在一些實施例中亦被稱為驅動器級100D或後置驅動器(post-driver)100D)的積體電路(IC),所述積體電路(IC)經配置成在輸出節點OUTP(在一些實施例中亦 被稱為輸出端子OUTP或輸出接墊OUTP)上輸出訊號OUT。
在圖1中所繪示的實施例中,電路100包括邏輯電路L1至L3及位準移位器LS1至LS3、經配置成接收相應的電源電壓VDD、VDDL及VDDPST的電源節點VDD、VDDL及VDDPST、以及經配置成接收相應的電源電壓VDD及VSSH的參考節點VSS及VSSH。在一些實施例中,電源參考電壓VSS或VSSH中的一者或二者具有0伏特(Volt,V)電壓位準(例如,接地電壓位準)。
邏輯電路L1經配置成接收電源電壓VDD、電源參考電壓VSS以及一或多個輸入訊號(未標記)。位準移位器LS1耦合至邏輯電路L1且經配置成接收電源電壓VDDL、電源參考電壓VSS及來自邏輯電路L1的輸出訊號(未標記)。位準移位器LS2與邏輯電路L2串聯耦合至位準移位器LS1,並且經配置成接收電源電壓VDDPST、電源參考電壓VSSH及來自位準移位器LS1的輸出訊號(未標記)且向驅動器100D輸出閘極控制訊號GP。位準移位器LS3與邏輯電路L3串聯耦合至邏輯電路L1,並且經配置成接收電源電壓VDDL、電源參考電壓VSS及來自邏輯電路L1的輸出訊號(未標記)且向驅動器100D輸出閘極控制訊號GN。
電路100由此經配置成基於所述一或多個輸入訊號及電源電壓VDD、VDDL及VDDPST的電壓位準以及電源參考電壓VSS及VSSH的電壓位準來產生閘極控制訊號GP及GN。
電源電壓VDD具有與包括電路100的IC的核心電源域(power domain)對應的核心電壓位準。在一些實施例中,電路100 僅包括電晶體(例如,以下論述的電晶體P1、P2、N1及N2),所述電晶體經配置成在核心電壓位準下進行操作且可能被高於核心電壓位準的電壓損壞。
在一些實施例中,電源電壓VDD、VDDL及VDDPST中的每一者具有核心電壓位準(例如,0.75伏特),電源參考電壓VSS及VSSH中的每一者具有接地電壓位準,且電路100經配置成基於相對於接地的核心電壓位準輸出訊號OUT。
在一些實施例中,電源電壓VDDL或VDDPST中的一者或二者具有高於核心電壓位準的一或多個電壓位準(例如,分別為0.75伏特及1.2伏特),電源參考電壓VSSH具有高於電源參考電壓VSS(例如,接地)的電壓位準的電壓位準(例如,0.45伏特),且電路100經配置成基於電源電壓VDDPST相對於電源參考電壓VSS的電壓位準來輸出訊號OUT。
驅動器100D包括耦合於電源節點VDDPST與輸出節點OUTP之間且經配置成接收閘極控制訊號GP的p型金屬氧化物半導體(p-type metal-oxide-semiconductor,PMOS)電晶體P1及P2的疊接佈置以及耦合於輸出節點OUTP與參考節點VSS之間且經配置成接收閘極控制訊號GN的n型金屬氧化物半導體(n-type metal-oxide-semiconductor,NMOS)電晶體N1及N2的疊接佈置。驅動器100D的疊接電晶體佈置中的每一者包括以下針對圖2A至圖4D論述的IC驅動器裝置200至400D(在一些實施例中亦被稱為IC驅動器200至400D)中的一者。
圖1中所繪示的包括驅動器100D的電路100的配置(共包括作為第一類型電晶體的兩個PMOS電晶體P1及P2以及作為第二類型電晶體的兩個NMOS電晶體N1及N2)是出於例示目的而提供的非限制性示例。在各種實施例中,電路100包括驅動器100D,驅動器100D共包括多於兩個的PMOS電晶體P1及P2以及多於兩個的NMOS電晶體N1及N2,且邏輯電路L2及L3經配置成分別輸出對應數目的閘極控制訊號GP及GN。
在一些實施例中,電路100包括驅動器100D,驅動器100D包括與PMOS電晶體不同的第一類型電晶體(例如,與PMOS電晶體或NMOS電晶體不同的p型電晶體)及/或與NMOS電晶體不同的第二類型電晶體(例如,與NMOS電晶體或PMOS電晶體不同的n型電晶體)。
在各種實施例中,電路100包括電源節點、參考節點、邏輯電路、位準移位器及/或以其他方式經配置成向驅動器100D輸出閘極控制訊號GP及GN的附加電路。
藉由包括包含疊接電晶體佈置(所述疊接電晶體佈置包括IC驅動器裝置200至400D的實例)的驅動器100D,電路100能夠達成以下論述的IC驅動器裝置200至400D的有益效果。
圖2A是根據一些實施例的IC驅動器裝置200的示意圖;圖2B繪示出IC驅動器裝置200(亦被稱為IC佈局圖200或IC佈局/IC裝置200)的對應裝置/佈局圖的平面圖;圖2C及圖2D繪示出IC佈局/IC裝置200-1及200-2的平面圖,所述IC佈局/IC裝 置200-1及200-2是IC佈局/IC裝置200的非限制性示例;且圖2E至圖2G繪示出根據一些實施例的IC佈局/IC裝置200的實施例的平面圖及對應的剖視圖。
IC佈局/IC裝置200、200-1及200-2中的每一者對應於能夠包括於以上論述的電路100的驅動器100D中的電晶體的疊接佈置。電晶體的疊接佈置中的每一電晶體包括多個電晶體實施方案的並聯配置,每一實施方案包括與對應的閘極結構(在以下進行論述)相交的至少兩個主動區域部分。
如圖2A中所繪示,IC驅動器裝置200包括耦合於節點NS與節點ND(在一些實施例中亦被稱為源極節點NS及汲極節點ND)之間的共x個電晶體T1至Tx的疊接佈置,且電晶體T1至Tx包括經配置成接收對應的閘極控制訊號G1至Gx的對應的閘極區/閘極結構G1至Gx。在各種實施例中,IC驅動器裝置200包括總數為x=2、3或更多數目的電晶體。
電晶體T1(且由此電晶體T1至Tx的疊接佈置)包括電性耦合至節點NS的一或多個源極端子。電晶體Tx(且由此電晶體T1至Tx的疊接佈置)包括電性耦合至節點ND的一或多個汲極端子。
在一些實施例中,包括經配置成接收閘極控制訊號G1至Gx的閘極區/閘極結構G1至Gx的電晶體T1至Tx包括PMOS電晶體(例如,經配置成接收閘極控制訊號GP的電晶體P1及P2),節點NS對應於電源電壓節點(例如,電源電壓節點VDDPST), 且節點ND對應於輸出節點(例如,輸出節點OUTP),所述電晶體及所述節點各自在以上針對圖1進行論述。
在一些實施例中,包括經配置成接收閘極控制訊號G1至Gx的閘極區/閘極結構G1至Gx的電晶體T1至Tx包括NMOS電晶體(例如,經配置成接收閘極控制訊號GN的電晶體N1及N2),節點NS對應於電源參考節點(例如,參考節點VSS),且節點ND對應於輸出節點(例如,輸出節點OUTP),所述電晶體及所述節點各自在以上針對圖1進行論述。
圖2B至圖2F中的每一者繪示出IC佈局/IC裝置200的各種實施例的特徵沿著X方向及Y方向的平面圖。在圖2B至圖2D中所繪示的實施例中,IC佈局/IC裝置200包括金屬區/金屬段MS的一或多個實例及/或主動區/主動區域AA的實例,所述金屬區/金屬段MS及所述主動區/主動區域AA各自在X方向上延伸且沿著Y方向與金屬區/金屬段MS的所述一或多個實例及/或主動區/主動區域AA的實例中的另一者對齊,如以下所論述。
在一些實施例中,如圖2E中所示,圖2B至圖2D中所繪示的金屬區/金屬段MS的實例被金屬切割區CM的實例分離成金屬部分MP的實例。在一些實施例中,圖2B至圖2D中所繪示的金屬區/金屬段MS的實例對應於藉由間隔(space)(大於或等於最小間距規則距離)而隔開的多個單獨界定的金屬區/金屬段(被表示為金屬區/金屬段MP)而非金屬切割區CM的實例,如圖2F中所示。圖2E及圖2F中所繪示的平面圖中的每一者由此對應於 圖2G中所繪示的剖視圖。
如圖2B至圖2G中所繪示,IC佈局/IC裝置200亦包括通孔區/通孔結構VS、VD及VG(在一些實施例中亦被稱為源極端子通孔VS、汲極端子通孔VD及閘極通孔VG)的實例、閘極區/閘極結構G1至Gx及GS的實例以及類金屬界定(metal-like defined,MD)區/MD段MDS1、MDS2、MDD及MD的實例。在一些實施例中,MD區/MD段MDS1、MDS2及MDD分別被稱為源極端子MDS1及MDS2以及汲極端子MDD。
在一些實施例中,IC佈局/IC裝置200包括除圖2B至圖2G中所繪示的特徵之外的各種特徵,例如一或多個電力軌條(power rail)或其他金屬內連件、金屬區/金屬段MS的一或多個附加實例及/或主動區/主動區域AA(例如以下針對圖3A至圖4D論述的主動區/主動區域AA1至AA4)的一或多個實例。
主動區/主動區域(例如,主動區/主動區域AA或AA1至AA4)是在IC佈局圖中作為在半導體基底中對主動區域進行界定的一部分(亦被稱為氧化物擴散(oxide diffusion OD)或氧化物界定(oxide definition OD))而被包括於製造製程中的區,所述半導體基底直接位於或位於其中形成有一或多個IC裝置特徵(例如,S/D結構)的n阱區/n阱區域或p阱區/p阱區域(為清晰起見而未示出)中。在一些實施例中,主動區域是平面電晶體、鰭場效電晶體(fin field-effect transistor,FinFET)或全環繞閘極(gate-all-around,GAA)電晶體的n型主動區域或p型主動區域。在各種實 施例中,主動區域(主動結構)包含半導體材料(例如,矽(Si)、矽-鍺(SiGe)、碳化矽(SIC)或類似材料)、摻雜劑材料(例如,硼(B)、磷(P)、砷(As)、鎵(Ga))中的一或多者或另一合適的材料。
在一些實施例中,主動區域是在IC佈局圖中作為對奈米片材結構(例如,具有n型摻雜或p型摻雜的一或多種半導體材料形成的一或多個層的連續體積(continuous volume))進行界定的一部分而被包括於製造製程中的區。在各種實施例中,各別的奈米片材層包括給定半導體材料形成的單一個單層或多個單層。
MD區/MD段(例如,區/段MDS1、MDS2、MDD或MD)是在IC佈局圖中作為在半導體基底中及/或半導體基底上對MD段(亦被稱為導電段或MD導電線或導電跡線)進行界定的一部分而被包括於製造製程中的導電區。在一些實施例中,MD段包括至少一個金屬層(例如,接觸層)的一部分,所述金屬層上覆於基底上且與所述基底接觸並且具有足夠小的厚度以使得能夠在MD段與上覆的金屬層(例如,第一金屬層)之間形成絕緣層。在各種實施例中,MD段包含銅(Cu)、銀(Ag)、鎢(W)、鈦(Ti)、鎳(Ni)、錫(Sn)、鋁(Al)中的一或多者或適合在IC結構元件之間提供低電阻電性連接件(即,低於預定臨限值的電阻位準,所述預定臨限值對應於對電路效能的基於電阻的影響的一或多個容限位準)的另一金屬或材料。
在各種實施例中,MD段包括半導體基底及/或磊晶層的 區段,所述區段具有例如基於植入製程的足以使所述區段具有低電阻位準的摻雜位準。在各種實施例中,經摻雜MD段包含摻雜濃度為約1*1016每立方公分(per cubic centimeter,cm-3)或大於1*1016每立方公分的一或多種摻雜劑材料。
在一些實施例中,一製造製程包括兩個MD層,且MD區/MD段是指該製造製程中的所述兩個MD層中的一者或二者。
在一些實施例中,MD區/MD段與主動區/主動區域交疊的位置對應於電晶體的包括對應的主動區/主動區域及MD區/MD段的相關部分的S/D區/S/D結構。
閘極區/閘極結構(例如,閘極區/閘極結構G1至Gx或GS)是在IC佈局圖中作為對閘極結構進行界定的一部分而被包括於製造製程中的區。閘極結構是包括一或多個導電段(例如,閘極電極)的體積,包含實質上被一或多種絕緣材料環繞的一或多種導電材料(例如,多晶矽、銅(Cu)、鋁(Al)、鎢(W)、鈷(Co)、釕(Ru)或者一或多種其他金屬或其他合適的材料),所述一或多個導電段由此經配置為對提供至相鄰閘極介電層的電壓進行控制。
介電層(例如,閘極介電層)是包含一或多種絕緣材料(例如,二氧化矽、氮化矽(Si3N4))及/或一或多種其他合適的材料(例如介電常數值(kvalue)小於3.8的低介電常數材料或介電常數值大於3.8或7.0的高介電常數材料(例如氧化鋁(Al2O3)、氧化鉿(HfO2)、五氧化二鉭(Ta2O5)或氧化鈦(TiO2)))的體積,所述體積適合在IC結構元件之間提供高電阻(即,高於預定臨限值的 電阻位準,所述預定臨限值對應於對電路效能的基於電阻的影響的一或多個容限位準)。
金屬區/金屬段(例如,金屬區/金屬段MS)是在IC佈局圖中作為對金屬段結構進行界定的一部分而被包括於製造製程中的區,所述金屬段結構在製造製程的給定金屬層中包含一或多種導電材料,例如多晶矽、銅(Cu)、鋁(Al)、鎢(W)、鈷(Co)、釕(Ru)或者一或多種其他金屬或其他合適的材料。在各種實施例中,金屬區/金屬段對應於製造製程的第一金屬層(在一些實施例中亦被稱為金屬零層)或者第二金屬層或更高層級的金屬層。
金屬切割區(例如,金屬切割區CM)是在IC佈局圖中作為對給定金屬段中的中斷進行界定的一部分而被包括於製造製程中的區,由此將對應的相鄰金屬段部分(例如,金屬部分MP)彼此電性隔離。
通孔區/通孔結構(例如,通孔區/通孔結構VS、VD或VG)是在IC佈局圖中作為對包含一或多種導電材料的通孔結構進行界定的一部分而被包括於製造製程中的區,所述一或多種導電材料經配置成提供上覆於導電結構(例如,金屬段MS)與下伏的導電結構之間的電性連接件。下伏的導電結構在通孔區/通孔結構VS或VD的情形中對應於MD段或S/D結構且在通孔區/通孔結構VG的情形中對應於閘極電極。
每一通孔區/通孔結構VS包括於與疊接佈置的源極端子對應的下伏MD區/MD段與節點NS之間的電性連接件(為清晰 起見而未繪示出)中,且每一通孔區/通孔結構VD包括於與疊接佈置的汲極端子對應的下伏MD區/MD段與節點ND之間的電性連接件(為清晰起見而未繪示出)中。在一些實施例中,電性連接件包括一或多個通孔區/通孔結構及一或多個金屬區/金屬段,所述金屬區/金屬段與對應的通孔區/通孔結構VS或VD交疊或上覆於對應的通孔區/通孔結構VS或VD上。
如圖2B中所繪示,通孔區/通孔結構VS的實例在Y方向上與MD區/MD段MDS1對齊,此指示通孔區/通孔結構VS的實例與MD區/MD段MDS1之間的電性連接件,通孔區/通孔結構VD的實例在Y方向上與MD區/MD段MDD對齊,此指示通孔區/通孔結構VD的實例與MD區/MD段MDD之間的電性連接件,且通孔區/通孔結構VS的實例在Y方向上與MD區/MD段MDS2對齊,此指示通孔區/通孔結構VS的實例與MD區/MD段MDS2之間的電性連接件。
在各種實施例中,通孔區/通孔結構的實例在與主動區/主動區域AA交疊/上覆於主動區/主動區域AA上的位置處或者在Y方向上相對於主動區/主動區域AA偏置開(例如,與和並聯電晶體配置對應的另一主動區/主動區域(未在圖2B中繪示出)交疊/上覆於所述另一主動區/主動區域上)的位置處電性連接至對應的MD區/MD段,所述另一主動區/主動區域是例如以下針對圖3A至圖4D論述的主動區/主動區域AA1至AA4。
圖2A至圖2G中所繪示的通孔區/通孔結構VS及VD的 數目、對應的MD區/MD段MDS1、MDD及MDS2的數目以及主動區/主動區域AA的數目是出於例示目的而提供的非限制性示例。其他數目的對應特徵亦處於本揭露的範圍內。
在圖2B中所繪示的實施例中,閘極區/閘極結構G1至Gx中的每一者的實例及MD區/MD段的對應實例(為清晰起見而未標記)與MD區/MD段MDD(電晶體的疊接佈置的汲極端子)和MD區/MD段MDS1及MDS2(電晶體的疊接佈置的源極端子)中的每一者之間的主動區/主動區域AA相交。在一些實施例中,閘極區/閘極結構G1至Gx中的每一者的一或多個附加實例以及MD區/MD段的對應實例與MD區/MD段MDS1及/或MDS2及/或其他MD區/MD段之間的主動區/主動區域AA相交,所述其他MD區/MD段經配置為電晶體的疊接佈置的附加汲極端子及/或附加源極端子。
在圖2B中所繪示的實施例中,源極端子或汲極端子的單一實例(例如,MD區/MD段MDS1、MDS2或MDD)對應於相應的通孔區/通孔結構VS或VD的單一實例。在一些實施例(例如,以下針對圖3A至圖4D論述的IC佈局/IC裝置300A至400D)中,源極端子或汲極端子的單一實例對應於以並聯方式電性連接於對應的源極端子或汲極端子與節點NS或ND之間的相應通孔區/通孔結構VS或VD的多個實例。
如圖2B中所繪示,通孔區/通孔結構VS及VD的實例在X方向上沿著金屬區/金屬段MS定位於與源極端子及汲極端子的 相應實例(例如,MD區/MD段MDS1、MDS2及MDD)對應的位置處。在所述位置中的每一者之間,金屬區/金屬段MS被一或多個金屬切割區CM分離成二或更多個金屬部分MP,金屬部分MP的數目較金屬切割區CM的數目多一個。
在圖2A至圖2G中所繪示的實施例中,金屬區/金屬段MS定位於用於形成IC裝置200的製造製程的第一金屬層中,且金屬切割區CM對應於第一金屬層。在一些實施例中,金屬區/金屬段MS被稱為金屬零區/金屬零段MS,且金屬切割區CM被稱為金屬切割零區CM。
在一些實施例中,如圖2B至圖2E及圖3A至圖4D中所繪示,對應的IC佈局圖包括與金屬段(例如,金屬段MS)中的中斷對應的每一金屬切割區CM,使得對應的IC裝置中的相鄰的金屬部分(例如,金屬部分MP)在X方向上對齊且彼此電性隔離。
在一些實施例中,圖2B至圖2D、圖2F及圖3A至圖4D中所繪示的給定金屬段表示對應的佈局圖/裝置中的二或更多個電性隔離的金屬區/金屬段(例如,金屬區/金屬段MP),所述二或更多個電性隔離的金屬區/金屬段在X方向上對齊且被除金屬切割區之外的中斷(例如,金屬區/金屬段的基於金屬區的用於至少部分地界定給定金屬段的不連續部分的自然端部(natural end))隔開。在此種實施例中,對應的IC佈局圖不包括金屬切割區CM的實例,且在以下的論述中對金屬部分(例如,金屬部分MP)的引用對應 於金屬區/金屬段(例如,金屬區/金屬段MP)的實例。
在圖2B中所繪示的實施例中,金屬區/金屬段MS被劃分成與通孔區/通孔結構VS及VD的實例之間的三個金屬切割區CM(或其他中斷)對應的共四個金屬部分MP。在各種實施例中,基於通孔區/通孔結構VS及VD的實例之間的少一個的金屬切割區CM(或其他中斷)的對應數目而將金屬區/金屬段MS劃分成共少於四個或多於四個的金屬部分MP。
位於金屬切割區CM(或其他中斷)之間且不與源極端子或汲極端子電性連接的給定金屬部分MP除了與相鄰的金屬部分MP電性隔離之外亦經配置成與其他IC特徵電性隔離且由此經配置成電性浮置的。因此,通孔區/通孔結構VS及VD的實例之間的浮置金屬部分MP的總數目N-1較通孔區/通孔結構VS及VD的實例之間的金屬切割區CM(或其他中斷)的總數目N少一個。
對於定位於相鄰的金屬部分MP之間的給定介電材料而言,對應電壓位準的最大差端視對應金屬切割區CM(或其他中斷)的寬度C而定。節點NS/ND電壓的總體最大差進一步端視通孔區/通孔結構VS及VD的實例之間的浮置金屬部分MP的總數目N-1而定,使得節點NS/ND電壓差的最大差隨著浮置金屬部分MP的數目N-1增加而增大。
隨著寬度C的值增大,寬度C上能夠承受的對應電壓位準的最大差亦增大。在一些實施例中,寬度C對應於金屬切割區CM且具有介於自3奈米(nm)至30奈米的範圍內的值。在一些 實施例中,寬度C對應於金屬切割區CM且具有介於自5奈米至20奈米的範圍內的值。在一些實施例中,寬度C對應於金屬部分MP的自然端部且具有介於自30奈米至75奈米的範圍內的值。在一些實施例中,寬度C對應於金屬部分MP的自然端部且具有介於自35奈米至60奈米的範圍內的值。
通孔區/通孔結構VS與通孔區/通孔結構VD之間的距離端視電晶體T1至Tx的總數目x而定,且由此端視閘極區/閘極結構G1至Gx及接觸多晶矽節距(contact poly-pitch,cpp)(相鄰的閘極區/閘極結構G1至Gx的中心之間的距離)而定。沿著X方向,電性連接至通孔區/通孔結構VD的金屬部分MP具有寬度A,電性連接至通孔區/通孔結構VS的金屬部分MP具有寬度B,金屬切割區CM(或其他中斷)具有寬度C,且浮置金屬部分MP具有寬度F。在各種實施例中,寬度A、B及F中的每一者具有相同的值,寬度A、B或F中的一者具有與寬度A、B或F中的另一者的值不同的值,或者寬度A、B及F中的每一者具有唯一的值。
對於其中電晶體的總數目x大於或等於二的實施例而言,圖2B中所繪示的通孔區/通孔結構VS的相鄰實例的中心之間的距離由以下方程式給出2*cpp*x=A+B+2*N*C+2*(N-1)*F (1)
在一些實施例中,由此能夠基於節點NS/ND電壓的總體最大差、疊接佈置中的電晶體T1至Tx的數目x、以及用於形成IC佈局/IC裝置200的製造製程的尺寸及間距設計規則來確定定 位於通孔區/通孔結構VS及VD的實例之間的金屬切割區CM(或其他中斷)的總數目N的值。
圖2C繪示出IC佈局/IC裝置200的非限制性示例(IC佈局/IC裝置200-1),其中距離C足夠大以能夠維持整個最大節點VS/VD電壓差,使得通孔區/通孔結構VS及VD的實例之間的金屬切割區CM(或其他中斷)的總數目N等於1。因此,IC佈局/IC裝置200-1不包括浮置金屬部分MP,且通孔區/通孔結構VS的相鄰實例的入口之間的距離由以下方程式給出2*cpp*x=A+B+2*C (2)
圖2D繪示出IC佈局/IC裝置200的非限制性示例(IC佈局/IC裝置200-2),其中距離C不足以大至能夠維持整體最大節點VS/VD電壓差,但足夠大至使得實例通孔區/通孔結構VS及VD之間的金屬切割區CM(或其他中斷)的總數目N等於2能夠維持整體最大節點VS/VD電壓差。因此,IC佈局/IC裝置200-2包括相鄰的通孔區/通孔結構VS與通孔區/通孔結構VD之間的浮置金屬部分MP的單一實例,且通孔區/通孔結構VS的相鄰實例的入口之間的距離由以下方程式給出2*cpp*x=A+B+4*C+2*F (3)
圖2C及圖2D中的每一者進一步繪示出與閘極區/閘極結構G1(在圖2A及圖2B中繪示出)對應的金屬區/金屬段MS的第二實例。通孔區/通孔結構VG的四個實例在X方向上沿著金屬區/金屬段MS對齊,第一對通孔區/通孔結構VG靠近通孔區/通孔 結構VS的第一實例,且第二對通孔區/通孔結構VG靠近通孔區/通孔結構VS的第二實例。金屬切割區CM(或其他中斷)的兩個實例定位於所述兩對通孔區/通孔結構VG之間,使得浮置金屬部分MP的單一實例在所述兩對通孔區/通孔結構VG之間被定位成靠近通孔區/通孔結構VD的實例。
藉由包括此種浮置金屬部分MP,圖2C及圖2D中所繪示的金屬區/金屬段MS的實例能夠彼此相鄰地進行定位,使得與通孔區/通孔結構VD對應的金屬部分MP上的電壓和與通孔區/通孔結構VG對應的金屬部分MP上的電壓之間的差能夠超過基於電性連接至通孔區/通孔結構VG的金屬區/金屬段MS的第二實例的整體的值。
圖2C及圖2D中所繪示的金屬區/金屬段MS的第二實例的實施例是出於例示目的而提供的非限制性示例。在一些實施例中,金屬切割區CM(或其他中斷)的多於兩個的實例定位於所述兩對通孔區/通孔結構VG之間,使得浮置金屬部分MP的二或更多個對應實例定位於所述兩對通孔區/通孔結構VG之間。在一些實施例中,金屬切割區CM(或其他中斷)的實例定位於通孔區/通孔結構VG的單一實例之間。在一些實施例中,金屬區/金屬段MS的第二實例對應於閘極區/閘極結構G2至Gx中的一者。
圖2E及圖2F中的每一者繪示出平面圖,且圖2G繪示出出於例示目的而提供的IC佈局/IC裝置200的非限制性代表性特徵子集沿著線P-P’的相關聯剖視圖(包括X方向及Z方向)。平 面圖及剖視圖中的每一者包括主動區/主動區域AA、與主動區/主動區域AA交疊/上覆於主動區/主動區域AA上的閘極區/閘極結構GS及MD區/MD結構MD的實例、與MD區/MD結構MD的實例交疊/上覆於MD區/MD結構MD的實例上的通孔區/通孔結構VD的實例、以及與通孔區/通孔結構VD的實例交疊/上覆於通孔區通孔結構VD的實例上的金屬部分MP的實例或金屬區/金屬段MP(金屬區/金屬段MS的實例的金屬區/金屬段MP)。
在圖2E中所繪示的實施例中,金屬部分MP的實例對應於與金屬區/金屬段MS的實例相交且具有寬度C的金屬切割區CM的單一實例。在圖2F中所繪示的實施例中,金屬區/金屬段MP的實例對應於金屬區/金屬段MS中不具有金屬切割區CM且具有寬度C的中斷。圖2E及圖2F中的每一者由此對應於圖2G所示剖視圖中所繪示的電性隔離且沿著X方向對齊的金屬部分MP或金屬區/金屬段MP。
藉由以上論述的配置(其中一或多個金屬切割區CM(或其他中斷)定位於通孔區/通孔結構VS及VD的實例之間的金屬段MS中),與和通孔結構VD相鄰的通孔結構VS對應的金屬部分MP彼此電性隔離,由此使得通孔區/通孔結構VS及VD能夠被包括在經配置為承載不同電壓位準的節點NS與節點ND的電性連接件中。
相較於其中單一個金屬段不包括源極電性連接件及汲極電性連接件的組件的方法,包括源極電性連接件及汲極電性連接 件二者的組件的單一個金屬段MS使得IC佈局/IC裝置200的電晶體的疊接佈置能夠針對給定的電路面積而包括更多數目的並聯源極電性連接件與汲極電性連接件。由此減小後端(BE)源極路徑電阻及汲極路徑電阻,且改善速度效能,尤其是當疊接電晶體佈置包括於輸出電路(例如,僅基於製造製程的核心電晶體的輸入/輸出(I/O)電路)的驅動器級中時。
圖3A至圖4D是根據一些實施例的相應IC佈局圖及對應的IC驅動器裝置300A至400D(亦被稱為IC佈局/IC裝置300A至400D)的平面圖。每一IC佈局/IC裝置300A至400D對應於以上針對圖2A至圖2G論述的IC佈局/IC裝置200的實施例,其中疊接佈置包括共3個電晶體T1至T3(為清晰起見,在圖3A至圖4D中未標記)。
圖3A至圖3D中所繪示的實施例對應於以上針對圖2C論述的IC佈局/IC裝置200-1,且圖4A至圖4D中所繪示的實施例對應於以上針對圖2D論述的IC佈局/IC裝置200-2。
IC佈局/IC裝置300A至400D中的每一者包括主動區/主動區域AA1至AA4、MD區/MD段MD1至MD7、閘極區/閘極結構G1A至G3A及G1B至G3B、金屬區/金屬段M1至M15、通孔區/通孔結構VS、VD及VG中的每一者的實例(為清晰起見而標記的代表性實例)以及金屬切割區CM的實例(為清晰起見而標記的代表性實例)。金屬區/金屬段M1至M15的定位於金屬切割區CM的實例之間的部分對應於金屬部分MP的實例,且為清晰 起見而未標記。在一些實施例中,IC佈局300A至400D中的一或多者不包括金屬切割區CM實例中的一或多者,且金屬區/金屬段M1至M15的對應部分對應於一個或更多個單獨界定的金屬區/金屬段(例如,以上針對圖2F及圖2G所論述的金屬區/金屬段)。
在圖3A至圖4D中所繪示的實施例中的每一者中,電晶體T1包括與閘極區/閘極結構G1A及G1B和主動區/主動區域AA1至AA4中的每一者的相交部對應的八個並聯電晶體實施方案,電晶體T2包括與閘極區/閘極結構G2A及G2B和主動區/主動區域AA1至AA4中的每一者的相交部對應的八個並聯電晶體實施方案,且電晶體T3包括與閘極區/閘極結構G3A及G3B和主動區/主動區域AA1至AA4中的每一者的相交部對應的八個並聯電晶體實施方案。MD區/MD段MD1、MD4及MD7對應於電晶體T1至T3的疊接佈置的相應的第一源極端子、汲極端子及第二源極端子。
根據IC佈局/IC裝置200-1,IC佈局/IC裝置300A至300D包括位於與汲極端子對應的通孔區/通孔結構VD和與第一源極端子及第二源極端子對應的通孔區/通孔結構VS之間的金屬切割區CM(或其他中斷)的單一實例。
根據IC佈局/IC裝置200-2,IC佈局/IC裝置400A至400D包括位於與汲極端子對應的每一通孔區/通孔結構VD和與第一源極端子及第二源極端子對應的對應通孔區/通孔結構VS之間的金屬切割區CM(或其他中斷)的兩個實例,由此在金屬切割 區CM(或其他中斷)的所述兩個實例中的每一者之間界定浮置金屬部分MP的實例。
IC佈局裝置300A及400A中的每一者包括:通孔區/通孔結構VS的實例,經配置成將MD區/MD段MD1及MD7中的每一者電性連接至共五個金屬區/金屬段M3、M6、M8、M10及M13中的每一者作為源極端子連接部的一部分;通孔區/通孔結構VD的實例,經配置成將MD區/MD段MD4電性連接至金屬區/金屬段M3、M6、M8、M10及M13中的每一者作為汲極端子連接部的一部分;通孔區/通孔結構VG的實例,經配置成將閘極區/閘極結構G1A及G1B中的每一者電性連接至金屬區/金屬段M15作為電晶體T1的閘極連接部的一部分;通孔區/通孔結構VG的實例,經配置成將閘極區/閘極結構G2A及G2B中的每一者電性連接至金屬區/金屬段M1作為電晶體T2的閘極連接部的一部分;以及通孔區/通孔結構VG的實例,經配置成將閘極區/閘極結構G3A及G3B中的每一者電性連接至金屬區/金屬段M4作為電晶體T3的閘極連接部的一部分。
IC佈局裝置300B及400B中的每一者包括:通孔區/通孔結構VS的實例,經配置成將MD區/MD段MD1及MD7中的每一者電性連接至共五個金屬區/金屬段M2、M6、M8、M10及M14中的每一者作為源極端子連接部的一部分;通孔區/通孔結構VD的實例,經配置成將MD區/MD段MD4電性連接至金屬區/金屬段M2、M6、M8、M10及M14中的每一者作為汲極端子連接部的 一部分;通孔區/通孔結構VG的實例,經配置成將閘極區/閘極結構G1A及G1B中的每一者電性連接至金屬區/金屬段M12作為電晶體T1的閘極連接部的一部分;通孔區/通孔結構VG的實例,經配置成將閘極區/閘極結構G2A及G2B中的每一者電性連接至金屬區/金屬段M4作為電晶體T2的閘極連接部的一部分;以及通孔區/通孔結構VG的實例,經配置成將閘極區/閘極結構G3A及G3B中的每一者電性連接至金屬區/金屬段M1作為電晶體T3的閘極連接部的一部分。
IC佈局裝置300C及400C中的每一者包括:通孔區/通孔結構VS的實例,經配置成將MD區/MD段MD1及MD7中的每一者電性連接至共六個金屬區/金屬段M4、M6、M8、M10、M12及M14中的每一者作為源極端子連接部的一部分;通孔區/通孔結構VD的實例,經配置成將MD區/MD段MD4電性連接至金屬區/金屬段M4、M6、M8、M10、M12及M14中的每一者作為汲極端子連接部的一部分;通孔區/通孔結構VG的實例,經配置成將閘極區/閘極結構G1A及G1B中的每一者電性連接至金屬區/金屬段M1作為電晶體T1的閘極連接部的一部分;通孔區/通孔結構VG的實例,經配置成將閘極區/閘極結構G2A及G2B中的每一者電性連接至金屬區/金屬段M2作為電晶體T2的閘極連接部的一部分;通孔區/通孔結構VG的實例,經配置成將閘極區/閘極結構G3A及G3B中的每一者電性連接至金屬區/金屬段M3作為電晶體T3的閘極連接部的一部分。
IC佈局裝置300D及400D中的每一者包括:通孔區/通孔結構VS的實例,經配置成將MD區/MD段MD1及MD7中的每一者電性連接至共六個金屬區/金屬段M3、M5、M7、M9、M11及M13中的每一者作為源極端子連接部的一部分;通孔區/通孔結構VD的實例,經配置成將MD區/MD段MD4電性連接至金屬區/金屬段M3、M5、M7、M9、M11及M13中的每一者作為汲極端子連接部的一部分;通孔區/通孔結構VG的實例,經配置成將閘極區/閘極結構G1A及G1B中的每一者電性連接至金屬區/金屬段M15作為電晶體T1的閘極連接部的一部分;通孔區/通孔結構VG的實例,經配置成將閘極區/閘極結構G2A及G2B中的每一者電性連接至金屬區/金屬段M1作為電晶體T2的閘極連接部的一部分;以及通孔區/通孔結構VG的實例,經配置成將閘極區/閘極結構G3A及G3B中的每一者電性連接至金屬區/金屬段M2作為電晶體T3的閘極連接部的一部分。
與IC佈局/IC裝置200-1及200-2對應的IC佈局/IC裝置300A至400D是出於例示目的而提供的非限制性示例。根據IC佈局/IC裝置200-1及200-2的其他疊接電晶體佈置亦處於本揭露的範圍內。
藉由包括以上所論述的沿著金屬區/金屬段M1至M15的單一實例的源極連接部及汲極連接部,IC佈局/IC裝置300A至400D中的每一者能夠達成以上針對包括IC佈局/IC裝置200-1及200-2在內的IC佈局/IC裝置200論述的有益效果。
圖5是根據一些實施例的製造IC裝置的方法500的流程圖。方法500可進行操作以形成以上針對圖2A至圖4D論述的IC裝置200、200-1、200-2或300A至400D中的一或多者。
在一些實施例中,以圖5中所繪示的次序執行方法500的操作。在一些實施例中,以與圖5中所繪示的次序不同的次序執行方法500的操作。在一些實施例中,在方法500的操作之前、期間及/或之後執行一或多個附加操作。在一些實施例中,執行方法500的操作中的一些操作或全部操作包括執行以下針對IC製造系統800及圖8論述的一或多個操作。
在操作510處,在一些實施例中,形成上覆於主動區域上的MD段及閘極結構,所述MD段對應於疊接電晶體佈置的第一源極端子及第二源極端子以及汲極端子,且所述閘極結構對應於電晶體的閘極。在一些實施例中,形成上覆於主動區域上的MD段及閘極結構包括形成上覆於主動區域AA上的MD段MDS1、MDD及MDS2,如以上針對圖2A至圖2G所論述。在一些實施例中,形成上覆於主動區域上的MD段及閘極結構包括形成上覆於主動區域AA1至AA4上的MD段MD1至MD7,如以上針對圖3A至圖4D所論述。
在各種實施例中,形成MD段及閘極結構包括執行多個製造操作,例如微影、擴散、沈積、蝕刻、平坦化或適於沈積及形成一或多種導電材料及介電材料的其他操作中的一或多者,所述一或多種導電材料及介電材料經配置成形成連續的低電阻MD結 構及閘極結構,如以上針對圖2A至圖2G所論述。
在操作520處,在MD段的第一源極端子及第二源極端子以及汲極端子中的每一者上以及在閘極結構上形成通孔。在一些實施例中,在MD段的第一源極端子及第二源極端子以及汲極端子上以及在閘極結構上形成通孔包括在MD段MDS1、MDD及MDS2上形成通孔結構VS及VD以及在閘極結構G1至Gx上形成通孔VG,如以上針對圖2A至圖2G所論述。在一些實施例中,在MD段的第一源極端子及第二源極端子以及汲極端子上以及在閘極結構上形成通孔包括在MD段MD1至MD7的實例上形成通孔結構VS及VD以及在閘極結構G1A至G13A及G1B至G3B的實例上形成通孔VG,如以上針對圖3A至圖4D所論述。
在各種實施例中,形成通孔包括執行多個製造操作,例如微影、擴散、沈積、蝕刻、平坦化或適於根據以上針對圖2B至圖4D論述的配置來構造通孔結構的其他操作中的一或多者。
在操作530處,在通孔上形成多個金屬段,所述多個金屬段包括在電性連接至第一源極端子及第二源極端子以及汲極端子中的每一者的通孔上形成的三個對齊段。在一些實施例中,在通孔上形成金屬段包括在通孔VS、VD及VG上形成金屬段MS的實例,金屬段MS的至少一個實例包括以上針對圖2A至圖2G論述的三或更多個金屬部分MP或金屬區/金屬段MP。在一些實施例中,在通孔上形成金屬段包括在通孔VS、VD及VG上形成金屬段M1至M15的實例,如以上針對圖3A至圖4D所論述。
在各種實施例中,形成金屬段包括執行多個製造操作,例如微影、擴散、沈積、蝕刻、平坦化或適合根據以上針對圖2B至圖4D論述的配置來構造金屬段的其他操作中的一或多者。
在一些實施例中,形成對齊金屬段包括在基於金屬切割區(例如,以上針對圖2B至圖4D論述的金屬切割區CM)形成對應的金屬段之後執行金屬切割操作。在一些實施例中,作為形成對應金屬段的一部分,形成對齊金屬段包括在金屬段(例如,以上針對圖2B至圖4D論述的金屬區/金屬段MP)上形成自然端部。
在操作540處,在一些實施例中,構造附加電性連接件,所述附加電性連接件經配置成在驅動器電路中包括疊接電晶體佈置。在一些實施例中,附加電性連接件包括構造通孔及上覆於通孔(例如,以上針對圖2A至圖4D論述的IC裝置200的通孔VS、VD及VG)上且電性連接至通孔的金屬段,所述IC裝置200經配置成包括以上針對圖1論述的電路100中的疊接電晶體佈置。
在各種實施例中,形成附加電性連接件包括執行多個製造操作,例如微影、擴散、沈積、蝕刻、平坦化或適合根據以上針對圖1至圖4D論述的配置來構造通孔及金屬段的其他操作中的一或多者。
藉由執行方法500的操作中的一些操作或全部操作,形成電晶體的疊接佈置,所述電晶體的疊接佈置包括沿著金屬段的單一實例的源極連接部及汲極連接部,且由此能夠達成以上針對包括IC裝置200-1及200-2在內的IC裝置200論述的有益效果。
圖6是根據一些實施例的產生IC佈局圖(例如,以上針對圖2A至圖4D論述的IC佈局圖200、200-1、200-2及/或300A至400D)的方法600的流程圖。
在一些實施例中,產生IC佈局圖包括產生與基於所產生的IC佈局圖製造的IC裝置(例如,以上針對圖2A至圖4D論述的IC裝置200、200-1、200-2及/或300A至400D)對應的IC佈局圖。
在一些實施例中,方法600中的一些方法或全部方法由電腦的處理器(例如,以下針對圖7論述的IC佈局圖產生系統700的處理器702)執行。
方法600的操作中的一些操作或全部操作能夠作為在設計機構(design house)(例如,以下針對圖8論述的設計機構820)中執行的設計程序的一部分來執行。
在一些實施例中,以圖6中所繪示的次序執行方法600的操作。在一些實施例中,與圖6中所繪示的次序同時執行方法600的操作及/或以與圖6中所繪示的次序不同的次序執行方法600的操作。在一些實施例中,在執行方法600的一或多個操作之前、之間、期間及/或之後執行一或多個操作。
在操作610處,在一些實施例中,在IC佈局圖中佈置與和主動區相交的MD區及閘極區交疊的通孔區,所述MD區對應於疊接電晶體佈置的第一源極端子及第二源極端子以及汲極端子,且所述閘極區對應於電晶體的閘極。在一些實施例中,佈置通孔區 包括在疊接電晶體佈置的IC佈局圖中佈置與和主動區AA相交的MD區MDS1、MDS2及MDD以及閘極區G1至Gx交疊的通孔區VS、VD及VG,所述閘極區對應於以上針對圖2A至圖2G論述的閘極G1至Gx。
在一些實施例中,佈置通孔區包括在以上針對圖3A至圖4D論述的IC佈局圖300A至400D中的一者中佈置與和主動區AA1至AA4相交的MD區MD1至MD7以及閘極區G1A至G3A及G1B至G3B交疊的通孔區VS、VD及VG。
在操作620處,在通孔區上佈置第一金屬區,所述第一金屬區中的至少一者包括與和第一源極端子及第二源極端子以及汲極端子中的每一者對應的通孔區交疊的部分或對齊區,其中所述至少一個第一金屬區包括位於所述各部分或區之間的中斷。
在一些實施例中,佈置第一金屬區包括佈置與通孔區VS、VD及VG交疊的金屬區MS的實例,金屬區MS的至少一個實例包括以上針對圖2A至圖2G論述的金屬部分MP或金屬區MP。在一些實施例中,佈置第一金屬區包括在以上針對圖3A至圖4D論述的通孔區VS、VD及VG上佈置金屬區M1至M15的實例。
在一些實施例中,在所述各部分之間包括中斷包括在與中斷對應的位置處佈置金屬切割區,例如以上針對圖2A至圖4D論述的金屬切割區CM。在一些實施例中,在所述各部分之間包括中斷包括在與中斷對應的位置處佈置包括金屬部分或金屬區的自然端部的第一金屬區。
在一些實施例中,佈置包括位於所述各部分之間的中斷的第一金屬區包括基於一或多個最大電壓差、一或多個特徵尺寸以及一或多個製造間距規則來確定連續體的數目,如以上針對寬度A、B、C及F以及圖2B至圖2G所論述。
在操作630處,在一些實施例中,將疊接電晶體IC佈局圖包括於驅動器電路IC圖(例如,與以上針對圖1論述的電路100對應的IC驅動器電路圖)中。
在操作640處,在一些實施例中,將包括疊接電晶體佈置的IC佈局圖儲存於儲存裝置中。在一些實施例中,將包括疊接電晶體佈置的IC佈局圖儲存於儲存裝置中包括將胞元儲存於IC佈局庫(例如,以下針對圖7論述的IC佈局圖產生系統700的IC佈局庫707)中。
在各種實施例中,將IC佈局圖儲存於儲存裝置中包括將IC佈局圖儲存於非揮發性電腦可讀取記憶體中及/或包括藉由網路(例如,以下針對圖7論述的IC佈局圖產生系統700的網路714)儲存IC佈局圖。
在操作650處,在一些實施例中,基於IC佈局圖執行一或多個製造操作。在一些實施例中,執行一或多個製造操作包括基於IC佈局圖執行一或多個微影曝光。基於IC佈局圖執行一或多個製造操作(例如,一或多個微影曝光)在以上針對圖5進行論述且在以下針對圖8進行論述。
藉由執行方法600的操作中的一些操作或全部操作,產 生與包括沿著金屬段的單一實例的源極連接部及汲極連接部的電晶體的疊接佈置對應的IC佈局圖,且由此能夠達成以上針對包括IC裝置200-1及200-2在內的IC裝置200論述的有益效果。
圖7是根據一些實施例的IC佈局圖產生系統700的方塊圖。本文中所闡述的設計根據一或多個實施例的IC佈局圖的方法可例如使用根據一些實施例的IC佈局圖產生系統700來實施。
在一些實施例中,IC佈局圖產生系統700是通用計算裝置,所述通用計算裝置包括硬體處理器702及非暫時性電腦可讀取儲存媒體704。儲存媒體704尤其編碼有(即,儲存)電腦程式碼706,即一組可執行指令。硬體處理器702對指令706的執行(至少部分地)表示EDA工具,所述EDA工具實施例如以上針對圖6闡述的產生IC佈局圖的方法(在下文中被稱為所提及過程及/或方法)等方法的一部分或全部。
處理器702經由匯流排708電性耦合至電腦可讀取儲存媒體704。處理器702亦藉由匯流排708電性耦合至I/O介面710。網路介面712亦經由匯流排708電性連接至處理器702。網路介面712連接至網路714,以使得處理器702及電腦可讀取儲存媒體704能夠經由網路714連接至外部元件。處理器702經配置成執行編碼於電腦可讀取儲存媒體704中的電腦程式碼706,以使IC佈局圖產生系統700可用於執行所提及過程及/或方法的一部分或全部。在一或多個實施例中,處理器702是中央處理單元(central processing unit,CPU)、多處理器(multi-processor)、分佈式處理 系統(distributed processing system)、應用專用積體電路(application specific integrated circuit,ASIC)及/或合適的處理單元。
在一或多個實施例中,電腦可讀取儲存媒體704是電子系統、磁性系統、光學系統、電磁系統、紅外線系統及/或半導體系統(或者是設備或裝置)。舉例而言,電腦可讀取儲存媒體704包括半導體記憶體或固態記憶體、磁帶(magnetic tape)、可抽換式電腦磁片(removable computer diskette)、隨機存取記憶體(random access memory,RAM)、唯讀記憶體(read-only memory,ROM)、硬式磁碟(rigid magnetic disk)及/或光碟(optical disk)。在使用光碟的一或多個實施例中,電腦可讀取儲存媒體704包括光碟唯讀記憶體(compact disk-read only memory,CD-ROM)、讀/寫光碟(compact disk-read/write,CD-R/W)及/或數位視訊光碟(digital video disc,DVD)。
在一或多個實施例中,電腦可讀取儲存媒體704儲存電腦程式碼706,電腦程式碼706經配置成使IC佈局圖產生系統700(其中此種執行(至少部分地)表示EDA工具)可用於執行所提及過程及/或方法的一部分或全部。在一或多個實施例中,電腦可讀取儲存媒體704亦儲存利於執行所提及過程及/或方法的一部分或全部的資訊。在一或多個實施例中,電腦可讀取儲存媒體704儲存包括本文中所揭露的此種IC佈局圖(例如,以上針對圖2A至圖4D論述的IC佈局圖200、200-1、200-1及300A至400D)在 內的IC佈局圖的IC佈局庫707。
IC佈局圖產生系統700包括I/O介面710。I/O介面710耦合至外部電路系統。在一或多個實施例中,I/O介面710包括用於向處理器702傳送資訊及命令的鍵盤、小鍵盤(keypad)、滑鼠、軌跡球(trackball)、軌跡墊(trackpad)、觸控螢幕及/或遊標方向鍵。
IC佈局圖產生系統700亦包括耦合至處理器702的網路介面712。網路介面712使得系統700能夠與連接有一或多個其他電腦系統的網路714進行通訊。網路介面712包括:無線網路介面,例如藍芽(BLUETOOTH)、無線保真(wireless fidelity,WIFI)、全球互通微波存取(World Interoperability for Microwave Access,WIMAX)、通用封包無線電服務(General Packet Radio Service,GPRS)或寬頻分碼多重存取(Wideband Code Division Multiple Access,WCDMA);或者有線網路介面,例如乙太網路(ETHERNET)、通用串列匯流排(Universal Serial Bus,USB)、或電氣及電子工程師學會(Institute of Electrical and Electronics Engineers,IEEE)-1364。在一或多個實施例中,所提及過程及/或方法的一部分或全部是在二或更多個IC佈局圖產生系統700中實施。
IC佈局圖產生系統700經配置成經由I/O介面710接收資訊。經由I/O介面710接收的資訊包括以下中的一或多者:指令、資料、設計規則、標準胞元庫及/或供處理器702處理的其他 參數。所述資訊經由匯流排708被傳遞至處理器702。IC佈局圖產生系統700經配置成經由I/O介面710接收與使用者介面(user interface,UI)相關的資訊。所述資訊作為使用者介面(UI)742儲存於電腦可讀取媒體704中。
在一些實施例中,所提及過程及/或方法的一部分或全部被實施為供處理器執行的獨立軟體應用。在一些實施例中,所提及過程及/或方法的一部分或全部被實施為作為附加軟體應用一部分的軟體應用。在一些實施例中,所提及過程及/或方法的一部分或全部被實施為軟體應用的外掛程式(plug-in)。在一些實施例中,所提及過程及/或方法中的至少一者被實施為作為EDA工具的一部分的軟體應用。在一些實施例中,所提及過程及/或方法的一部分或全部被實施為由IC佈局圖產生系統700使用的軟體應用。在一些實施例中,使用例如可自凱登斯設計系統公司(CADENCE DESIGN SYSTEMS,Inc.)購得的VIRTUOSO®等工具或者另一合適的佈局產生工具來產生包括標準胞元的佈局圖。
在一些實施例中,所述過程被視為在非暫時性電腦可讀取記錄媒體中儲存的程式的功能。非暫時性電腦可讀取記錄媒體的例子包括但不限於外部/可抽換式及/或內部/內建式儲存單元或記憶體單元,例如光碟(例如DVD)、磁碟(例如硬碟)、半導體記憶體(例如ROM、RAM、記憶卡)及類似單元中的一或多者。
圖8是根據一些實施例的IC製造系統800及與IC製造系統800相關聯的IC製造流程的方塊圖。在一些實施例中,基於 IC佈局圖,使用製造系統800來製作以下中的至少一者:(A)一或多個半導體罩幕或者(B)半導體積體電路的層中的至少一個組件。
在圖8中,IC製造系統800包括例如設計機構820、罩幕機構(mask house)830及IC製造商/製作商(「製作廠(fab)」)850等實體,所述實體在與製造IC裝置860相關的設計、開發、以及製造循環及/或服務中彼此進行交互作用。系統800中的各實體是由通訊網路進行連接。在一些實施例中,通訊網路是單一網路。在一些實施例中,通訊網路是各種不同的網路,例如內部網路(intranet)及網際網路(Internet)。通訊網路包括有線通訊通道及/或無線通訊通道。每一實體與其他實體中的一或多者進行交互作用並向其他實體中的一或多者提供服務及/或自其他實體中的所述一或多者接收服務。在一些實施例中,設計機構820、罩幕機構830及IC製作廠850中的二或更多者由單一的較大的公司擁有。在一些實施例中,設計機構820、罩幕機構830及IC製作廠850中的二或更多者同時存在於共用設施中且使用共用資源。
設計機構(或設計團隊)820產生IC設計佈局圖822。IC設計佈局圖822包括各種幾何圖案(例如,以上針對圖2A至圖4D論述的IC佈局圖200、200-1、200-2或300A至400D)。所述幾何圖案對應於構成欲被製作的IC裝置860的各種組件的金屬層、氧化物層或半導體層的圖案。各種層進行組合以形成各種IC特徵。舉例而言,IC設計佈局圖822的一部分包括欲被形成於半 導體基底(例如,矽晶圓)中的各種IC特徵(例如主動區、閘電極、源極及汲極、層間內連線的金屬線或通孔以及結合墊(bonding pad)的開口)以及設置於所述半導體基底上的各種材料層。設計機構820實施恰當的設計程序以形成IC設計佈局圖822。設計程序包括邏輯設計、實體設計或放置與佈線中的一或多者。IC設計佈局圖822是以具有幾何圖案的資訊的一或多個資料檔案呈現。舉例而言,IC設計佈局圖822可被表達成圖形資料庫系統(graphic database system,GDSII)檔案格式或設計框架II(Design Framework II,DFII)檔案格式。
罩幕機構830包括資料準備(data preparation)832及罩幕製作(mask fabrication)844。罩幕機構830使用IC設計佈局圖822以根據IC設計佈局圖822來製造欲用於製作IC裝置860的各種層的一或多個罩幕845。罩幕機構830執行罩幕資料準備832,其中IC設計佈局圖822被轉譯成代表性資料檔案(「representative data file,RDF」)。罩幕資料準備832向罩幕製作844提供所述RDF。罩幕製作844包括罩幕寫入器(mask writer)。罩幕寫入器將所述RDF轉換成基底(例如罩幕(罩版(reticle))845或半導體晶圓853)上的影像。設計佈局圖822由罩幕資料準備832操縱以遵從罩幕寫入器的特定特性及/或IC製作廠850的要求。在圖8中,罩幕資料準備832及罩幕製作844被示作單獨的元件。在一些實施例中,罩幕資料準備832及罩幕製作844可被統稱為罩幕資料準備。
在一些實施例中,罩幕資料準備832包括光學接近修正(optical proximity correction,OPC),光學接近修正使用微影增強技術(lithography enhancement technique)來對例如可能因繞射(diffraction)、干涉、其他製程效應及類似效應所引起的影像誤差(image error)等影像誤差進行補償。OPC會對IC設計佈局圖822進行調整。在一些實施例中,罩幕資料準備832更包括解析度增強技術(resolution enhancement technique,RET),例如離軸照明(off-axis illumination)、次解析度輔助特徵(sub-resolution assist feature)、相移罩幕(phase-shifting mask)、其他合適的技術及類似技術、或其組合。在一些實施例中,亦使用將OPC作為逆向成像問題進行處理的逆向微影技術(inverse lithography technology,ILT)。
在一些實施例中,罩幕資料準備832包括罩幕規則檢查器(mask rule checker,MRC),所述罩幕規則檢查器使用包含特定幾何約束條件及/或連接性約束條件的一組罩幕創建規則(mask creation rule)來檢查已歷經OPC中的各過程之後的IC設計佈局圖822,以確保具有足以考量到半導體製造製程中的可變性(variability)及類似要素的裕度(margin)。在一些實施例中,MRC修改IC設計佈局圖822以對罩幕製作844期間的限制進行補償,此可解除由OPC執行的修改中的一部分以滿足罩幕創建規則。
在一些實施例中,罩幕資料準備832包括微影製程檢查(lithography process checking,LPC),所述微影製程檢查對將由 IC製作廠850實施的用以製作IC裝置860的加工進行模擬。LPC基於IC設計佈局圖822來模擬此加工以創建模擬製造的裝置(例如,IC裝置860)。LPC模擬中的加工參數可包括與IC製造循環的各種製程相關聯的參數、與用於製造IC的工具相關聯的參數、及/或製造製程的其他態樣。LPC考慮到各種因數,例如上空影像對比度(aerial image contrast)、焦點深度(「depth of focus,DOF」)、罩幕誤差增強因數(「mask error enhancement factor,MEEF」)、其他合適的因數及類似因數或其組合。在一些實施例中,在藉由LPC創建模擬製造的裝置之後,若模擬的裝置的形狀不夠接近於滿足設計規則,則重複使用OPC及/或MRC以進一步完善IC設計佈局圖822。
應理解,出於清晰的目的,對以上對罩幕資料準備832的說明進行簡化。在一些實施例中,資料準備832包括例如邏輯運算(logic operation,LOP)等附加特徵以根據製造規則來修改IC設計佈局圖822。另外,在資料準備832期間應用於IC設計佈局圖822的過程可以各種不同的次序執行。
在罩幕資料準備832之後及在罩幕製作844期間,基於經修改的IC設計佈局圖822來製作罩幕845或由多個罩幕845形成的群組。在一些實施例中,罩幕製作844包括基於IC設計佈局圖822來執行一或多次微影曝光。在一些實施例中,基於經修改的IC設計佈局圖822而使用電子束(electron-beam,e-beam)或多重電子束機製在罩幕(光罩或罩版)845上形成圖案。罩幕845 可以各種技術形成。在一些實施例中,罩幕845是使用二元技術(binary technology)來形成。在一些實施例中,罩幕圖案包括不透明區及透明區。用於將被塗佈於晶圓上的影像敏感性材料層(例如,光阻)曝光的輻射束(例如,紫外光(ultraviolet,UV)或極紫外光(extreme ultraviolet,EUV)束)被不透明區遮擋且透射過透明區。在一個示例中,罩幕845的二元罩幕版本(binary mask version)包括透明基底(例如,熔融石英(fused quartz))及塗佈於所述二元罩幕的不透明區中的不透明材料(例如,鉻)。在另一示例中,罩幕845是使用相移技術來形成。在罩幕845的相移罩幕(phase shift mask,PSM)版本中,形成於所述相移罩幕上的圖案中的各種特徵經配置成具有恰當的相差(phase difference)以增強解析度及成像品質。在各種示例中,相移罩幕可為衰減式PSM(attenuated PSM)或交替式PSM。藉由罩幕製作844而產生的各罩幕被用於各種製程中。舉例而言,此類罩幕被用於在半導體晶圓853中形成各種經摻雜區的離子植入製程(ion implantation process)中、被用於在半導體晶圓853中形成各種蝕刻區的蝕刻製程中、及/或被用於其他合適的製程中。
IC製作廠850是包括製作各種不同IC產品的一或多個製造設施的IC製作工廠。在一些實施例中,IC製作廠850是半導體代工廠(semiconductor foundry)。舉例而言,可存在一種製造設施來用於多個IC產品的前端製作(前段(front-end-of-line,FEOL)製作),同時第二種製造設施可提供用於IC產品的內連及封裝的 後端製作(後段(back-end-of-line,BEOL)製作),且第三種製造設施可提供其他代工業務服務。
IC製作廠850包括晶圓製作工具852,晶圓製作工具852經配置成對半導體晶圓853執行各種製造操作,進而使得根據所述各罩幕(例如,罩幕845)製作IC裝置860。在各種實施例中,製作工具852包括晶圓光刻機(wafer stepper)、離子植入機、光阻塗佈機、處理腔室(例如,化學氣相沈積(chemical vapor deposition,CVD)腔室或低壓化學氣相沈積(low-pressure CVD,LPCVD)爐)、化學機械研磨(chemical mechanical polishing,CMP)系統、電漿蝕刻系統、晶圓清潔系統或能夠執行本文中所論述的一或多個合適的製造製程的其他製造裝備中的一或多者。
IC製作廠850使用由罩幕機構830製作的罩幕845來製作IC裝置860。因此,IC製作廠850至少間接地使用IC設計佈局圖822來製作IC裝置860。在一些實施例中,IC製作廠850使用罩幕845來製作半導體晶圓853以形成IC裝置860。在一些實施例中,IC製作包括至少間接地基於IC設計佈局圖822來執行一或多次微影曝光。半導體晶圓853包括矽基底或上面形成有材料層的其他恰當的基底。半導體晶圓853更包括(在後續製造步驟處形成的)各種經摻雜區、介電特徵、多層級內連線(multilevel interconnect)及類似組件中的一或多者。
在一些實施例中,一種IC驅動器包括與第二類型電晶體的疊接佈置串聯耦合的第一類型電晶體的疊接佈置,第二類型不 同於第一類型,其中所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者包括:主動區域,在第一方向上延伸;多個閘極結構,在與所述第一方向垂直的第二方向上延伸且在與所述疊接佈置的所述電晶體對應的位置處上覆於所述主動區域上;第一金屬段、第二金屬段、第三金屬段及第四金屬段,在IC的第一金屬層中在所述第一方向上延伸;第一通孔及第二通孔,經配置成將所述多個閘極結構中相應的第一閘極結構及第二閘極結構分別電性耦合至所述第一金屬段及所述第二金屬段;第三通孔,經配置成將所述疊接佈置的源極端子電性耦合至所述第三金屬段;以及第四通孔,經配置成將所述疊接佈置的汲極端子電性耦合至所述第四金屬段,其中所述第三金屬段與所述第四金屬段沿著所述第一方向對齊。
在一些實施例中,所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者更包括:第五金屬段,在所述第三金屬段與所述第四金屬段之間且在所述第一方向上延伸,其中所述第五金屬段經配置成電性浮置的。在一些實施例中,所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者的所述源極端子是對應的所述第一類型電晶體的所述疊接佈置或所述第二類型電晶體的所述疊接佈置的第一源極端子,且所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者更包括:第五金屬段,沿著所述第一方向且與所述第三金屬段及所述第四金屬段 對齊;以及第五通孔,經配置成將所述疊接佈置的第二源極端子電性耦合至所述第五金屬段。在一些實施例中,所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者更包括:第五通孔,經配置成將所述多個閘極結構中的第三閘極結構耦合至所述第一金屬段,其中所述多個閘極結構中的所述第一閘極結構及所述第三閘極結構上覆於所述主動區域上的所述位置,其對應於所述疊接佈置的對應的電晶體的並聯配置。在一些實施例中,所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置更包括:第五金屬段,沿著所述第一方向且與所述第一金屬段對齊;以及第五通孔,經配置成將所述多個閘極結構中的第三閘極結構耦合至所述第五金屬段。在一些實施例中,對於所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者而言:所述主動區域是對應的所述疊接佈置的第一主動區域,與所述疊接佈置的所述電晶體對應的所述位置是與所述疊接佈置的第一電晶體對應的第一位置,所述疊接佈置更包括在所述第一方向上延伸的第二主動區域,且所述多個閘極結構在與所述疊接佈置的第二電晶體對應的第二位置處上覆於所述第二主動區域上,所述疊接佈置的所述第二電晶體經配置成與所述疊接佈置的所述第一電晶體並聯。在一些實施例中,所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者更包括:第五金屬段與第六金屬段,在所述第一金屬層中沿著所述第一方向對齊;第五通孔,經配置成將所述疊接 佈置的所述源極端子電性耦合至所述第五金屬段;以及第六通孔,經配置成將所述疊接佈置的所述汲極端子電性耦合至所述第六金屬段。在一些實施例中,所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者更包括:第七金屬段,在所述第三金屬段與所述第四金屬段之間且在所述第一方向上延伸;以及第八金屬段,在所述第五金屬段與所述第六金屬段之間且在所述第一方向上延伸,其中所述第七金屬段及所述第八金屬段中的每一者經配置成電性浮置的。在一些實施例中,對於所述第一類型電晶體的所述疊接佈置或所述第二類型電晶體的所述疊接佈置中的至少一者而言,所述第三金屬段與所述第四金屬段通過與和所述積體電路對應的製造製程的金屬切割操作對應的距離相隔開。在一些實施例中,所述第一類型電晶體及所述第二類型電晶體分別包括p型金屬氧化物半導體(PMOS)電晶體及n型金屬氧化物半導體(NMOS)電晶體,所述第一類型電晶體的所述疊接佈置的所述源極端子電性耦合至所述積體電路驅動器的電源節點,所述第二類型電晶體的所述疊接佈置的所述源極端子電性耦合至所述積體電路驅動器的參考節點,且所述第一類型電晶體的所述疊接佈置的所述汲極端子及所述第二類型電晶體的所述疊接佈置的所述汲極端子中的每一者電性耦合至所述積體電路驅動器的輸出節點。
在一些實施例中,一種IC包括電晶體的疊接佈置,且所述電晶體的所述疊接佈置包括:第一主動區域、第二主動區域、第 三主動區域及第四主動區域,在第一方向上延伸;第一閘極結構、第二閘極結構、第三閘極結構、第四閘極結構、第五閘極結構及第六閘極結構,在與所述第一方向垂直的第二方向上延伸且在與所述疊接佈置的所述電晶體對應的位置處上覆於所述第一主動區域、所述第二主動區域、所述第三主動區域及所述第四主動區域中的每一者上;第一金屬段、第二金屬段及第三金屬段,在上覆於所述第一閘極結構、所述第二閘極結構、所述第三閘極結構、所述第四閘極結構、所述第五閘極結構及所述第六閘極結構上的第一金屬層中在所述第一方向上延伸;第一多個對齊金屬段、第二多個對齊金屬段、第三多個對齊金屬段、第四多個對齊金屬段及第五多個對齊金屬段,在上覆於所述第一閘極結構、所述第二閘極結構、所述第三閘極結構、所述第四閘極結構、所述第五閘極結構及所述第六閘極結構上的所述第一金屬層中在所述第一方向上延伸;第一通孔及第二通孔,經配置成將所述第一閘極結構及所述第二閘極結構電性耦合至所述第一金屬段;第三通孔及第四通孔,經配置成將所述第三閘極結構及所述第四閘極結構電性耦合至所述第二金屬段;第五通孔及第六通孔,經配置成將所述第五閘極結構及所述第六閘極結構電性耦合至所述第三金屬段;第七通孔、第八通孔、第九通孔、第十通孔及第十一通孔,經配置成將所述疊接佈置的第一源極端子電性耦合至所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者中的第一對齊金屬段;第十 二通孔、第十三通孔、第十四通孔、第十五通孔及第十六通孔,經配置成將所述疊接佈置的汲極端子電性耦合至所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者中的第二對齊金屬段;以及第十七通孔、第十八通孔、第十九通孔、第二十通孔及第二十一通孔,經配置成將所述疊接佈置的第二源極端子電性耦合至所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者中的第三對齊金屬段。
在一些實施例中,所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者更包括:第四對齊金屬段,在所述第一對齊金屬段與所述第二對齊金屬段之間延伸;以及第五對齊金屬段,在所述第二對齊金屬段與所述第三對齊金屬段之間延伸。在一些實施例中,所述的積體電路更包括:第一類金屬界定(MD)段、第二類金屬界定段、第三類金屬界定段、第四類金屬界定段、第五類金屬界定段、第六類金屬界定段及第七類金屬界定段,在所述第二方向上延伸且上覆於所述第一主動區域、所述第二主動區域、所述第三主動區域及所述第四主動區域中的每一者上,其中所述第一閘極結構、所述第二閘極結構、所述第三閘極結構、所述第四閘極結構、所述第五閘極結構及所述第六閘極結構相對於所述第一方向與所述第一類金屬界定段、所述第二類金屬 界定段、所述第三類金屬界定段、所述第四類金屬界定段、所述第五類金屬界定段、所述第六類金屬界定段及所述第七類金屬界定段交替,所述第一閘極結構、所述第二閘極結構、所述第三閘極結構、所述第四閘極結構、所述第五閘極結構及所述第六閘極結構位於包括所述第一類金屬界定段的所述第一源極端子與包括所述第七類金屬界定段的所述第二源極端子之間,所述第一閘極結構、所述第三閘極結構及所述第五閘極結構位於所述第一源極端子與包括所述第四類金屬界定段的所述汲極端子之間,且所述第二閘極結構、所述第四閘極結構及所述第六閘極結構位於所述汲極端子與所述第二源極端子之間。在一些實施例中,所述電晶體包括p型金屬氧化物半導體(PMOS)電晶體,所述第一源極端子及所述第二源極端子電性耦合至驅動器電路的電源節點,且所述汲極端子電性耦合至所述驅動器電路的輸出節點。在一些實施例中,所述電晶體包括n型金屬氧化物半導體(NMOS)電晶體,所述第一源極端子及所述第二源極端子電性耦合至驅動器電路的參考節點,且所述汲極端子電性耦合至所述驅動器電路的輸出節點。
在一些實施例中,一種製造IC裝置的方法包括:在延伸跨越半導體基底中的第一主動區域、第二主動區域、第三主動區域及第四主動區域的第一MD段上形成第一通孔、第二通孔、第三通孔、第四通孔及第五通孔;在延伸跨越所述第一主動區域、所述第二主動區域、所述第三主動區域及所述第四主動區域的第二MD段上形成第六通孔、第七通孔、第八通孔、第九通孔及第十通孔; 在延伸跨越所述第一主動區域、所述第二主動區域、所述第三主動區域及所述第四主動區域的第三MD段上形成第十一通孔、第十二通孔、第十三通孔、第十四通孔及第十五通孔;在對應的第一閘極結構、第二閘極結構及第三閘極結構上形成第十六通孔、第十七通孔及第十八通孔,所述第一閘極結構、所述第二閘極結構及所述第三閘極結構在所述第一MD段與所述第二MD段之間延伸跨越所述第一主動區域、所述第二主動區域、所述第三主動區域及所述第四主動區域;在對應的第四閘極結構、第五閘極結構、第六閘極結構及第七閘極結構上形成第十九通孔、第二十通孔及第二十一通孔,所述第四閘極結構、所述第五閘極結構、所述第六閘極結構及所述第七閘極結構在所述第二MD段與所述第三MD段之間延伸跨越所述第一主動區域、所述第二主動區域、所述第三主動區域及所述第四主動區域;在所述第十六通孔及所述第十九通孔上形成第一金屬段,在所述第十七通孔及所述第二十通孔上形成第二金屬段,且在所述第十八通孔及所述第二十一通孔上形成第三金屬段;以及在所述第一通孔、所述第二通孔、所述第三通孔、所述第四通孔及所述第五通孔中的對應通孔上形成第一多個對齊金屬段、第二多個對齊金屬段、第三多個對齊金屬段、第四多個對齊金屬段及第五多個對齊金屬段中的每一者中的第一對齊金屬段,在所述第六通孔、所述第七通孔、所述第八通孔、所述第九通孔及所述第十通孔中的對應通孔上形成所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對 齊金屬段及所述第五多個對齊金屬段中的每一者中的第二對齊金屬段,且在所述第十一通孔、所述第十二通孔、所述第十三通孔、所述第十四通孔及所述第十五通孔中的對應通孔上形成所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者中的第三對齊金屬段。
在一些實施例中,所述形成所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段包括執行金屬切割操作以在所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者中的所述對齊金屬段之間形成中斷。在一些實施例中,所述形成所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者更包括在所述第一對齊金屬段與所述第二對齊金屬段之間形成第四對齊金屬段以及在所述第二對齊金屬段與所述第三對齊金屬段之間形成第五對齊金屬段。在一些實施例中,所述形成所述第一金屬段、所述形成所述第二金屬段或所述形成所述第三金屬段中的至少一者包括藉由在對應的所述第十六通孔與所述第十九通孔之間、在所述第十七通孔與所述第二十通孔之間或在所述第十八通孔與所述第二十一通孔之間形成中斷來將對應的所述第一金屬段、所述第二金屬段或所述第三金 屬段劃分成多個金屬段。在一些實施例中,所述形成所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段包括在所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者之間形成至少一個附加金屬段。
此項技術中具有通常知識者將易於看出所揭露實施例中的一或多者會達成上述優勢中的一或多者。在閱讀前述說明書之後,具有通常知識者將能夠影響各種改變、等效形式替換及如本文中所廣泛揭露的各種其他實施例。因此,本發明旨在使基於本發明而授權的保護僅由在隨附申請專利範圍及其等效範圍中所包含的定義來限制。
200:IC佈局
A、B、C、F:寬度
AA:主動區/主動區域
CM:金屬切割區
G1、G2~Gx:閘極區/閘極結構
MDD:類金屬界定(MD)區/MD段/汲極端子/區/段
MDS1、MDS2:MD區/MD段/源極端子/區/段
MP:金屬部分/金屬區/金屬段
MS:金屬區/金屬段/金屬零區/金屬零段
VD:通孔區/通孔結構/汲極端子通孔/通孔
VS:通孔區/通孔結構/源極端子通孔/通孔
X、Y:方向

Claims (10)

  1. 一種積體電路(IC)驅動器,包括:第一類型電晶體的疊接佈置,與第二類型電晶體的疊接佈置串聯耦合,所述第二類型電晶體不同於所述第一類型電晶體;其中所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者包括:主動區域,在第一方向上延伸;多個閘極結構,在與所述第一方向垂直的第二方向上延伸且在與所述疊接佈置的所述電晶體對應的位置處上覆於所述主動區域上;第一金屬段、第二金屬段、第三金屬段及第四金屬段,在所述積體電路的第一金屬層中在所述第一方向上延伸;第一通孔及第二通孔,經配置成將所述多個閘極結構中相應的第一閘極結構及第二閘極結構分別電性耦合至所述第一金屬段及所述第二金屬段;第三通孔,經配置成將所述疊接佈置的源極端子電性耦合至所述第三金屬段;以及第四通孔,經配置成將所述疊接佈置的汲極端子電性耦合至所述第四金屬段,其中所述第三金屬段與所述第四金屬段沿著所述第一方向對齊。
  2. 如請求項1所述的積體電路驅動器,其中所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者更包括:第五金屬段,在所述第三金屬段與所述第四金屬段之間且在所述第一方向上延伸,其中所述第五金屬段經配置成電性浮置的。
  3. 如請求項1所述的積體電路驅動器,其中所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者的所述源極端子是對應的所述第一類型電晶體的所述疊接佈置或所述第二類型電晶體的所述疊接佈置的第一源極端子,且所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者更包括:第五金屬段,沿著所述第一方向且與所述第三金屬段及所述第四金屬段對齊;以及第五通孔,經配置成將所述疊接佈置的第二源極端子電性耦合至所述第五金屬段。
  4. 如請求項1所述的積體電路驅動器,其中所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者更包括:第五通孔,經配置成將所述多個閘極結構中的第三閘極結構耦合至所述第一金屬段, 其中所述多個閘極結構中的所述第一閘極結構及所述第三閘極結構上覆於所述主動區域上的所述位置,其對應於所述疊接佈置的對應的電晶體的並聯配置。
  5. 如請求項1所述的積體電路驅動器,其中所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置更包括:第五金屬段,沿著所述第一方向且與所述第一金屬段對齊;以及第五通孔,經配置成將所述多個閘極結構中的第三閘極結構耦合至所述第五金屬段。
  6. 如請求項1所述的積體電路驅動器,其中,對於所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者而言:所述主動區域是對應的所述疊接佈置的第一主動區域,與所述疊接佈置的所述電晶體對應的所述位置是與所述疊接佈置的第一電晶體對應的第一位置,所述疊接佈置更包括在所述第一方向上延伸的第二主動區域,且所述多個閘極結構在與所述疊接佈置的第二電晶體對應的第二位置處上覆於所述第二主動區域上,所述疊接佈置的所述第二電晶體經配置成與所述疊接佈置的所述第一電晶體並聯。
  7. 如請求項6所述的積體電路驅動器,其中所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者更包括:第五金屬段與第六金屬段,在所述第一金屬層中沿著所述第一方向對齊;第五通孔,經配置成將所述疊接佈置的所述源極端子電性耦合至所述第五金屬段;以及第六通孔,經配置成將所述疊接佈置的所述汲極端子電性耦合至所述第六金屬段。
  8. 如請求項7所述的積體電路驅動器,其中所述第一類型電晶體的所述疊接佈置及所述第二類型電晶體的所述疊接佈置中的每一者更包括:第七金屬段,在所述第三金屬段與所述第四金屬段之間且在所述第一方向上延伸;以及第八金屬段,在所述第五金屬段與所述第六金屬段之間且在所述第一方向上延伸,其中所述第七金屬段及所述第八金屬段中的每一者經配置成電性浮置的。
  9. 一種積體電路(IC),包括電晶體的疊接佈置,所述電晶體的所述疊接佈置包括:第一主動區域、第二主動區域、第三主動區域及第四主動區域,在第一方向上延伸; 第一閘極結構、第二閘極結構、第三閘極結構、第四閘極結構、第五閘極結構及第六閘極結構,在與所述第一方向垂直的第二方向上延伸且在與所述疊接佈置的所述電晶體對應的位置處上覆於所述第一主動區域、所述第二主動區域、所述第三主動區域及所述第四主動區域中的每一者上;第一金屬段、第二金屬段及第三金屬段,在上覆於所述第一閘極結構、所述第二閘極結構、所述第三閘極結構、所述第四閘極結構、所述第五閘極結構及所述第六閘極結構上的第一金屬層中在所述第一方向上延伸;第一多個對齊金屬段、第二多個對齊金屬段、第三多個對齊金屬段、第四多個對齊金屬段及第五多個對齊金屬段,在上覆於所述第一閘極結構、所述第二閘極結構、所述第三閘極結構、所述第四閘極結構、所述第五閘極結構及所述第六閘極結構上的所述第一金屬層中在所述第一方向上延伸;第一通孔及第二通孔,經配置成將所述第一閘極結構及所述第二閘極結構電性耦合至所述第一金屬段;第三通孔及第四通孔,經配置成將所述第三閘極結構及所述第四閘極結構電性耦合至所述第二金屬段;第五通孔及第六通孔,經配置成將所述第五閘極結構及所述第六閘極結構電性耦合至所述第三金屬段;第七通孔、第八通孔、第九通孔、第十通孔及第十一通孔,經配置成將所述疊接佈置的第一源極端子電性耦合至所述第一多 個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者中的第一對齊金屬段;第十二通孔、第十三通孔、第十四通孔、第十五通孔及第十六通孔,經配置成將所述疊接佈置的汲極端子電性耦合至所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者中的第二對齊金屬段;以及第十七通孔、第十八通孔、第十九通孔、第二十通孔及第二十一通孔,經配置成將所述疊接佈置的第二源極端子電性耦合至所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者中的第三對齊金屬段。
  10. 一種製造積體電路(IC)裝置的方法,所述方法包括:在延伸跨越半導體基底中的第一主動區域、第二主動區域、第三主動區域及第四主動區域的第一類金屬界定(MD)段上形成第一通孔、第二通孔、第三通孔、第四通孔及第五通孔;在延伸跨越所述第一主動區域、所述第二主動區域、所述第三主動區域及所述第四主動區域的第二類金屬界定段上形成第六通孔、第七通孔、第八通孔、第九通孔及第十通孔;在延伸跨越所述第一主動區域、所述第二主動區域、所述第 三主動區域及所述第四主動區域的第三類金屬界定段上形成第十一通孔、第十二通孔、第十三通孔、第十四通孔及第十五通孔;在對應的第一閘極結構、第二閘極結構及第三閘極結構上形成第十六通孔、第十七通孔及第十八通孔,所述第一閘極結構、所述第二閘極結構及所述第三閘極結構在所述第一類金屬界定段與所述第二類金屬界定段之間延伸跨越所述第一主動區域、所述第二主動區域、所述第三主動區域及所述第四主動區域;在對應的第四閘極結構、第五閘極結構、第六閘極結構及第七閘極結構上形成第十九通孔、第二十通孔及第二十一通孔,所述第四閘極結構、所述第五閘極結構、所述第六閘極結構及所述第七閘極結構在所述第二類金屬界定段與所述第三類金屬界定段之間延伸跨越所述第一主動區域、所述第二主動區域、所述第三主動區域及所述第四主動區域;在所述第十六通孔及所述第十九通孔上形成第一金屬段,在所述第十七通孔及所述第二十通孔上形成第二金屬段,且在所述第十八通孔及所述第二十一通孔上形成第三金屬段;以及在所述第一通孔、所述第二通孔、所述第三通孔、所述第四通孔及所述第五通孔中的對應通孔上形成第一多個對齊金屬段、第二多個對齊金屬段、第三多個對齊金屬段、第四多個對齊金屬段及第五多個對齊金屬段中的每一者中的第一對齊金屬段,在所述第六通孔、所述第七通孔、所述第八通孔、所述第九通孔及所述第十通孔中的對應通孔上形成所述第一多個對齊金屬段、所述第二 多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者中的第二對齊金屬段,且在所述第十一通孔、所述第十二通孔、所述第十三通孔、所述第十四通孔及所述第十五通孔中的對應通孔上形成所述第一多個對齊金屬段、所述第二多個對齊金屬段、所述第三多個對齊金屬段、所述第四多個對齊金屬段及所述第五多個對齊金屬段中的每一者中的第三對齊金屬段。
TW112125718A 2023-05-15 2023-07-10 積體電路驅動器、積體電路及製造積體電路裝置的方法 TWI847793B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202318317481A 2023-05-15 2023-05-15
US18/317,481 2023-05-15

Publications (1)

Publication Number Publication Date
TWI847793B true TWI847793B (zh) 2024-07-01

Family

ID=92929320

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112125718A TWI847793B (zh) 2023-05-15 2023-07-10 積體電路驅動器、積體電路及製造積體電路裝置的方法

Country Status (1)

Country Link
TW (1) TWI847793B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110226226A (zh) * 2016-11-23 2019-09-10 埃克斯甘公司 由层叠的两个串联连接的芯片形成的集成电路
US20220329244A1 (en) * 2017-09-12 2022-10-13 iCometrue Company Ltd. Logic drive with brain-like elasticity and integrality based on standard commodity fpga ic chips using non-volatile memory cells
TW202306043A (zh) * 2021-07-23 2023-02-01 台灣積體電路製造股份有限公司 積體電路及其製造方法
TW202307855A (zh) * 2021-04-30 2023-02-16 台灣積體電路製造股份有限公司 積體電路裝置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110226226A (zh) * 2016-11-23 2019-09-10 埃克斯甘公司 由层叠的两个串联连接的芯片形成的集成电路
US20220329244A1 (en) * 2017-09-12 2022-10-13 iCometrue Company Ltd. Logic drive with brain-like elasticity and integrality based on standard commodity fpga ic chips using non-volatile memory cells
TW202307855A (zh) * 2021-04-30 2023-02-16 台灣積體電路製造股份有限公司 積體電路裝置
TW202306043A (zh) * 2021-07-23 2023-02-01 台灣積體電路製造股份有限公司 積體電路及其製造方法

Similar Documents

Publication Publication Date Title
US12029023B2 (en) Memory array circuit and method of manufacturing same
US20210408011A1 (en) Four cpp wide memory cell with buried power grid, and method of fabricating same
US11295055B2 (en) Transmission gate structure and method
US20220246599A1 (en) Integrated circuit device and method
US11868699B2 (en) Inverted integrated circuit and method of forming the same
US20230261003A1 (en) Integrated circuit device and method
US20230377976A1 (en) Integrated circuit in hybrid row height structure
US20220037365A1 (en) Integrated circuit device, method, and system
US20240332196A1 (en) Integrated circuit device
CN113268945A (zh) 制造集成电路的方法
US12021033B2 (en) Integrated circuit device having active region coupled to metal layers on opposite sides of substrate, and method
US20230387016A1 (en) Method of making semiconductor device including buried conductive fingers
US20230261002A1 (en) Ic logic device, layout, system, and method
TWI847793B (zh) 積體電路驅動器、積體電路及製造積體電路裝置的方法
TWI847669B (zh) 積體電路結構及其製造方法
CN219610436U (zh) 集成电路结构及集成电路装置
US20230043245A1 (en) High voltage guard ring semiconductor device and method of forming same
US20240303407A1 (en) Power distribution structure, manufacturing method, and layout method
US11699015B2 (en) Circuit arrangements having reduced dependency on layout environment
US20220367460A1 (en) Hybrid cell-based device, layout, and method
US20240088147A1 (en) Integrated circuit having transistors with different width source and drain terminals
US20240274607A1 (en) Power rail and signal conducting line arrangement
US20240355707A1 (en) Power rail arrangements in integrated circuits having stacked transistors
US20240357788A1 (en) Memory array circuit and method of manufacturing same
US20230409798A1 (en) Method of making cell regions of integrated circuits