TWI814921B - 用於電場引導的光阻劑圖案化製程的膜結構 - Google Patents

用於電場引導的光阻劑圖案化製程的膜結構 Download PDF

Info

Publication number
TWI814921B
TWI814921B TW108137195A TW108137195A TWI814921B TW I814921 B TWI814921 B TW I814921B TW 108137195 A TW108137195 A TW 108137195A TW 108137195 A TW108137195 A TW 108137195A TW I814921 B TWI814921 B TW I814921B
Authority
TW
Taiwan
Prior art keywords
photoresist layer
substrate
layer
electric field
underlying layer
Prior art date
Application number
TW108137195A
Other languages
English (en)
Other versions
TW202028879A (zh
Inventor
輝雄 戴
曼格許 邦加
克里斯多夫S 倪
史林尼法斯D 奈馬尼
怡利 葉
史蒂文希隆 韋爾奇
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202028879A publication Critical patent/TW202028879A/zh
Application granted granted Critical
Publication of TWI814921B publication Critical patent/TWI814921B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/164Coating processes; Apparatus therefor using electric, electrostatic or magnetic means; powder coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/201Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by an oblique exposure; characterised by the use of plural sources; characterised by the rotation of the optical device; characterised by a relative movement of the optical device, the light source, the sensitive system or the mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本案提供了用於最小化藉由光微影蝕刻法所形成的線中的線緣/線寬粗糙度的方法及裝置。在一個實例中,一種處理基板的方法包括以下步驟:將包括光酸產生劑的光阻層塗敷到設置在基板上的多層上,其中該多層包括由有機材料、無機材料、或有機材料與無機材料的混合物所形成的下伏層;在微影蝕刻暴露製程中將該光阻層的未被光掩模保護的第一部分暴露於輻射光;及施加電場或磁場以實質上在垂直方向上變更該光酸產生劑所產生的光酸的移動。

Description

用於電場引導的光阻劑圖案化製程的膜結構
本揭示內容大致與用於處理基板的方法及裝置相關,且更具體而言是與用於增強光阻劑輪廓控制的方法及裝置相關。
積體電路已經發展成為複雜的設備,其可以在單個晶片上包括數百萬個元件(例如電晶體、電容器、及電阻器)。可以使用光微影蝕刻法來在晶片上形成元件。一般而言,光微影蝕刻製程涉及幾個基本階段。起初,將光阻層形成於基板上。可以藉由例如旋轉塗覆來形成光阻層。光阻層可以包括抗蝕樹脂及光酸產生劑。在後續的暴露階段中暴露於電磁輻射之後,光酸產生劑在顯影製程中變更光阻劑的溶解性。電磁輻射可以具有任何合適的波長,例如極紫外線區域中的波長。電磁輻射可以來自任何合適的來源,例如193nm ArF雷射、電子束、離子束、或其他來源。可以接著在預暴露烘烤製程中移除過量的溶劑。
在暴露階段中,可以使用光掩模或主光罩來選擇性地將設置在基板上的光阻層的某些區域暴露於電磁輻射。其他的暴露方法可以是無掩模的暴露方法。暴露於 光可以使光酸產生劑分解,這產生酸並且在抗蝕樹脂中造成潛伏的酸影像。在暴露之後,可以在後暴露烘烤製程中加熱基板。在後暴露烘烤製程期間,由光酸產生劑所產生的酸與光阻層中的抗蝕樹脂起反應,從而在後續的顯影製程期間改變光阻層的抗蝕劑的溶解性。
在後暴露烘烤之後,可以將基板(特別是光阻層)顯影及沖洗。在顯影及沖洗之後,接著將圖案化的光阻層形成於基板上,如圖1中所示。圖1描繪基板100的示例性俯視截面圖,該基板具有設置在待蝕刻的目標材料102上的圖案化的光阻層104。在顯影及沖洗製程之後,開口106被界定在圖案化的光阻層104之間,從而暴露下伏的目標材料102以供蝕刻以將特徵轉移到目標材料102上。然而,微影蝕刻暴露製程的不準確控制或低解析度可能造成光阻層104不良的臨界尺度,從而造成不可接受的線寬粗糙度(LWR)108。並且,在暴露製程期間,由光酸產生劑所產生的酸(如圖1中所示)可能隨機地擴散到任何區域(包括掩模下方所保護的不想要被擴散的區域),因此在圖案化的光阻層104的與開口106交界的邊緣或介面處產生不希望得到的毛刺或粗糙輪廓150。光阻層104的大的線寬粗糙度(LWR)108及不希望得到的毛刺輪廓150可能造成對目標材料102不準確的特徵轉移,因此最終導致元件故障或產量損失。
因此,需要方法及裝置來控制線寬粗糙度(LWR)及增強解析度以及劑量靈敏度,以便獲得具有所需臨界尺度的圖案化的光阻層。
本揭示內容的實施例包括一種方法,該方法用於形成膜結構以在暴露製程或預暴露烘烤製程或後暴露烘烤製程期間高效地控制來自光阻層中的光酸產生劑的酸的分佈及擴散。在一個實例中,一種元件結構包括:膜結構,設置在基板上;及複數個開口,形成於該膜結構中,其中跨該基板形成的該等開口具有約1nm與2nm之間的臨界尺度均勻性。
在另一個實施例中,一種處理基板的方法包括以下步驟:將包括光酸產生劑的光阻層塗敷到設置在基板上的多層上,其中該多層包括由有機材料、無機材料、或有機材料與無機材料的混合物所形成的下伏層;在微影蝕刻暴露製程中將該光阻層的未被光掩模保護的第一部分暴露於輻射光;及施加電場或磁場以實質上在垂直方向上變更該光酸產生劑所產生的光酸的移動。
在又一個實施例中,一種處理基板的方法包括以下步驟:在設置在基板上的下伏層上塗敷光阻層;在微影蝕刻暴露製程中將該光阻層的未被光掩模保護的第一部分暴露於輻射光;在該光阻層及該下伏層上執行烘烤製程;及在執行該烘烤製程的同時施加電場或磁場。
100:基板
102:目標材料
104:光阻層
106:開口
108:線寬粗糙度(LWR)
150:毛刺輪廓
200:處理腔室
202:腔室壁
204:供應源
206:側壁
208:底部
210:蓋組件
212:處理容積
214:泵送端口
216:電極組件
224:主體
226:第二表面
232:加熱器
234:第一表面
238:基板支撐組件
240:基板
242:桿
245:材料層
246:波紋管
250:光阻層
255:潛像線
258:第一電極
260:第二電極
270:電源
274:電源
275:電源
280:入口
290:致動器
296:磁鐵
310:第一終端
311:第二終端
320:天線
321:天線
323:終端
325:終端
330:第一支撐結構
331:第二支撐結構
355:帶電物質
370:雙向箭頭
400:基板
402:目標層
403:硬質掩模層
404:膜結構
405:下伏層
406:第二區域
407:光阻層
408:第一區域
410:光掩模
412:光輻射
414:箭頭
416:箭頭
420:箭頭
422:箭頭
428:箭頭
430:介面
502:暴露區域
504:上光阻層
506:箭頭
600:方法
602:操作
604:操作
606:操作
370':箭頭
A:距離
A':距離
B:距離
C:距離
d:距離
L:長度
L1:長度
W:寬度
可以藉由參照實施例來獲得上文所簡要概述的本揭示內容的更詳細說明以及可以用來詳細了解本揭示內容的上述特徵的方式,附圖中繪示了該等實施例中的一些。然而,要注意,附圖僅繪示此揭示內容的典型實施例,並且因此不要將該等附圖視為本揭示內容的範圍的限制,因為本揭示內容可以容許其他同等有效的實施例。
圖1描繪在本領域中常規上設置在基板上的圖案化的光阻層的示例性結構的俯視圖;圖2是依據一個實施例用於處理基板的裝置的示意橫截面圖;圖3是設置在圖2的裝置中的電極組件的一個實施例的俯視圖;圖4描繪在暴露製程期間的設置在膜結構上的光阻層的酸分佈控制;圖5描繪在後暴露烘烤製程期間的具有所需輪廓的膜結構上的光阻層的酸分佈控制;及圖6是在暴露製程期間控制光阻層的酸分佈的一個方法的流程圖。
為了促進了解,已使用了相同的參考標號(於可能處)以指定該等圖式通用的相同元件。此外,一個實施例的元件可被有利地調適以供用於本文中所述的其他實施例中。
本案提供了用於增強由光微影蝕刻法所形成的光阻層的輪廓控制的方法。可以藉由如本文中所揭露地利用設置在光阻層下方的膜結構,減輕在後暴露烘烤程序期間由光酸產生劑所產生的酸的擴散,此擴散造成線緣/線寬粗糙。電場施加控制了光酸產生劑所產生的酸在光阻層以及設置在光阻層下方的膜結構中的下伏層中的擴散及分佈,因此防止由隨機擴散造成的線緣/線寬粗糙。本文中揭露了用於形成設置在用來控制上述酸分佈及擴散的光阻層下方的膜結構的方法
圖2是依據一個實施例的用於處理基板的裝置的示意橫截面圖。如圖2的實施例中所示,裝置可以呈真空處理腔室200的形式。在其他的實施例中,處理腔室200可以不耦接到真空源。
處理腔室200可以是獨立的處理腔室。或者,處理腔室200可以是處理系統的一部分(依需要例如是內聯處理系統、群集處理系統、或軌路處理系統)。處理腔室200詳細描述於下,並且可以用於預暴露烘烤、後暴露烘烤、及/或其他的處理步驟。
處理腔室200包括腔室壁202、電極組件216、及基板支撐組件238。腔室壁202包括側壁206、蓋組件210、及底部208。腔室壁202部分包封處理容積212。通過基板傳輸端口(未示出)進出處理容積212,該基板傳輸端口被配置為促進將基板240移進及移出處理腔室200。在處理腔室200是處理系統的一部分的實施 例中,基板傳輸端口可以允許將基板240傳輸進出鄰接的傳輸腔室。
可以可選地將泵送端口214設置穿過處理腔室200的蓋組件210、側壁206、或底部208中的一者,以將處理容積212耦接到排氣端口。排氣端口將泵送端口214耦接到各種真空泵送元件,例如真空泵。泵送元件可以減少處理容積212的壓力,並且將任何氣體及/或製程副產品排出處理腔室200。可以將處理腔室200耦接到一或更多個供應源204以供將一或更多種源化合物遞送到處理容積212中。
基板支撐組件238居中設置在處理腔室200內。基板支撐組件238在處理期間支撐基板240。基板支撐組件238可以包括主體224,該主體包覆至少一個嵌入的加熱器232。在一些實施例中,基板支撐組件238可以是靜電吸盤。加熱器232(例如電阻構件)被設置在基板支撐組件238中。加熱器232可控制地將基板支撐組件238及定位在該基板支撐組件上的基板240加熱到預定溫度。加熱器232被配置為快速升高基板240的溫度,並且準確地控制基板240的溫度。在一些實施例中,加熱器232連接到電源274且由該電源所控制。電源274可以替代性或附加性地向基板支撐組件238施加電力。可以與電源270類似地配置電源274,下文論述。並且,注意,可以依需要從處理腔室200的其他位置(例如從腔室壁、腔室襯墊、圍束基板的邊緣環、腔室頂壁等等)設置加熱器 232,以向設置在基板支撐組件238上的基板240提供熱能。
在一些實施例中,可以將基板支撐組件238配置為旋轉。在一些實施例中,基板支撐組件238被配置為圍繞z軸旋轉。可以將基板支撐組件238配置為連續地或恆常地旋轉,或可以將基板支撐組件238配置為用步進或分度的方式旋轉。例如,基板支撐組件238可以旋轉預定的量,例如90°、180°、或270°,隨後可以停止旋轉達預定的時間量。
一般而言,基板支撐組件238具有第一表面234及第二表面226。第一表面234與第二表面226相對。第一表面234被配置為支撐基板240。第二表面226具有耦接到該第二表面的桿242。基板240可以是任何類型的基板,例如介電基板、玻璃基板、半導體基板、或導電基板。基板240可以具有設置在其上的材料層245。材料層245可以是任何所需的層。在其他的實施例中,基板240可以具有多於一個的材料層245。基板240也具有設置在材料層245上方的光阻層250。先前已經在光微影蝕刻製程的暴露階段將基板240暴露於電磁輻射。光阻層250具有由暴露階段形成在其中的潛像線255。潛像線255可以是實質平行的。在其他的實施例中,潛像線255可以不是實質平行的。又,如所示,基板支撐組件238的第一表面234在z方向上與電極組件216分離達距離d。桿242耦接到升降系統(未示出)以供在升高的處理位置(如 所示)與降低的基板傳輸位置之間移動基板支撐組件238。升降系統可以準確地及精確地控制基板240在z方向上的位置。在一些實施例中,也可以將升降系統配置為在x方向、y方向、或x方向及y方向上移動基板240。桿242額外提供了用於基板支撐組件238與處理腔室200的其他元件之間的電引線及熱電耦引線的導管。波紋管246耦接到基板支撐組件238以在處理容積212與處理腔室200外部的大氣之間提供真空密封,並且促進基板支撐組件238在z方向上的移動。
蓋組件210可以可選地包括入口280,穿過該入口,由供應源204所提供的氣體可以進入處理腔室200。供應源204可以可選地可控制地用氣體(例如氮氣、氬氣、氦氣、其他氣體、或上述項目的組合)加壓處理容積212。來自供應源204的氣體可以在處理腔室200內產生受控的環境。致動器290可以可選地耦接在蓋組件210與電極組件216之間。致動器290被配置為在x、y、及z方向中的一或更多者上移動電極組件216。x及y方向在本文中稱為側向方向或尺度。致動器290允許電極組件216掃描基板240的表面。致動器290也允許調整距離d。在一些實施例中,電極組件216藉由固定的桿(未示出)耦接到蓋組件210。在其他的實施例中,電極組件216可以耦接到處理腔室200的底部208的內部、耦接到基板支撐組件238的第二表面226、或耦接到桿242。在又其 他的實施例中,電極組件216可以嵌入在基板支撐組件238的第一表面234與第二表面226之間。
電極組件216包括至少第一電極258及第二電極260。如所示,第一電極258耦接到電源270,並且第二電極260耦接到可選的電源275。在其他的實施例中,第一電極258及第二電極260中的一者可以耦接到電源,並且其他的電極可以耦接到接地。在一些實施例中,第一電極258及第二電極260耦接到接地,並且向基板支撐件遞送電力的電源274是雙極電源,雙極電源在正偏壓與負偏壓之間切換。在一些實施例中,電源270或電源275可以耦接到第一電極258及第二電極260兩者。在其他的實施例中,電源270或電源275可以耦接到第一電極258、第二電極260、及基板支撐組件238。在此類實施例中,第一電極258、第二電極260、及基板支撐組件238中的每一者的脈波延遲可能不同。可以將電極組件216配置為產生與由基板支撐組件238的第一表面所界定的x-y平面平行的電場。例如,可以將電極組件216配置為在y方向、x方向、或x-y平面上的其他方向中的一者上產生電場。
電源270及電源275被配置為向電極組件216供應例如約500V與約100kV之間,以產生具有約0.1Mv/m與約100MV/m之間的強度的電場。在一些實施例中,也可以將電源274配置為向電極組件216提供電力。在一些實施例中,電源270、電源274、或電源275 中的任一者或全部是脈衝式直流(DC)電源。脈衝式DC波可以來自半波整流器或全波整流器。DC電力可以具有約10Hz與1MHz之間的頻率。脈衝式DC電源的佔空比可以是在約5%與約95%之間,例如在約20%與約60%之間。在一些實施例中,脈衝式DC電源的佔空比可以是在約20%與約40%之間。在其他的實施例中,脈衝式DC電源的佔空比可以為約60%。脈衝式DC電源的上升及下降時間可以是在約1ns與約1000ns之間,例如是在約10ns與約500ns之間。在其他的實施例中,脈衝式DC電源的上升及下降時間可以是在約10ns與約100ns之間。在一些實施例中,脈衝式DC電源的上升及下降時間可以為約500ns。在一些實施例中,電源270、電源274、及電源275中的任一者或全部是交流電源。在其他的實施例中,電源270、電源274、及電源275中的任一者或全部是直流電源。
在一些實施例中,電源270、電源274、及電源275中的任一者或全部可以使用DC偏移。DC偏移可以例如是在所施加的電壓的約0%與約75%之間,例如是在所施加的電壓的約5%與約60%之間。在一些實施例中,第一電極258及第二電極260被負脈衝化,而基板支撐組件238也被負脈衝化。在這些實施例中,第一電極258及第二電極260及基板支撐組件238是同步的,但在時間上是偏移的。例如,第一電極258可以處於「一」狀態而基 板支撐組件238處於「零」狀態,接著基板支撐組件238處於一狀態而第一電極258處於零狀態。
電極組件216大約跨越基板支撐組件238的寬度。在其他的實施例中,電極組件216的寬度可以小於基板支撐組件238的寬度。例如,電極組件216可以跨越在基板支撐組件238的寬度的約10%與約80%(例如約20%與約40%)之間。在電極組件216比基板支撐組件238不寬的實施例中,致動器290可以跨定位在基板支撐組件238的第一表面234上的基板240的表面掃描電極組件216。例如,致動器290可以掃描,使得電極組件216掃描基板240的整個表面。在其他的實施例中,致動器290可以僅掃描基板240的某些部分。或者,基板支撐組件238可以掃描電極組件216的下方。
在一些實施例中,可以將一或更多個磁鐵296定位在處理腔室200中。在圖1中所示的實施例中,磁鐵296耦接到側壁206的內表面。在其他的實施例中,可以將磁鐵296定位在處理腔室200內或處理腔室200外部的其他位置中。磁鐵296可以是例如永久磁鐵或電磁鐵。代表性的永久磁鐵包括陶瓷磁鐵及稀土磁鐵。在磁鐵296包括電磁鐵的實施例中,磁鐵296可以耦接到電源(未示出)。磁鐵296被配置為在與由電極組件216在基板支撐組件238的第一表面234處所產生的電場線的方向垂直或平行的方向上產生磁場。例如,可以在由電極組件216所產生的電場是在y方向上時將磁鐵296配置為在x方向 上產生磁場。磁場在與磁場垂直的方向(例如與潛像線255平行的方向)上驅動由光阻層250中的光酸產生劑所產生的帶電物質355(示於圖3中)及極化物質(未示出)。藉由在與潛像線255平行的方向上驅動帶電物質355及極化物質,可以減少線粗糙度。帶電物質355及極化物質均勻的指向性移動由圖3中的雙向箭頭370所示。相比之下,在不施加磁場時,帶電物質355及極化物質可以隨機移動,如由箭頭370'所示。
繼續參照圖3,電極組件216包括至少第一電極258及第二電極260。第一電極258包括第一終端310、第一支撐結構330、及一或更多個天線320。第二電極260包括第二終端311、第二支撐結構331、及一或更多個天線321。第一電極258的第一終端310、第一支撐結構330、及一或更多個天線320可以形成單體主體。或者,第一電極258可以包括可以耦接在一起的單獨部分。例如,一或更多個天線320可以可從第一支撐結構330拆卸。第二電極260可以類似地是單體主體或包括單獨的可拆卸元件。第一電極258及第二電極260可以由任何合適的技術製造。例如,第一電極258及第二電極260可以藉由機械加工、鑄造、或添加式製造來製造。
第一支撐結構330可以由導電材料(例如金屬)製作。例如,第一支撐結構330可以由矽、多晶矽、碳化矽、鉬、鋁、銅、石墨、銀、鉑、金、鈀、鋅、其他材料、或上述項目的混合物製作。第一支撐結構330可以 具有任何所需的尺度。例如,第一支撐結構330的長度L可以是在約25mm與約450mm之間,例如是在約100mm與約300mm之間。在一些實施例中,第一支撐結構330具有大約等於標準半導體基板的直徑的長度L。在其他的實施例中,第一支撐結構330具有大於或小於標準半導體基板的直徑的長度L。例如,在不同的代表性實施例中,第一支撐結構330的長度L可以為約25mm、約51mm、約76mm、約100mm、約150mm、約200mm、約300mm、或約450mm。第一支撐結構330的寬度W可以是在約2mm與約25mm之間。在其他的實施例中,第一支撐結構330的寬度W小於約2mm。在其他的實施例中,第一支撐結構330的寬度W大於約25mm。第一支撐結構330的厚度可以是在約1mm與約10mm之間,例如是在約2mm與約8mm之間,例如約5mm。在一些實施例中,第一支撐結構330可以是方形、圓柱形、矩形、卵形、桿形、或其他形狀。具有彎曲外表面的實施例可以避免發弧。
支撐結構330可以由與第二支撐結構331相同的材料製作。適於第一支撐結構330的尺度範圍也適於第二支撐結構331。在一些實施例中,第一支撐結構330及第二支撐結構331由相同的材料製作。在其他的實施例中,第一支撐結構330及第二支撐結構331由不同的材料製作。第一支撐結構330及第二支撐結構331的長度L、寬度W、及厚度可以相同或不同。
第一電極258的一或更多個天線320也可以由導電材料製作。一或更多個天線320可以由與第一支撐結構330相同的材料製作。第一電極258的一或更多個天線320可以具有任何所需的尺度。例如,一或更多個天線320的長度L1可以是在約25mm與約450mm之間,例如是在約100mm與約300mm之間。在一些實施例中,一或更多個天線320具有大約等於標準基板的直徑的長度L1。在其他的實施例中,一或更多個天線320的長度L1可以是在標準基板的直徑的約75%與90%之間。一或更多個天線320的寬度W1可以是在約2mm與約25mm之間。在其他的實施例中,一或更多個天線320的寬度W1小於約2mm。在其他的實施例中,一或更多個天線320的寬度W1大於約25mm。一或更多個天線320的厚度可以是在約1mm與約10mm之間,例如是在約2mm與約8mm之間。一或更多個天線320可以具有方形、矩形、卵形、圓形、圓柱形、或另一種形狀的橫截面。具有圓形外表面的實施例可以避免發弧。
天線320中的每一者均可以具有相同的尺度。或者,一或更多個天線320中的一些可以具有與其他天線320中的一或更多者不同的尺度。例如,一或更多個天線320中的一些可以具有與其他天線320中的一或更多者不同的長度L1。一或更多個天線320中的每一者可以由相同的材料製作。在其他的實施例中,天線320中的一些可以由與其他天線320不同的材料製作。
天線320可以由與天線320相同範圍的材料製作。適於天線320的尺度範圍也適於天線321。在一些實施例中,天線320及天線321由相同的材料製作。在其他的實施例中,天線320及天線321由不同的材料製作。天線320及天線321的長度L1、寬度W1、及厚度可以相同或不同。
天線320可以包括1個與約40個之間的天線320。例如,天線320可以包括約4個與約40個之間的天線320,例如約10個與約20個之間的天線320。在其他的實施例中,天線320可以包括多於40個的天線320。在一些實施例中,天線320中的每一者均可以與第一支撐結構330實質垂直。例如,在第一支撐結構330筆直的實施例中,每個天線320均可以與第一支撐結構330實質平行。天線320中的每一者均可以與其他天線320中的每一者實質平行。天線321中的每一者均可以類似地相對於支撐結構331及每個其他的天線321定位。
天線320中的每一者均具有終端323。天線321中的每一者均具有終端325。距離C被界定在第一支撐結構330與終端325之間。距離C'被界定在第二支撐結構331與終端323之間。距離C及C'中的每一者可以是在約1mm與約10mm之間。在其他的實施例中,距離C及C'可以小於約1mm或大於約10mm。在一些實施例中,距離C及距離C'相等。在其他的實施例中,距離C及距離C'不同。
距離A被界定在天線321中的一者及天線321中的相鄰天線的面對表面之間。距離A'被界定在一個天線320及天線320中的相鄰天線的面對表面之間。距離A及A'可以大於約6mm。例如,距離A及A'可以是在約6mm與約20mm之間,例如是在約10mm與約15mm之間。每個相鄰的天線321、320之間的距離A及A'可以相同或不同。例如,一或更多個天線320中的第一天線與第二天線、第二天線與第三天線、及第三天線與第四天線之間的距離A'可以不同。在其他的實施例中,距離A'可以相同。
距離B被界定在天線320中的一者及天線321中的相鄰天線的面對表面之間。距離B可以例如大於約1mm。例如,距離B可以是在約2mm與約10mm之間,例如是在約4mm與約6mm之間。每個距離B可以相同,每個距離B可以不同,或一些距離B可以相同並且一些距離B可以不同。調整距離B允許容易控制電場強度。
天線320、321可以在光阻層250上方用交錯的佈置定向。例如,可以將第一電極258的天線320及第二電極260的天線321定位為使得天線320中的至少一者定位在天線321中的兩者之間。此外,可以將至少一個天線321定位在天線320中的兩者之間。在一些實施例中,除了天線320中的一者之外的所有天線都定位在天線321中的兩者之間。在彼等實施例中,除了天線321中的一者之外的所有天線都可以定位在天線320中的兩者之 間。在一些實施例中,天線320及天線321可以各自僅具有一個天線。
在一些實施例中,第一電極258具有第一終端310,並且第二電極260具有第二終端311。第一終端310可以是第一電極258與電源270、電源275、或接地之間的接點。第二終端311可以是第二電極260與電源270、電源275、或接地之間的接點。第一終端310及第二終端311被示為分別位在第一電極258及第二電極260的一端處。在其他的實施例中,第一終端310及第二終端311可以分別定位在第一電極258及第二電極上的其他位置處。第一終端310及第二終端311分別具有與第一支撐結構330及支撐結構331不同的形狀及尺寸。在其他的實施例中,第一終端310及第二終端311可以分別具有與第一支撐結構330及支撐結構331大致相同的形狀及尺寸。
操作時,可以從電源(例如電源270、電源274、或電源275)向第一終端310、第二終端311、及/或基板支撐組件238供應電壓。供應的電壓在一或更多個天線320中的每個天線與一或更多個天線321中的每個天線之間產生電場。電場在一或更多個天線320中的一個天線與一或更多個天線321中的一個相鄰天線之間將最強。天線320、321的交錯及對準的空間關係在與由基板支撐組件238的第一表面234所界定的平面平行的方向上產生電場。基板240定位在第一表面234上,使得潛像線255與由電極組件216所產生的電場線平行。因為帶電 物質355帶電,所以帶電物質355受到電場的影響。電場在電場的方向上驅動由光阻層250中的光酸產生劑所產生的帶電物質355。藉由在與潛像線255平行的方向上驅動帶電物質355,可以減少線緣粗糙度。均勻的指向性移動由雙向箭頭370所示。相比之下,在不向第一終端310或第二終端311施加電壓時,不在任何特定方向上產生電場來驅動帶電物質355。其結果是,帶電物質355可以隨機移動(如由箭頭370'所示),這可能造成注意或線粗糙。
圖4描繪在微影蝕刻暴露製程期間的設置在基板400上的膜結構404。光阻層407被設置在膜結構404上。膜結構404包括設置在硬質掩模層403上且更遠地設置在目標層402上的下伏層405。目標層402之後被圖案化以供在目標層402中形成所需的元件特徵。在一個實例中,下伏層405可以是有機材料、無機材料、或有機或無機材料的混合物。在下伏層405是有機材料的實施例中,有機材料可以是可交聯的聚合材料,可以經由旋轉塗佈製程將該可交聯的聚合材料塗覆到基板400上,接著熱固化,使得之後可以將光阻層407塗敷在其上。在下伏層405是無機材料的實施例中,無機材料可以是藉由任何合適的沉積技術(例如CVD、ALD、PVD、旋轉塗佈塗覆、噴塗塗覆等等)所形成的介電材料。
下伏層405用作平坦化層、防反射塗層、及/或光酸方向控制體,其可以在將圖案轉移到下伏的硬質掩 模層403及目標層402中時提供蝕刻抗性及線緣粗糙度控制。來自下伏層405的圖案化抵抗功能性可以在抗蝕製程的轉移期間與下伏的硬質掩模層403一起作用。在一個實例中,下伏層405不與光阻層407交互作用,並且與光阻層407沒有介面混合及/或擴散或交叉污染。
下伏層405包括一或更多種添加劑,例如酸劑(例如光酸產生劑(PAG)或酸催化劑)、鹼劑、增黏劑、或光敏成分。可以將該一或更多種添加劑設置在有機溶劑或樹脂及/或無機基質材料中。酸劑的合適實例包括選自由以下項目所組成的群組的光酸產生劑(PAG)及/或酸催化劑:磺酸(例如對甲苯磺酸、苯乙烯磺酸)、磺酸鹽(例如對甲苯磺酸吡啶鎓、三氟甲烷磺酸吡啶鎓、3-硝基苯磺酸吡啶鎓)、及上述項目的混合物。合適的有機溶劑可以包括含有二或更多個重複單元及聚合主鏈的均聚物或更高級的聚合物。有機溶劑的合適實例包括但不限於丙二醇甲醚乙酸酯(PGMEA)、乳酸乙酯(EL)、丙二醇甲醚(PGME)、丙二醇正丙醚(PnP)、環己酮、丙酮、γ-丁內酯(GBL)、及上述項目的混合物。
在一個實例中,下伏層405在微影蝕刻暴露製程、預暴露烘烤製程、或後暴露烘烤製程期間提供活性的酸劑、鹼劑、或鐵性/非鐵性物質,以協助控制來自上光阻層407的光酸流動方向。
硬質掩模層403可以是由以下項目所組成的群組所製造的ARC層:氧化矽、氮化矽、氮氧化矽、碳 化矽、非晶碳、摻雜過的非晶碳、TEOS氧化物、USG、SOG、有機矽、含氧化物的材料、氮化鈦、氮氧化鈦、上述項目的組合等等。
光阻層407可以是能夠進行化學放大反應的正性光阻劑及/或負性光阻劑。光阻層407是聚合物有機材料。
如上文所論述,可以在微影蝕刻暴露製程、預暴露烘烤製程、或後暴露烘烤製程(特別是後暴露烘烤製程)期間施加來自電極116的電場以及來自磁鐵296的磁場。在圖4中所描繪的實例中,在微影蝕刻暴露製程期間施加電場及/或磁場。在微影蝕刻暴露製程期間,光輻射412被引導到光阻層407的第一區域408,而光阻層407的第二區域406被光掩模410保護。在光酸產生劑(PAG)暴露於光輻射412(例如UV光輻射)時,光酸(在圖4中示為e-)產生在光阻層407中暴露的第一區域408中。然而,通常,光酸的移動一般是隨機的,並且光酸分佈可以不均勻地分佈在第一區域408中或可能沒有明顯的邊界設定在形成於界定在第一區域408與第二區域406之間的平面(與第二區域406交接)上的介面430處,從而造成一部分的光酸漂移及擴散到不想要的產生光酸的第二區域406中(如箭頭422中所示)。如此,漂移到第二區域406中(如箭頭422中所示)的側向光酸移動(例如與基板400的平坦面平行的方向)可能造成線緣粗糙、解 析度損失、光阻劑走位、輪廓變形,因此對下伏的目標層402造成不準確的特徵轉移及/或最終導致元件故障。
儘管本文所論述的實例被示為來自光酸的電子的移動,但注意,任何合適的物質(包括電荷、帶電顆粒、光子、離子、電子、或呈任何形式的反應物質)也可以在向光阻層407施加電場時具有類似的效果。
藉由向光阻層407施加電場及/或磁場,可以高效地控制及約束暴露的第一區域408中的光酸的分佈。如向光阻層407所施加的電場可以在側向運動(例如由箭頭422所示的x方向)最小的情況下在垂直方向(例如由箭頭416及420所示且與基板400的平坦面實質垂直的y方向)上移動光酸而不會擴散到相鄰的第二區域406中。一般而言,光酸可以具有可以受向其施加的電場或磁場影響的某種極性,因此用某些方向使光酸定向,因此產生暴露的第一區域408中的光酸所需的指向性移動而不會跨越到相鄰的受保護的第二區域406中。在一個實例中,可以進一步控制光酸以沿著側向平面(如由箭頭414所示)指向性地用縱向方向(例如由箭頭428所示的z方向,其被界定在與被光掩模410保護的光阻層407的第二區域406交接的平面上)移動,以便控制約束在暴露的第一區域408中的光酸的縱向分佈而不會用x方向(如由箭頭422所示)跨越到光阻層407的第二區域406中。向光阻層407產生的磁場可以使得電子沿著某個磁力線(例如縱向方向(例如由箭頭428所示的z方向))環行, 以便進一步用所需的三維分佈控制光酸。磁場與電場之間的交互作用可以依需要最佳化某個路徑上的光酸軌跡線,並且將其約束在暴露的第一區域408中。並且,需要垂直光酸移動來消除由光暴露工具自然產生的駐波,因此增強了暴露解析度。在一個實施例中,可以在微影蝕刻暴露製程、預烘烤製程、或後烘烤製程期間向光阻層407施加具有約0.1MV/m與約100MV/m之間的強度的電場,以將光阻層407中所產生的光酸約束在垂直方向上(例如y方向上)。在一個實施例中,可以在微影蝕刻暴露製程、預烘烤製程、或後烘烤製程期間向光阻層407施加0.1特斯拉(T)與10特斯拉(T)之間的磁場以及電場,以將光阻層407中所產生的光酸約束在縱向方向及垂直方向上(例如y及z方向上),其中最小限度地約束在側向方向上(例如x方向上)。在將磁場與電場結合的同時,可以進一步將如所產生的光酸約束為在縱向方向上(例如在由箭頭428所示的方向上)分佈,從而沿著暴露的第一區域408內的介面430平行地保留在光阻層407的第一區域408中。
圖5描繪光酸分佈的另一個輪廓,該光酸分佈可以藉由利用電場、磁場、或上述項目的組合來控制以在後暴露烘烤製程期間特別控制位於某些區處的光酸。在微影蝕刻暴露製程之後,光阻層407的暴露區域502已經由如圖4中所示的第一區域408化學改變。在微影蝕刻暴露光阻層407之後,接著執行後暴露烘烤製程以固化光阻層 407,包括光阻層407中的暴露區域502及其餘區域(例如在微影蝕刻暴露製程期間被光掩模屏蔽的區域)。在後暴露烘烤製程期間,使用可以協助在所需的方向(如由圖5中的箭頭506所示)上分佈/移動光阻層407內的光酸的方式,來控制來自下伏層405的酸劑(例如光酸)、鹼劑、或其他合適的添加劑。下伏層405中的添加劑在後暴露烘烤製程期間(或甚至在微影蝕刻暴露製程期間)擴散到上光阻層504,這有助於改善光阻層407的靈敏度,以便維持光阻層407的垂直輪廓。其結果是,在顯影及沖洗之後,可以在光阻層407中獲得實質垂直的輪廓。
在一個實施例中,可以在後暴露烘烤製程期間向上(如由箭頭506所示)熱驅動來自下伏層405的添加劑(舉一個例子,例如酸劑或光酸),使得可以高效地控制光阻層407的輪廓。並且,因為可以在後暴露烘烤製程期間藉由電場、磁場、或上述項目的組合向上用特定的方向驅動來自下伏層405的添加劑,可以將從添加劑提供的電子控制在某個移動路徑上(例如絕大多數是在朝向光阻層407的垂直方向上)。藉由這樣做,可以依需要在光阻層407中界定及約束所需的垂直結構。注意,圖4-5中所描繪的光阻層407的實例被形成為具有筆直的邊緣輪廓(例如垂直的側壁)。然而,可以依需要用任何所需的形狀(例如錐形或擴口開口)來形成光阻層407的輪廓。
在後暴露烘烤製程之後,可以執行各向異性蝕刻製程或其他合適的圖案化/蝕刻製程以依需要將特徵轉移到下伏層405、硬質掩模層403、及目標層402中。
圖6描繪方法600的流程圖,該方法用於利用設置在光阻層下方的下伏層來協助在微影蝕刻暴露製程期間或在預暴露烘烤製程或後暴露烘烤製程期間控制光阻層中的光酸分佈/擴散。方法600藉由將基板(例如上述的基板400)定位到處理腔室(例如圖2-3中所描繪的處理腔室200)中開始於操作602處,其中電極組件及磁性組件被設置在該處理腔室中。
在操作604處,在定位基板400之後,可以向處理腔室個別或共同施加電場及/或磁場(在微影蝕刻暴露製程及/或後暴露烘烤製程期間施加),以控制光阻層內的光酸移動,其中下伏層被設置在該光阻層下方。在向設置在基板上的光阻層及下伏層個別或共同施加電場及/或磁場之後,如所產生的光酸可以主要在垂直方向、縱向方向、圓形方向上移動而不是在側向方向上移動。由設置在光阻層下方的下伏層所提供的協助的結果是,可以高效地控制光阻層中的光酸移動。
在操作606處,在暴露製程之後,執行後暴露烘烤製程以固化光阻層及下伏層。在烘烤製程期間,也可以向下伏層提供能量(例如電能、熱能、或其他合適的能量)。在本文所描繪的一個實例中,能量是在後暴露烘烤製程期間提供到基板的熱能。來自下伏層的添加劑也可以 協助控制光阻層內的光酸的流動方向。藉由利用具有圖案化的光阻層的預定路徑中的光酸分佈的指向性控制,可以獲得具有高的解析度、劑量靈敏度、對線塌陷及隨機故障的抗性、及最小線緣粗糙度的所需邊緣輪廓。在一個實例中,藉由利用下伏層結構,可以將臨界尺度均勻性(CDU)(例如臨界尺度變化)從一般從3nm到6nm減少到低達1nm到2nm或更小,這為約50%到600%的均勻性改善。可以將線寬粗糙度(LWR)從一般從3nm到5nm減少到低達1nm到2nm或更小,這為約50%到600%的粗糙度改善。並且,可以將第一溝槽的第一尖端與第二溝槽的第二尖端之間的距離從一般從30nm到50nm減少到低達10nm到20nm。並且,也可以高效地消除及減少一些類型的缺陷(例如圓角化、走位、變形的輪廓、歪斜的側壁輪廓)。
先前所述的實施例具有許多優點,包括下述。例如,本文中所揭露的實施例可以在解析度高及邊緣輪廓尖銳的情況下減少或消除線緣/線寬粗糙度。上述優點是說明性而非限制性的。所有實施例不一定具有所有的優點。
儘管以上內容是針對本揭示內容的實施例,但也可以在不脫離本揭示內容的基本範圍的情況下設計本揭示內容的其他的及另外的實施例,且本揭示內容的範圍是由隨後的申請專利範圍所決定的。
400:基板
402:目標層
403:硬質掩模層
404:膜結構
405:下伏層
406:第二區域
407:光阻層
408:第一區域
410:光掩模
412:光輻射
414:箭頭
416:箭頭
420:箭頭
422:箭頭
428:箭頭
430:介面

Claims (15)

  1. 一種處理一基板的方法,該方法包括以下步驟:將包括一光酸產生劑的一光阻層塗敷到設置在一基板上的一多層膜結構上,其中該多層膜結構包括一下伏層,該下伏層包括一有機溶劑與一酸劑,該酸劑選自由以下項目所組成的群組:一磺酸、一磺酸鹽、及上述項目的一混合物;在一微影蝕刻暴露製程中將該光阻層的未被一光掩模保護的一第一部分暴露於一輻射光;以及施加一電場或一磁場以實質上在一垂直方向變更該光酸產生劑所產生的光酸的移動。
  2. 如請求項1所述的方法,更包括以下步驟:烘烤該光阻層及該下伏層;以及在烘烤該光阻層及該下伏層的同時,施加一電場或一磁場。
  3. 如請求項1所述的方法,其中在該微影蝕刻暴露製程期間向該光阻層施加該電場或該磁場。
  4. 如請求項2所述的方法,其中施加該電場的步驟更包括以下步驟: 對設置在該基板上的該光阻層及該下伏層執行一預暴露烘烤製程,其中在該預暴露烘烤製程期間向該光阻層施加該電場或該磁場。
  5. 如請求項1所述的方法,其中該下伏層包括一有機聚合物溶劑中的一或更多種添加劑。
  6. 如請求項5所述的方法,其中該下伏層更包括一添加劑,該添加劑包括一鹼劑、增黏劑、及光敏成分、或上述物的任何組合。
  7. 如請求項5所述的方法,其中該有機聚合物溶劑選自由以下項目所組成的群組:丙二醇甲醚乙酸酯(PGMEA)、乳酸乙酯(EL)、丙二醇甲醚(PGME)、丙二醇正丙醚(PnP)、環己酮、丙酮、γ-丁內酯(GBL)、及上述項目的混合物。
  8. 如請求項1所述的方法,其中該多層膜結構更包括:一硬質掩模層,設置在該下伏層下方及該基板上方。
  9. 如請求項8所述的方法,其中該硬質掩模層選自由以下項目所組成的群組:氧化矽、氮化矽、氮氧化矽、碳化矽、非晶碳、摻雜過的非晶碳、TEOS氧化物、USG、SOG、有機矽、含氧化物的材料、氮化鈦、氮氧化鈦、及上述項目的組合。
  10. 一種處理一基板的方法,該方法包括以下步驟:在設置在一基板上的一下伏層上塗敷一光阻層,該下伏層包括一有機溶劑與一酸劑,該酸劑選自由以下項目所組成的群組:一磺酸、一磺酸鹽、及上述項目的一混合物;在一微影蝕刻暴露製程中將該光阻層的未被一光掩模保護的一第一部分暴露於一輻射光;在該光阻層及該下伏層上執行一烘烤製程;以及在執行該烘烤製程的同時施加一電場或一磁場。
  11. 如請求項10所述的方法,其中暴露該光阻層的該第一部分的步驟更包括以下步驟:在執行該微影蝕刻暴露製程的同時施加一電場或一磁場。
  12. 如請求項10所述的方法,其中該下伏層是一有機材料。
  13. 如請求項10所述的方法,其中該下伏層更包括一添加劑,該添加劑包括一鹼劑、一增黏劑、一光敏成分、或上述物的任何組合。
  14. 如請求項10所述的方法,其中在執行該烘烤製程的同時施加該電場或該磁場的步驟更包括以下步驟: 實質上在一垂直方向變更該光阻層中所產生的光酸的移動。
  15. 如請求項10所述的方法,其中在執行該烘烤製程的同時施加該電場或該磁場的步驟更包括以下步驟:在執行該烘烤製程的同時使該光阻層中的光酸定向。
TW108137195A 2019-01-18 2019-10-16 用於電場引導的光阻劑圖案化製程的膜結構 TWI814921B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962794298P 2019-01-18 2019-01-18
US62/794,298 2019-01-18

Publications (2)

Publication Number Publication Date
TW202028879A TW202028879A (zh) 2020-08-01
TWI814921B true TWI814921B (zh) 2023-09-11

Family

ID=71608962

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112131095A TWI830683B (zh) 2019-01-18 2019-10-16 用於電場引導的光阻劑圖案化製程的膜結構
TW108137195A TWI814921B (zh) 2019-01-18 2019-10-16 用於電場引導的光阻劑圖案化製程的膜結構

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112131095A TWI830683B (zh) 2019-01-18 2019-10-16 用於電場引導的光阻劑圖案化製程的膜結構

Country Status (6)

Country Link
US (2) US11650506B2 (zh)
JP (1) JP2022518411A (zh)
KR (1) KR20210096302A (zh)
CN (1) CN113196452A (zh)
TW (2) TWI830683B (zh)
WO (1) WO2020149903A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11768435B2 (en) * 2018-11-02 2023-09-26 Brewer Science, Inc. Bottom-up conformal coating and photopatterning on PAG-immobilized surfaces
US20210041785A1 (en) * 2019-08-09 2021-02-11 Applied Materials, Inc. Process control of electric field guided photoresist baking process
US11429026B2 (en) * 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
US20220091513A1 (en) * 2020-09-18 2022-03-24 Applied Materials, Inc. Film structure for electric field assisted bake process
US20220390847A1 (en) * 2021-06-08 2022-12-08 Applied Materials, Inc. Metal oxide resist patterning with electrical field guided post-exposure bake
CN114002916B (zh) * 2021-12-09 2023-07-25 河南汇达印通科技股份有限公司 一种感光材料板生产装置
CN115981101B (zh) * 2023-03-17 2023-06-16 湖北江城芯片中试服务有限公司 半导体结构的制造方法及半导体结构

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030008246A1 (en) * 2001-04-20 2003-01-09 Cheng Mosong Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US20050074706A1 (en) * 2003-10-06 2005-04-07 Robert Bristol Enhancing photoresist performance using electric fields
US20080008967A1 (en) * 2006-07-07 2008-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Utilization of electric field with isotropic development in photolithography
US7585609B2 (en) * 2002-12-30 2009-09-08 International Business Machines Corporation Bilayer film including an underlayer having vertical acid transport properties
WO2010021290A1 (ja) * 2008-08-18 2010-02-25 日産化学工業株式会社 オニウム基を有するシリコン含有レジスト下層膜形成組成物
WO2010055852A1 (ja) * 2008-11-12 2010-05-20 日産化学工業株式会社 レジスト下層膜形成組成物及びそれを用いたパターニング方法
US20120322011A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
WO2014021256A1 (ja) * 2012-07-30 2014-02-06 日産化学工業株式会社 スルホン酸オニウム塩を含有するケイ素含有euvレジスト下層膜形成組成物
WO2014129582A1 (ja) * 2013-02-25 2014-08-28 日産化学工業株式会社 水酸基を有するアリールスルホン酸塩含有レジスト下層膜形成組成物
TW201546571A (zh) * 2014-06-10 2015-12-16 Applied Materials Inc 電場/磁場導引之酸擴散
US9366966B2 (en) * 2014-07-10 2016-06-14 Applied Materials, Inc. Electric/magnetic field guided acid profile control in a photoresist layer

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5215619A (en) 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5258266A (en) 1989-11-16 1993-11-02 Mitsubishi Denki Kabushiki Kaisha Method of forming minute patterns using positive chemically amplifying type resist
JPH03159114A (ja) * 1989-11-16 1991-07-09 Mitsubishi Electric Corp 微細パターンの形成方法
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
KR930021034A (ko) 1992-03-31 1993-10-20 다니이 아끼오 플라즈마발생방법 및 그 발생장치
US5663036A (en) 1994-12-13 1997-09-02 International Business Machines Corporation Microlithographic structure with an underlayer film comprising a thermolyzed azide
JP3589365B2 (ja) 1996-02-02 2004-11-17 富士写真フイルム株式会社 ポジ画像形成組成物
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
KR100239440B1 (ko) 1997-08-20 2000-01-15 김영환 화학 증폭형 포토레지스트의 패터닝 방법
US6143124A (en) 1997-08-22 2000-11-07 Micron Technology, Inc. Apparatus and method for generating a plasma from an electromagnetic field having a lissajous pattern
KR100557579B1 (ko) 1997-11-05 2006-05-03 에스케이 주식회사 박막제조장치
US6187152B1 (en) 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
US20020129902A1 (en) 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
JP2001290275A (ja) 2000-02-03 2001-10-19 Fuji Photo Film Co Ltd ポジ型フォトレジスト組成物
KR100811964B1 (ko) 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 레지스트 패턴 형성장치 및 그 방법
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
JP3696156B2 (ja) 2000-12-26 2005-09-14 株式会社東芝 塗布膜の加熱装置、レジスト膜の処理方法
US20020096114A1 (en) 2001-01-22 2002-07-25 Applied Materials, Inc. Series chamber for substrate processing
US7160521B2 (en) 2001-07-11 2007-01-09 Applied Materials, Inc. Treatment of effluent from a substrate processing chamber
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
JP4251830B2 (ja) * 2001-08-08 2009-04-08 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6841342B2 (en) 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
WO2003021642A2 (en) 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7187796B1 (en) 2003-10-01 2007-03-06 Advanced Micro Devices, Inc. Systems and methods that employ exposure compensation to provide uniform CD control on reticle during fabrication
KR100515369B1 (ko) 2003-10-02 2005-09-14 동부아남반도체 주식회사 전기장에 의하여 반도체 소자의 미세패턴을 형성하는노광장치 및 그 방법
US7274429B2 (en) 2003-12-10 2007-09-25 Asml Netherlands B.V. Integrated lithographic fabrication cluster
JP2005203597A (ja) 2004-01-16 2005-07-28 Nippon Telegr & Teleph Corp <Ntt> レジスト現像方法および装置
JP4282500B2 (ja) 2004-01-29 2009-06-24 株式会社東芝 構造検査方法及び半導体装置の製造方法
US20050250052A1 (en) 2004-05-10 2005-11-10 Nguyen Khe C Maskless lithography using UV absorbing nano particle
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
JP4410121B2 (ja) 2005-02-08 2010-02-03 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像方法
US7241707B2 (en) 2005-02-17 2007-07-10 Intel Corporation Layered films formed by controlled phase segregation
JP4685584B2 (ja) 2005-03-11 2011-05-18 東京エレクトロン株式会社 塗布、現像装置
US7780813B2 (en) 2005-06-09 2010-08-24 Alcatel-Lucent Usa Inc. Electric field mediated chemical reactors
US7972761B2 (en) 2006-08-04 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist materials and photolithography process
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
JP4549959B2 (ja) 2005-09-14 2010-09-22 大日本スクリーン製造株式会社 基板処理装置
JP4771816B2 (ja) 2006-01-27 2011-09-14 大日本スクリーン製造株式会社 基板処理装置
US20080050679A1 (en) 2006-02-22 2008-02-28 Sokudo Co., Ltd. Methods and systems for performing immersion processing during lithography
JP4428717B2 (ja) 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8501395B2 (en) 2007-06-04 2013-08-06 Applied Materials, Inc. Line edge roughness reduction and double patterning
US8636458B2 (en) 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
US20090174036A1 (en) * 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
EP2245512B1 (en) * 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
US8084186B2 (en) 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
US8097402B2 (en) 2009-03-31 2012-01-17 Tokyo Electron Limited Using electric-field directed post-exposure bake for double-patterning (D-P)
US8883407B2 (en) 2009-06-12 2014-11-11 Rohm And Haas Electronic Materials Llc Coating compositions suitable for use with an overcoated photoresist
JP5449239B2 (ja) 2010-05-12 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及びプログラムを記録した記憶媒体
JP5174098B2 (ja) 2010-08-09 2013-04-03 東京エレクトロン株式会社 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
WO2012057967A2 (en) 2010-10-27 2012-05-03 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness
KR20120052884A (ko) * 2010-11-15 2012-05-24 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 염기 반응성 포토애시드 발생제 및 이를 포함하는 포토레지스트
CN103282396B (zh) * 2010-12-28 2015-03-18 三菱瓦斯化学株式会社 芳烃树脂、光刻法用底层膜形成组合物以及形成多层抗蚀图案的方法
US8288174B1 (en) 2011-03-24 2012-10-16 Tokyo Electron Limited Electrostatic post exposure bake apparatus and method
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
EP2534983A1 (en) 2011-06-17 2012-12-19 Philip Morris Products S.A. Merchandising unit with moveable housing
US8569187B2 (en) 2011-06-24 2013-10-29 Applied Materials, Inc. Thermal processing apparatus
JP5653880B2 (ja) * 2011-10-11 2015-01-14 信越化学工業株式会社 レジスト下層膜形成材料及びパターン形成方法
JP5859420B2 (ja) * 2012-01-04 2016-02-10 信越化学工業株式会社 レジスト下層膜材料、レジスト下層膜材料の製造方法、及び前記レジスト下層膜材料を用いたパターン形成方法
US9104113B2 (en) 2013-01-07 2015-08-11 International Business Machines Corporation Amplification method for photoresist exposure in semiconductor chip manufacturing
JP6170378B2 (ja) * 2013-08-29 2017-07-26 東京エレクトロン株式会社 エッチング方法
US9405189B2 (en) * 2013-09-06 2016-08-02 Rohm And Haas Electronic Materials Llc Self-assembled structures, method of manufacture thereof and articles comprising the same
GB2521751A (en) 2013-11-12 2015-07-01 Perpetuus Res & Dev Ltd Treating particles
KR20160118205A (ko) 2014-02-06 2016-10-11 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 전도성 및 균일성을 위해 축방향으로 대칭가능한 인라인 dps 챔버 하드웨어 설계
JP6255652B2 (ja) * 2014-03-07 2018-01-10 富士フイルム株式会社 有機薄膜トランジスタ
US9280070B2 (en) 2014-07-10 2016-03-08 Applied Materials, Inc. Field guided exposure and post-exposure bake process
US9798240B2 (en) 2014-07-10 2017-10-24 Applied Materials, Inc. Controlling photo acid diffusion in lithography processes
US9733579B2 (en) * 2014-10-15 2017-08-15 Applied Materials, Inc. Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
EP3062334B1 (en) * 2015-02-27 2020-08-05 IMEC vzw Method for patterning an underlying layer
CN107533288B (zh) 2015-05-28 2021-10-19 英特尔公司 用于解耦合光致抗蚀剂的扩散和溶解性切换机制的手段
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US9927709B2 (en) 2015-10-02 2018-03-27 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9996006B2 (en) 2016-10-14 2018-06-12 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
JP6781031B2 (ja) 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10615058B2 (en) 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
TWI763827B (zh) * 2017-04-20 2022-05-11 日商Jsr股份有限公司 感放射線性樹脂組成物及抗蝕劑圖案形成方法

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030008246A1 (en) * 2001-04-20 2003-01-09 Cheng Mosong Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US7585609B2 (en) * 2002-12-30 2009-09-08 International Business Machines Corporation Bilayer film including an underlayer having vertical acid transport properties
US20050074706A1 (en) * 2003-10-06 2005-04-07 Robert Bristol Enhancing photoresist performance using electric fields
US20080008967A1 (en) * 2006-07-07 2008-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Utilization of electric field with isotropic development in photolithography
WO2010021290A1 (ja) * 2008-08-18 2010-02-25 日産化学工業株式会社 オニウム基を有するシリコン含有レジスト下層膜形成組成物
WO2010055852A1 (ja) * 2008-11-12 2010-05-20 日産化学工業株式会社 レジスト下層膜形成組成物及びそれを用いたパターニング方法
US20120322011A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
WO2014021256A1 (ja) * 2012-07-30 2014-02-06 日産化学工業株式会社 スルホン酸オニウム塩を含有するケイ素含有euvレジスト下層膜形成組成物
WO2014129582A1 (ja) * 2013-02-25 2014-08-28 日産化学工業株式会社 水酸基を有するアリールスルホン酸塩含有レジスト下層膜形成組成物
TW201546571A (zh) * 2014-06-10 2015-12-16 Applied Materials Inc 電場/磁場導引之酸擴散
US9366966B2 (en) * 2014-07-10 2016-06-14 Applied Materials, Inc. Electric/magnetic field guided acid profile control in a photoresist layer

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
期刊 Xuet. al., " 15 nm HP patterning with EUV and SADP: key contributors for improvement of LWR, LER, and CDU", Proceedings of SPIE, Volume 8685, San Jose, California, United States, March 29, 2013, pages 86850C-1 to 86850C-10 *

Also Published As

Publication number Publication date
US11880137B2 (en) 2024-01-23
WO2020149903A1 (en) 2020-07-23
CN113196452A (zh) 2021-07-30
JP2022518411A (ja) 2022-03-15
TW202401171A (zh) 2024-01-01
US20230229089A1 (en) 2023-07-20
US20200233307A1 (en) 2020-07-23
KR20210096302A (ko) 2021-08-04
US11650506B2 (en) 2023-05-16
TW202028879A (zh) 2020-08-01
TWI830683B (zh) 2024-01-21

Similar Documents

Publication Publication Date Title
TWI814921B (zh) 用於電場引導的光阻劑圖案化製程的膜結構
KR102610050B1 (ko) 액침 필드 가이드 노광 및 노광-후 베이크 프로세스
JP6582081B2 (ja) 電場/磁場案内された酸拡散
US10108093B2 (en) Controlling photo acid diffusion in lithography processes
US9366966B2 (en) Electric/magnetic field guided acid profile control in a photoresist layer
US9280070B2 (en) Field guided exposure and post-exposure bake process
TWI816094B (zh) 用於光阻圖案化的微影處理窗增強
US20210294215A1 (en) Photoresist patterning process
US9927709B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US9996006B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US20210041785A1 (en) Process control of electric field guided photoresist baking process
US20220390847A1 (en) Metal oxide resist patterning with electrical field guided post-exposure bake