TWI814001B - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TWI814001B
TWI814001B TW110119032A TW110119032A TWI814001B TW I814001 B TWI814001 B TW I814001B TW 110119032 A TW110119032 A TW 110119032A TW 110119032 A TW110119032 A TW 110119032A TW I814001 B TWI814001 B TW I814001B
Authority
TW
Taiwan
Prior art keywords
layer
gate
forming
semiconductor
trench
Prior art date
Application number
TW110119032A
Other languages
English (en)
Other versions
TW202145585A (zh
Inventor
游佳達
徐曉秋
楊豐誠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/198,774 external-priority patent/US11437469B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145585A publication Critical patent/TW202145585A/zh
Application granted granted Critical
Publication of TWI814001B publication Critical patent/TWI814001B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/07Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
    • H01L27/0705Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體結構,包括複數個半導體層,設置於基板之上,並且沿著第一方向縱向地取向;金屬閘極堆疊,設置於半導體層之上,並且沿著實質上垂直於第一方向的第二方向縱向地取向,其中金屬閘極堆疊包括頂部分以及設置於頂部分之下的底部分,其中金屬閘極堆疊的底部分與半導體層交錯穿插;複數個源極/汲極部件,設置於半導體層中,其中金屬閘極堆疊介於源極/汲極部件之間;以及隔離結構,從基板突出,其中隔離結構沿著第二方向縱向地取向,並且沿著第一方向與金屬閘極堆疊分隔,且其中隔離結構包括介電層及氣隙。

Description

半導體結構及其形成方法
本發明係有關於一種半導體結構及其形成方法,特別是有關於具有較小的寄生電容的半導體裝置及其形成方法。
半導體積體電路工業已經歷快速成長。積體電路之材料與設計方面的技術進步已經產生了數代的積體電路,其中每一代都比上一代具有更小且更複雜的電路。在積體電路的發展過程中,隨著幾何尺寸(亦即,利用製程所製造的最小裝置尺寸或線寬)的降低,功能密度(functional density,亦即,每一晶片面積中內連接的裝置數量)已普遍增加。尺寸縮減之製程具有提升生產效率及降低相關成本的優點。隨著如此的尺寸縮減,加工與製造積體電路的複雜性也隨之增加,為了實現這些進步,在積體電路的加工與製造中需要進行類似的發展。
隨著積體電路技術朝向更小的技術節點發展,設置在主動裝置區域之間的介電組件的寄生電容(parasitic capacitance)可能會嚴重影響積體電路裝置的整體性能。在一些示範例中,當降低主動裝置區域之間的間隔距離以滿足較小技術節點的設計需求時,高寄生電容可能會導致較低的裝置速度(例如,電阻電容延遲(RC delay))。雖然降低積體電路裝置中的寄生電容的方法已普遍能夠符合其預期目的,然而其仍無法完全滿足所有方面的需求。
在本發明的一實施例中,提供一種半導體結構。此半導體結構包括:複數個半導體層,設置於基板之上,並且沿著第一方向縱向地取向;金屬閘極堆疊,設置於上述半導體層之上,並且沿著實質上垂直於上述第一方向的第二方向縱向地取向,其中上述金屬閘極堆疊包括頂部分以及設置於上述頂部分之下的底部分,其中上述金屬閘極堆疊的上述底部分與上述半導體層交錯穿插;複數個源極/汲極部件,設置於上述半導體層中,其中上述金屬閘極堆疊介於上述源極/汲極部件之間;以及隔離結構,從上述基板突出,其中上述隔離結構沿著上述第二方向縱向地取向,並且沿著上述第一方向與上述金屬閘極堆疊分隔,且其中上述隔離結構包括介電層及氣隙。
在本發明的另一實施例中,提供一種半導體結構的形成方法。此半導體結構的形成方法包括:形成半導體鰭片從基板突出,其中形成上述半導體鰭片包括形成具有交替排列的複數個矽層及複數個矽鍺層的多層結構於上述基板之上,並且將上述多層結構圖案化,以形成上述半導體鰭片;形成第一佔位閘極及第二佔位閘極於上述半導體鰭片之上,其中上述第一佔位閘極及上述第二佔位閘極是沿著實質上垂直於上述半導體鰭片的長度方向而縱向地取向;移除上述第一佔位閘極的一部分以形成溝槽,而暴露上述基板;形成虛置部件於上述溝槽中;使用金屬閘極結構替換上述第二佔位閘極;以及使用隔離閘極替換上述虛置部件,其中上述隔離閘極包括介電層及氣隙。
在本發明的又一實施例中,提供一種半導體結構的形成方法。此半導體結構的形成方法包括:形成半導體鰭片從基板突出;形成第一佔位閘極及第二佔位閘極於上述半導體鰭片之上,其中上述第一佔位閘極及上述第二佔位閘極是沿著實質上垂直於上述半導體鰭片的長度方向而縱向地取向;形成源極/汲極部件於上述半導體鰭片之上,並且介於上述第一佔位閘極與上述第二佔位閘極之間;移除設置於上述半導體鰭片之上的上述第一佔位閘極的一部分以形成第一溝槽,而暴露上述基板;沉積襯層於上述第一溝槽中;形成虛置層於上述襯層之上以填充上述第一溝槽,其中上述虛置層與上述襯層具有不同的成分;形成金屬閘極結構替換上述第二佔位閘極;以及形成隔離閘極結構替換上述襯層及上述虛置層。在本實施例中,形成上述隔離閘極結構更包括相對於上述金屬閘極結構選擇性地移除上述虛置層,而在第二溝槽中暴露上述襯層;在上述第二溝槽中沉積介電層於上述襯層之上,其中上述介電層、上述襯層與上述虛置層具有不同的成分;相對於上述介電層選擇性地移除上述襯層,以形成氣隙;以及將上述氣隙密封,以形成上述隔離閘極結構。
以下揭露提供了許多不同的實施例或範例,用於實施本發明實施例中的不同部件。組件與配置的具體範例描述如下,以簡化本揭露的說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,當以下揭露的不同範例中提及一個部件形成於另一部件之上、連接至此另一部件及/或耦合至此另一部件,可能包含這兩個部件直接接觸的實施例,也可能包含有額外的部件形成於上述兩個部件之間,使得上述兩個部件不直接接觸的實施例。再者,在此使用空間相對用詞,例如「下方」、「上方」、「水平」、「垂直」、「高於」、「在……上」、「低於」、「在……下」、「上」、「下」、「頂部」、「底部」等等,以及類似的用詞(例如,「水平地」、「朝向下方地」、「朝向上方地」等等),以助於描述一個部件相對於另一部件之間的關係。除了在圖式中繪示的方位外,這些空間相對用詞意欲包含具有這些部件之裝置的不同方位。
此外,當使用「大約」、「近似於」或其他類似的用語等描述一個數值或一個數值範圍時,此術語旨在涵蓋在包括所述數值的合理範圍內的數字,例如,在所述數值的+/- 10%,或是本技術領域中具有通常知識者所理解的其他數值。舉例而言,技術用語「大約5 nm」涵蓋從4.5 nm至5.5 nm的尺寸範圍。另外,以下揭露的不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
本揭露一般而言是有關於半導體裝置,並且更具體而言是有關於位在積體電路結構的記憶單元及/或標準邏輯單元中的場效電晶體(field-effect transistor, FET),例如,三維奈米結構場效電晶體(three-dimensional nanostructure FET, NSFET,亦被稱為全繞式閘極場效電晶體(gate-all-around FET, GAA FET))。一般而言,奈米結構場效電晶體在場效電晶體的通道區域中包括多個垂直堆疊的片(例如,奈米片)、線(例如,奈米線)或棒(例如,奈米棒),因而對於各種積體電路應用,能夠實現更良好的閘極控制、更低的漏電流,以及更佳的微縮能力。本揭露包括多個實施例。不同的實施例可以具有不同的優點,並且沒有任何特定的優點需要對應於所有實施例或示例。
現在請參考第1A圖及第1B圖,其繪示出根據本揭露的一些實施例的形成半導體裝置200 (以下簡稱為裝置200)的方法100的流程圖。方法100僅是示例,並且不意圖將本揭露限制於申請專利範圍中明確記載的範圍之外。可以在方法100之前、之間及之後提供額外的操作步驟,並且對於此方法的附加實施例,可以替換、省略或移動所述的一些操作步驟。下文將結合第3A圖至第33C圖描述方法100,其中第3A圖至第33C圖是如第2A圖及第2B圖所繪示的裝置200在方法100的中間階段中的各種剖面示意圖。舉例而言,第3A圖、第4A圖、第5A圖、第6A圖、第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖、第20A圖、第21A圖、第21B圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖、第29A圖、第30A圖、第31A圖、第34A圖及第34C圖是裝置200沿著第2A圖及/或第2B圖所繪示的LL’剖線所繪製的剖面示意圖;第3B圖、第4B圖、第5B圖、第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖、第14B圖、第15B圖、第16B圖、第17B圖、第18B圖、第19B圖、第20B圖、第22B圖、第23B圖、第24B圖、第25B圖、第26B圖、第27B圖、第28B圖、第29B圖、第30B圖及第31B圖是裝置200沿著第2A圖及/或第2B圖所繪示的MM’剖線所繪製的剖面示意圖;第3C圖、第4C圖、第5C圖、第6C圖、第7C圖、第8C圖、第9C圖、第10C圖、第11C圖、第12C圖、第13C圖、第14C圖、第15C圖、第16C圖、第17C圖、第18C圖、第19C圖、第20C圖、第22C圖、第23C圖、第24C圖、第25C圖、第26C圖、第27C圖、第28C圖、第29C圖、第30C圖、第31C圖、第32A圖、第32B圖、第32C圖、第33A圖、第33B圖及第33C圖是裝置200沿著第2A圖及/或第2B圖所繪示的NN’剖線所繪製的剖面示意圖;並且第34B圖及第34D圖分別是對應於第34A圖及第34C圖的平面上視示意圖。
裝置200可以是在積體電路或其一部分的加工期間所製造的中間裝置,其可以包括靜態隨機存取記憶體器(static random access memory, SRAM)及/或邏輯電路、被動元件(例如,電阻、電容及電感)、以及主動元件,例如,奈米結構場效電晶體、鰭式場效電晶體(FinFET)、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistor, MOSFET)、互補式金屬氧化物半導體(complementary metal-oxide semiconductor, CMOS電晶體)、雙極電晶體(bipolar transistor)、高壓電晶體、高頻電晶體及/或其他電晶體。在本實施例中,裝置200包括一個或多個奈米結構場效電晶體。本揭露不限於任何特定數量的裝置或裝置區域,或任何特定的裝置配置方式。可以將額外的部件添加到裝置200,並且在裝置200的其他實施例中可以替換、修改或省略以下所描述的一些部件。
在操作步驟102中,請參考第2A圖至第3C圖,方法100形成裝置200,此裝置200包括從半導體基板(以下稱為基板) 202突出的多個主動三維裝置區域(以下稱為鰭片) 204a、204b及204c,其中鰭片204a至鰭片204c藉由隔離部件208彼此分開。裝置200還包括磊晶源極/汲極(S/D)部件214 (例如,請參考第3A圖)及至少兩個虛置閘極堆疊(或佔位閘極堆疊(placeholder gate stack)) 210a及210b,其中磊晶源極/汲極部件214設置於鰭片204a至鰭片204c的源極/汲極區域之中及/或之上,且其中虛置閘極堆疊210a及虛置閘極堆疊210b在長度方向上實質上垂直於鰭片204a至鰭片204c,並且插入在每一個鰭片204a至鰭片204c的磊晶源極/汲極部件214之間。在一些實施例中,請參考第2B圖,虛置閘極堆疊210b設置在兩個虛置閘極堆疊210a之間。
基板202可以包括元素(單一元素)半導體,例如,矽(Si)、鍺(Ge)及/或其他合適的材料;化合物半導體,例如,碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦及/或其他合適的材料;合金半導體,例如,矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化銦鋁(AlInAs)、砷化鎵鋁(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)、磷砷化銦鎵(GaInAsP)及/或其他合適的材料。基板202可以是具有均勻成分的單層材料。可替代地,基板202可以包括多個材料層,且這些材料層具有適合於積體電路裝置製造的相似的或不同的成分。
在本實施例中,請參考第2A圖及第3A圖,鰭片204a至鰭片204c的每一者包括多層結構ML,且此多層結構ML包括垂直堆疊在基板202的突出部分上的交替排列的非通道層(或犧牲層) 205及通道層206。在本實施例中,每一個非通道層205是被配置為在後續的處理步驟中被移除的犧牲層,而在通道層206之間提供開口,以在其中形成金屬閘極堆疊。每一個通道層206可以包括半導體材料,例如,矽、鍺、碳化矽(SiC)、矽鍺、鍺錫(GeSn)、矽鍺錫(SiGeSn)、矽鍺碳錫(SiGeCSn)、其他合適的半導體材料或上述之組合,且每一個非通道層205的成分不同於通道層206的成分。在一個這樣的示範例中,通道層206可以包括元素矽,並且非通道層205可以包括矽鍺。在另一示範例中,通道層206可以包括元素矽,並且非通道層205可以包括元素鍺。在一些示範例中,鰭片204a至鰭片204c的每一者可包括總計三至十對交替排列的非通道層205與通道層206;當然,取決於特定的設計需求,也可以適用其他的配置方式。在替代的實施例中,鰭片204a至鰭片204c被配置為沿著Z軸具有均勻的成分,並且不具有如本文中所描繪的多層結構。
在本實施例中,形成多層結構包括在一系列磊晶製程中交替地成長非通道層205與通道層206。可以藉由化學氣相沉積(chemical vapor deposition, CVD)技術(例如,氣相磊晶(vapor-phase epitaxy, VPE)、超高真空化學氣相沉積(ultra-high vacuum CVD, UHV-CVD)、低壓化學氣相沉積(low-pressure CVD, LPCVD)及/或電漿輔助化學氣相沉積(plasma-enhanced CVD, PECVD))、分子束磊晶(molecular beam epitaxy)、其他合適的選擇性磊晶成長(selective epitaxial growth, SEG)製程或上述之組合,而實施磊晶製程。磊晶製程可以使用含有合適材料(例如,用於非通道層205的鍺)的氣態及/或液態前驅物,其可與位於下方的基板(例如,基板202)的成分相互作用。在一些示範例中,可以將通道層205及通道層206形成為奈米片、奈米線或奈米棒。然後,可以進行片(或線)釋放製程,以移除非通道層205,而在通道層206之間形成開口,並且後續在此開口中形成金屬閘極堆疊,進而提供奈米結構場效電晶體。
在本實施例中,鰭片204a至鰭片204c是使用一系列光學微影(photolithography)製程及蝕刻製程而由多層結構所製成的。舉例而言,光學微影製程可以包括:形成覆蓋在多層結構上的光阻層;將此光阻層曝光於圖案;進行曝光後烘烤 (post-exposure bake);以及對經過曝光的光阻層進行顯影,以形成經過圖案化的罩幕元件(未繪示)。然後,使用此經過圖案化的罩幕元件作為蝕刻罩幕,以蝕刻此多層結構,而使三維鰭片204a-204c突出於基板202。蝕刻製程可以包括乾式蝕刻、濕式蝕刻、反應性離子蝕刻(reactive ion etching, RIE)、其他合適的製程或或上述之組合。隨後,使用任何合適的製程,例如,灰化((ashing))及/或光阻剝離(resist stripping),將此經過圖案化的罩幕元件從多層結構上移除。
隔離部件208可以包括氧化矽(氧化矽(SiO)及/或二氧化矽(SiO2 ))、氟摻雜的矽酸鹽玻璃(fluoride-doped silicate glass, FSG)、低介電常數(low-k)介電材料、其他合適的材料或上述之組合。隔離部件208可以包括淺溝槽隔離(shallow trench isolation, STI)部件。在一實施例中,藉由任何合適的方法,例如,化學氣相沉積、流動式化學氣相沉積(flowable CVD, FCVD)、旋塗玻璃(spin-on glass, SOG)、其他合適的方法或上述之組合,將如上所述的介電材料填充於分隔鰭片204a至鰭片204c的溝槽中,而形成隔離部件208。隨後可以藉由化學機械平坦化/研磨(chemical-mechanical planarization/polishing或CMP)製程,將介電材料平坦化,並且選擇性地回蝕刻,以形成隔離部件208。隔離部件208可以包括單層結構或多層結構。
仍請參考第2A圖至第3C圖,裝置200還包括虛置閘極堆疊210a及虛置閘極堆疊210b,其中虛置閘極堆疊210a及虛置閘極堆疊210b沿著Y軸實質上彼此平行地定向,並且設置在鰭片204a至鰭片204c的通道區域之上。在本實施例中,每一個虛置閘極堆疊210a及210b包括設置在界面層(interfacial layer, IL) 211之上的虛置閘極電極209,其中界面層211可以包括氧化物材料(例如,氧化矽)。根據本揭露的一些實施例,虛置閘極堆疊210a及虛置閘極堆疊210b具有實質上相同的成分,並且形成為實質上相同的閘極高度GH,其中閘極高度GH是從界面層211到虛置閘極堆疊210a及虛置閘極堆疊210b的頂表面測量而得的。如下文將詳細討論,虛置閘極堆疊210a的一部分被配置為由金屬閘極結構所替代,而虛置閘極堆疊210b的至少一部分被絕緣(或介電)結構所替代。這種絕緣結構另可被稱為「連續擴散區上聚合物邊緣(Continuous Poly on Diffusion Edge, CPODE)結構」。在至少一些現有的實施方式中,可以使用連續擴散區上聚合物邊緣結構作為縮放工具(scaling tool),以提高先進技術節點中的裝置的密度。在一個這樣的示範例中,替代虛置閘極堆疊210b的連續擴散區上聚合物邊緣結構可以被配置為提供相鄰的場效電晶體之間(亦即,主動裝置區域之間)的隔離,其包括磊晶源極/汲極部件及替代虛置閘極堆疊210a而形成的導電閘極結構。如本文所提供的,根據特定的設計需求,虛置閘極堆疊210b可以被連續擴散區上聚合物邊緣結構部分地替換或完全地替換。
一般而言,設置在主動裝置區域之間的介電組件的寄生電容在提高裝置性能方面扮演重要的角色。具有較高k值(介電常數)的介電組件所引起的較高寄生電容可能會導致電阻電容延遲,因而導致處理速度降低。本實施例針對形成連續擴散區上聚合物邊緣結構的方法,其具有降低的k值,以減小兩個主動裝置區域之間的寄生電容。在一些實施例中,主動裝置區域包括多閘極電晶體(multi-gate transistor),例如,奈米結構場效電晶體。
虛置閘極堆疊210a及虛置閘極堆疊210b可以藉由一系列沉積製程及圖案化製程而形成。舉例而言,可以藉由在沉積多晶矽(poly-Si)層於鰭片204a至鰭片204c之上,並藉由一系列光學微影製程及蝕刻製程(例如,非等向性乾式蝕刻製程)將對多晶矽層圖案化,以形成虛置閘極堆疊210a及虛置閘極堆疊210b。為了適應圖案化製程並且在後續的製造製程期間保護虛置閘極堆疊210b,沉積硬罩幕層(hard mask layer, HM) 213及硬罩幕層215於多晶矽層上,如第3A圖至第3C圖所繪示。硬罩幕層213與硬罩幕層215通常具有不同的成分,並且每一者可以包括氧化矽(氧化矽(SiO)及/或二氧化矽(SiO2 ))、氮化矽(silicon nitride, SiN)、碳化矽(silicon carbide, SiC)、含氧氮化矽(oxygen-containing silicon nitride, SiON)、含氧碳化矽(oxygen-containing silicon carbide, SiOC)、含碳氮化矽(carbon-containing silicon nitride, SiCN)、其他合適的材料或上述之組合。在一些示範例中,如本文所描述,硬罩幕層213可以包括氮化矽,並且硬罩幕層215可以包括氧化矽。在本實施例中,沉積多晶矽層之前,藉由合適的方法,例如,熱氧化、化學氧化、其他合適的方法或上述之組合,以形成界面層211於鰭片204a至鰭片204c之上。
請參考第3A圖,裝置200還包括頂部閘極間隔物212a,其設置於虛置閘極堆疊210a及虛置閘極堆疊210b的側壁上。頂部閘極間隔物212a可以是單層結構或多層結構,並且可以包括氧化矽、氮化矽、碳化矽、氮氧化矽、碳氧化矽、氮碳化矽、其他合適的材料或上述之組合。頂部閘極間隔物212a的每一個間隔物層可以藉由以下方法而形成:首先藉由合適的沉積方法(例如,化學氣相沉積及/或原子層沉積(atomic layer deposition, ALD))沉積介電層於虛置閘極堆疊210a及虛置閘極堆疊210b之上,接著在非等向性(例如,定向)蝕刻製程(例如,乾式蝕刻製程)中移除此介電層的部分,而將頂部閘極間隔物212a保留於虛置閘極堆疊210a及虛置閘極堆疊210b的側壁上。在某些情況下,非等向性蝕刻製程可能會無意中移除硬罩幕層215的某些部分,而使其具有如本文所述的經過圓化的剖面輪廓。仍請參考第3A圖,裝置200還包括蝕刻停止層(etch-stop layer, ESL) 217,其設置於虛置閘極堆疊210a及虛置閘極堆疊210b以及頂部閘極間隔物212a之上,並且被配置為在後續的製造製程期間保護位於其下方的各個組件。蝕刻停止層217可以包括任何合適的介電材料,例如,氮化矽、氮碳化矽、其他合適的材料或上述之組合,並且可以藉由化學氣相沉積、原子層沉積、物理氣相沉積(physical vapor deposition, PVD)、其他合適的方法或上述之組合,而形成蝕刻停止層217。在本實施例中,蝕刻停止層217相對於其周圍的介電組件(例如,硬罩幕層215)提供蝕刻選擇性,以確保防止對這些組件所造成的意外損壞。
仍請參考第3A圖,裝置200還包括內部閘極間隔物212b,其設置於磊晶源極/汲極部件214與非通道層205之間。內部閘極間隔物212b可以是單層結構或多層結構,並且可以包括氧化矽、氮化矽、碳化矽、氮碳化矽、碳氧化矽、氮氧化矽、氮碳氧化矽(SiOCN)、矽、低介電常數(low-k)介電材料、四乙氧基矽烷(tetraethylorthosilicate, TEOS)、經摻雜的氧化矽(例如,硼磷矽酸鹽玻璃(borophosphosilicate glass, BPSG)、氟摻雜的矽玻璃(fluoride-doped silicate glass, FSG)、磷矽酸鹽玻璃(phosphosilicate glass, PSG)、硼摻雜的矽玻璃(boron doped silicon glass, BSG)等等)、空氣、其他合適的介電材料或上述之組合。在一些實施例中,內部閘極間隔物212b具有與頂部閘極間隔物212a不同的成分。
形成內部閘極間隔物212b (以及後續的磊晶源極/汲極部件214)包括首先形成源極/汲極凹口(未繪示)於鰭片204a至鰭片204c的源極/汲極區域中。在本實施例中,方法100實施蝕刻製程,且此蝕刻製程選擇性地移除位於源極/汲極區域中的鰭片204a至鰭片204c的一部分,而不移除或實質上不移除虛置閘極堆疊210a、虛置閘極堆疊210b或隔離部件208。在一些實施例中,蝕刻製程是乾式蝕刻製程,其採用能夠移除多層結構的矽(即,通道層206)及矽鍺(即,非通道層205)的合適的蝕刻劑。在一些非限制性的示範例中,乾式蝕刻劑可以是含氯的蝕刻劑,包括氯氣(Cl2)、四氯化矽(SiCl4)、三氯化硼(BCl3)、其他含氯氣體或上述之組合。隨後可以進行清潔製程,使用氫氟酸(HF)溶液或其他合適的溶液,以清潔源極/汲極凹口。
之後,方法100在一系列蝕刻製程及沉積製程中形成內部閘極間隔物212b。舉例而言,形成內部閘極間隔物212b可以開始於選擇性地移除非通道層205的部分,而不移除或實質上不移除通道層206的部分,以形成溝槽(未繪示)。可以藉由乾式蝕刻製程而蝕刻非通道層205。之後,在上述溝槽中形成一個或多個介電層,然後進行一個或多個蝕刻製程以移除(亦即,回蝕刻)沉積在暴露於源極/汲極凹口中的通道層206的表面上的多餘的介電層,而形成如第3A圖所繪示的內部閘極間隔物212b。可以藉由任何合適的方法,例如,化學氣相沉積、原子層沉積、物理氣相沉積、其他合適的方法或上述之組合,而沉積上述一個或多個介電層。
每一個磊晶源極/汲極部件214都可以適合於形成p型場效電晶體裝置(亦即,包括p型磊晶材料)或n型場效電晶體裝置(即,包括n型磊晶材料)。上述p型磊晶材料可以包括一個或多個矽鍺(磊晶矽鍺,epi SiGe)的磊晶層,其中矽鍺摻雜有p型摻質,例如,硼、鍺、銦、其他p型摻質或上述之組合。上述n型磊晶材料可以包括一個或多個矽(磊晶矽,epi Si)或碳化矽(磊晶碳化矽,epi SiC)的磊晶層,其中矽或碳化矽摻雜有n型摻質,例如,砷、磷、其他n型摻質或上述之組合。在一些實施例中,進行一個或多個磊晶成長製程,以在每一個源極/汲極凹口中以及在內部閘極間隔物212b之上成長磊晶材料。例如,方法100可以實施磊晶成長製程,其相似於上文所討論的關於形成多層結構的磊晶成長製程。在一些實施例中,藉由在磊晶成長製程中將摻質添加於來源材料,以對磊晶材料進行原位(in-situ)摻雜。在一些實施例中,在進行沉積製程之後,藉由離子佈植製程而對磊晶材料進行摻雜。在一些實施例中,之後進行退火製程,以將位於磊晶源極/汲極部件214中的摻質活性化。
現在請參考第4A圖至第4C圖,在操作步驟104中,方法100形成層間介電層218於蝕刻停止層217之上,因而填充虛置閘極堆疊210a與虛置閘極堆疊210b之間的空間。層間介電層218可以包括氧化矽、低介電常數介電材料、四乙氧基矽烷、經摻雜的氧化矽(例如,硼磷矽酸鹽玻璃、氟摻雜的矽玻璃、磷矽酸鹽玻璃、硼摻雜的矽玻璃等等)、其他合適的介電材料或上述之組合,並且可以藉由任何合適的方法,例如,化學氣相沉積、流動式化學氣相沉積、旋塗玻璃、其他合適的方法或上述之組合,而形成層間介電層218。請參考第5A圖至第5C圖,在操作步驟104中,方法100隨後進行一個或多個化學機械研磨製程,以將裝置200的頂表面平坦化。在本實施例中,將裝置200平坦化包括從虛置閘極堆疊210a及虛置閘極堆疊210b移除硬罩幕層213及硬罩幕層215同時保持虛置閘極堆疊210a及虛置閘極堆疊210b的閘極高度GH。
請參考第6A圖至第6C圖,在操作步驟106中,方法100移除層間介電層218的頂部分,以形成溝槽220於虛置閘極堆疊210a與虛置閘極堆疊210b之間。方法100實施合適的蝕刻製程302,以選擇性地移除層間介電層218的頂部分,而不移除或實質上不移除虛置閘極堆疊210a、虛置閘極堆疊210b、蝕刻停止層217或頂部閘極間隔物212a。蝕刻製程302可以是乾式蝕刻製程、濕式蝕刻製程、反應性離子蝕刻製程、其他合適的製程或上述之組合。
請參考第7A圖至第8C圖,在操作步驟108中,方法100沉積硬罩幕層224於經過凹陷化的層間介電層218之上,而填充溝槽220。硬罩幕層224可以包括氧化矽、氮化矽、氮碳化矽、碳氧化矽、氮氧化矽、氮碳氧化矽、其他合適的材料或上述之組合,並且可以藉由化學氣相沉積、原子層沉積、物理氣相沉積、其他合適的方法或上述之組合,而形成硬罩幕層224。在本實施例中,硬罩幕層224包括氮化矽,並且藉由原子層沉積製程而沉積。之後,在操作步驟108中,方法100實施一個或多個化學機械研磨製程,以將硬罩幕層224平坦化至與虛置閘極堆疊210a的頂表面及虛置閘極堆疊210b的頂表面齊平。在所描繪的實施例中,方法100首先形成氧化物層225於硬罩幕層224上,然後沿著如第7A圖所繪示的虛線實施一個或多個化學機械研磨製程。在一些實施例中,氧化物層225被配置為在裝置200的整個表面上提供均勻的研磨。所得到的裝置200如第8A圖至第8C圖所繪示,裝置200包括硬罩幕層224,硬罩幕層224設置在虛置閘極堆疊210a的頂表面與虛置閘極堆疊210b的頂表面之間,但不位於虛置閘極堆疊210a的頂表面與虛置閘極堆疊210b的頂表面上方。
現在請參考第9A圖至第10C圖,在操作步驟110中,方法100沉積硬罩幕層228於裝置200之上,並且隨後將硬罩幕層228圖案化,以形成開口230,且開口230被配置為選擇性地暴露虛置閘極堆疊210b的至少一部分。硬罩幕層228可以包括氧化矽、氮化矽、氮碳化矽、碳氧化矽、氮氧化矽、氮碳氧化矽、矽、其他合適的材料或上述之組合,並且可以藉由化學氣相沉積、原子層沉積、物理氣相沉積、其他合適的方法或上述之組合,而形成硬罩幕層228。在本實施例中,硬罩幕層228與硬罩幕層224包括實質上相同的成分,例如,氮化矽。方法100可以使用類似於上文關於形成鰭片204a至鰭片204c所討論的一系列光學微影製程及蝕刻製程,而將硬罩幕層228圖案化。舉例而言,可以形成包括光阻層的罩幕元件(未繪示)於硬罩幕層228之上,藉由經過圖案化的罩幕曝光於輻射源,隨後顯影以形成經過圖案化的罩幕元件。之後,可以使用此經過圖案化的罩幕元件作為蝕刻罩幕,而蝕刻硬罩幕層228,以形成開口230,其中開口230暴露虛置閘極堆疊210b而不暴露虛置閘極堆疊210a。在一些實施例中,開口230沿著X軸橫跨寬度w’,其中此寬度w’大於頂部閘極間隔物212a之間的距離w。
在一些實施例中,如第10A圖至第10C圖所繪示,開口230部分地暴露虛置閘極堆疊210b的設置在鰭片204b上方的部分,但是不暴露設置在鰭片204a上方的部分。雖然在此並未繪示,但是本揭露的一些實施例提供對硬罩幕層228進行圖案化,以在整個Y軸上暴露虛置閘極堆疊210b,亦即,開口230暴露出虛置閘極堆疊210b設置於其上的所有鰭片。因此,開口230沿著Y軸的尺寸對應於將要形成的連續擴散區上聚合物邊緣結構的尺寸,此連續擴散區上聚合物邊緣結構是用以替代虛置閘極堆疊210b。
接著,請參考第11A圖至第11C圖,在操作步驟112中,方法100移除受到開口230所暴露的虛置閘極堆疊210b的部分,以在頂部閘極間隔物212a之間形成溝槽232。在本實施例中,在操作步驟112中,方法100實施蝕刻製程304,以從虛置閘極堆疊210b選擇性地移除包括多晶矽層的虛置閘極電極209,而不移除或實質上不移除界面層211、頂部閘極間隔物212a或硬罩幕層224。蝕刻製程304可以是使用合適蝕刻劑的乾式蝕刻製程、濕式蝕刻製程、反應性離子蝕刻製程或上述之組合。
現在請參考第12A圖至第12C圖,在操作步驟114中,方法100在蝕刻製程306中移除受到溝槽232所暴露的裝置200的部分。在本實施例中,蝕刻製程306移除界面層211、非通道層205、通道層206、基板202的至少一部分以及受到溝槽232所暴露的任何其他材料層。換言之,蝕刻製程306將溝槽232垂直向下延伸,以暴露基板202。因為受到溝槽232所暴露的各種材料層具有不同的成分,蝕刻製程306可能不會對特定成分具有選擇性。換言之,蝕刻製程306使用與蝕刻製程304的蝕刻劑不同的蝕刻劑,其選擇性地移除虛置閘極電極209,而不移除或實質上不移除界面層211。在一些實施例中,蝕刻製程306是乾式蝕刻製程、濕式蝕刻製程、反應性離子蝕刻製程或上述之組合。在一些實施例中,蝕刻製程306使用不同的蝕刻劑,以移除界面層211、通道層206及非通道層205。在本實施例中,溝槽232延伸至多層結構的底表面下方。換言之,溝槽232的底表面由基板202所定義。在本實施例中,溝槽232垂直地延伸到深於最底部的非通道層205。在第12A圖中,溝槽232垂直地延伸到深於磊晶源極/汲極部件214的底表面一段距離H,其中距離H大於或等於零。
請參考第13A圖至第13C圖,在操作步驟116中,方法100沉積介電襯層240於裝置200之上,使得介電襯層240順應性地形成於溝槽232之上及硬罩幕層228的頂表面之上。在一些實施例中,介電襯層240包括氧化矽、氮化矽、氮碳化矽、碳氧化矽、氮氧化矽、氮碳氧化矽、其他合適的材料或上述之組合。在本實施例中,介電襯層240被配置為具有與硬罩幕層224以及隨後在溝槽232中形成的填充層244不同的成分,以確保介電襯層240相對於這些材料層具有蝕刻選擇性。因此,在一個例示性的實施例中,介電襯層240包括氧化矽(氧化矽(SiO)及/或二氧化矽(SiO2 )),而硬罩幕層224包括氮化物材料(例如,氮化矽),並且填充層244包括非晶矽(amorphous Si, a-Si)及/或氧化鋁(Al2 O3 )。可以藉由任何合適的沉積製程而形成介電襯層240,包括原子層沉積、化學氣相沉積、物理氣相沉積、其他合適的方法或上述之組合。
仍請參考第13A圖至第13C圖,在操作步驟118中,方法100形成填充層244於介電襯層240之上,而填充溝槽232。在本實施例中,填充層244是用於形成包括氣隙的連續擴散區上聚合物邊緣結構的虛置(或佔位)層。在本實施例中,填充層244包括非晶矽、氧化鋁或上述之組合,並且如上所述,填充層244被配置為具有與介電襯層240不同的成分,使得這兩層可以選擇性地被蝕刻。在一些實施例中,填充層244包括與位於其附近的其他介電組件在成分上不同的材料,以避免在實施後續蝕刻製程時的無意損壞。舉例而言,填充層244實質上不含氧化矽、氮化矽、氮碳化矽、碳氧化矽、氮氧化矽、氮碳氧化矽、其他合適的材料或上述之組合,這些材料可能會包括在介電襯層240的成分中。可以藉由任何合適的沉積製程而形成填充層244,包括化學氣相沉積、流動式化學氣相沉積、原子層沉積、物理氣相沉積、其他合適的方法或上述之組合。請參考第14A圖至第14C圖,在操作步驟118中,方法100隨後藉由沿著如第13A圖至第13C圖所繪示的虛線的一個或多個化學機械研磨製程,以移除硬罩幕層228 (以及填充層244的一部分),而將虛置閘極電極209的頂表面平坦化至與與填充層244的頂表面齊平。
請參考第15A圖至第15C圖在操作步驟120中,方法100在蝕刻製程308中移除虛置閘極電極209,以形成溝槽246於頂部閘極間隔物212a之間。在本實施例中,蝕刻製程308選擇性地移除虛置閘極堆疊210a的虛置閘極電極209,而不移除或實質上不移除界面層211及填充層244。在一些實施例中,利用乾式蝕刻製程、濕式蝕刻製程、反應性離子蝕刻製程或上述之組合,而實施蝕刻製程308。舉例而言,蝕刻製程308可以包括利用合適的蝕刻劑而實施乾式蝕刻製程及濕式蝕刻製程的組合。在一些實施例中,如本文中所描述,蝕刻製程308還移除頂部閘極間隔物212a的一部分,使得頂部閘極間隔物212a的高度低於閘極高度GH。
在一些實施例中,請參考第16A圖至第16C圖,在操作步驟120中,方法100在蝕刻製程310中選擇性地移除界面層211,而不移除或實質上不移除裝置200的其他組件,其中蝕刻製程310可以使用與蝕刻製程308的蝕刻劑不同的蝕刻劑。蝕刻製程310是依據需要而進行的,亦即,在方法100的整個後續操作中,界面層211保留在多層結構之上。
現在請參考第17A圖至第17C圖,在操作步驟122中,方法100在薄片形成製程312或薄片釋放製程312中從多層結構移除非通道層205,以沿著Z軸而在通道層206之間並且沿著X軸而在內部閘極間隔物212b之間形成開口250。在本實施例中,薄片形成製程312選擇性地移除非通道層205,而不移除或實質上不移除通道層206。換言之,開口250與通道層206交錯穿插。在一些實施例中,在一系列蝕刻製程及修整製程中實施薄片形成製程312。在一示範例中,可以進行一種濕式蝕刻製程,其中此濕式製程使用氧化劑(oxidant或oxidizer),例如,臭氧(O3 ;溶解於水中)、硝酸(HNO3 )、過氧化氫(H2 O2 )、其他合適的氧化劑;以及基於氟的(fluorine-based)蝕刻劑,例如,氫氟酸(HF)、氟化銨(NH4 F)、其他合適的蝕刻劑或上述之組合,以選擇性地移除非通道層205。
隨後,在操作步驟122中,方法100可以在溝槽246中並且在暴露於開口250中的通道層206的部分上形成界面層(未繪示)。此界面層的成分可以與界面層211實質上相同,並且可以藉由化學氧化、熱氧化、其他合適的方法或上述之組合而形成。在形成界面層之後,隨後可以進行清潔製程。
請參考第18A圖至第18C圖,在操作步驟124中,方法100在溝槽246中(例如,在操作步驟122所形成的界面層上方)及開口250中形成金屬閘極堆疊260,使得形成於開口250中的金屬閘極堆疊260的一部分被形成為與通道層206交錯穿插或是環繞通道層206。在本實施例中,金屬閘極堆疊260包括閘極介電層262以及位於閘極介電層262之上的金屬閘極電極264。閘極介電層262可以包括高介電常數(具有介電常數大於氧化矽的介電常數,其大約為3.9)介電材料,例如,氧化鉿、氧化鑭、其他合適的材料或上述之組合。金屬閘極電極264包括至少一個功函數金屬層以及設置於其上的塊體(bulk)導電層。功函數金屬層可以是p型功函數金屬層或n型功函數金屬層。例示性的功函數金屬包括氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)、二矽化鋯(ZrSi2 )、二矽化鉬(MoSi2 )、二矽化鉭(TaSi2 )、二矽化鎳(NiSi2 )、鈦(Ti)、鈦鋁(TiAl)、鉭鋁(TaAl)、碳化鋁鉭(TaAlC)、氮化鋁鈦(TiAlN)、碳化鉭(TaC)、氮碳化鉭(TaCN)、氮化矽鉭(TaSiN)、錳(Mn)、鋯(Zr)、其他合適的功函數金屬或上述之組合。塊體導電層可以包括銅(Cu)、鎢(W)、鋁(Al)、鈷(Co)、釕(Ru)、其他合適的材料或上述之組合。金屬閘極堆疊260可以進一步包括其他材料層(未繪示),例如,蓋層、阻障層、其他合適的膜層或上述之組合。可以藉由各種方法而形成金屬閘極堆疊260的材料層,包括原子層沉積、化學氣相沉積、物理氣相沉積、鍍覆(plating)、其他合適的方法或上述之組合。
隨後,仍請參考第18A圖至第18C圖並且參考第19A圖至第189圖,在操作步驟124中,方法100藉由實施一個或多個化學機械研磨製程,而將裝置200的頂表面平坦化。在一些實施例中,如第18A圖至第18C圖所繪示,方法100首先藉由合適的方法沉積介電層266於金屬閘極電極264之上,例如,化學氣相沉積、原子層沉積、物理氣相沉積、其他合適的方法或上述之組合。介電層266可以包括任何合適的介電材料,例如,氮化矽。在一些實施例中,當在裝置200的整個頂表面實施化學機械研磨製程時,介電層266被配置為提供均勻的研磨。此後,方法100沿著虛線實施一個或多個化學機械研磨製程,以將金屬閘極電極264的頂表面平坦化至填充層244的頂表面與齊平,如第19A圖至第19C圖所繪示。因此,與如第3A圖至第3C圖所繪示的裝置200相比,虛置閘極堆疊的其中一者(亦即,虛置閘極堆疊210a)已經全部被金屬閘極堆疊(亦即,金屬閘極堆疊260)替換,而虛置閘極堆疊的另一者(即,虛置閘極堆疊210b)已經至少部分地被填充層244替換。
現在請參考第20A圖至第20C圖,在操作步驟126中,方法100在蝕刻製程314中將金屬閘極堆疊260的頂部分凹陷化或回蝕刻,以形成溝槽268。在本實施例中,蝕刻製程314選擇性地移除金屬閘極堆疊260的頂部分,包括閘極介電層262及金屬閘極電極264的至少一部分,而不移除或實質上不移除填充層244或介電襯層240的一部分。可以藉由任何合適的方法,包括乾式蝕刻製程、濕式蝕刻製程、反應性離子蝕刻製程或上述之組合,利用一種或多種被配置為蝕刻金屬閘極堆疊260的組件的蝕刻劑,而實現蝕刻製程314。在本實施例中,藉由調整蝕刻製程314的一個或多個參數,例如,蝕刻持續時間,而控制溝槽268的深度,其中較長的蝕刻持續時間會增加溝槽268的深度。在一些實施例中,隨後沉積介電質層(例如,如下所述的介電蓋層270)於溝槽268中,以在後續的製造製程期間(包括,例如,閘極接觸件的形成及連續擴散區上聚合物邊緣結構的形成)提供自對準能力及/或增強裝置200的各個組件之間的蝕刻選擇性。
請參考第21A圖與第21B圖,其繪示替代第19A圖所繪示的裝置200的一部分的實施例,其中金屬閘極堆疊260的閘極長度Lg 可以足夠大以適應嵌埋於金屬閘極電極264中的介電層269的形成,隨後將其回蝕刻,以形成類似於第20A圖所繪示且在上文中所討論過的溝槽268。形成介電層269可以包括將金屬閘極電極264圖案化,以形成開口(未繪示),並且隨後沉積介電材料於此開口中,以形成介電層269。在一些實施例中,介電層269包括一種或多種合適的介電材料,其被配置為提供相對於介電襯層240及填充層244的蝕刻選擇性。
現在請參考第22A圖至第22C圖,在操作步驟128中,方法100沉積介電蓋層270於裝置200之上,而填充溝槽268。如上所述,介電蓋層270被配置為藉由提供自對準能力以及增強與裝置200的其他組件(包括填充層244及介電襯層240)之間的蝕刻選擇性,而適應後續的製造製程。因此,在本實施例中,介電蓋層270具有與填充層244及介電襯層240不同的成分。在一些實施例中,介電蓋層270包括氮化矽、氮碳化矽、碳氧化矽、氮氧化矽、氮碳氧化矽、其他合適的材料或上述之組合。在介電襯層240包括氧化矽並且填充層244包括非晶矽及/或氧化鋁的一個例示性實施例中,介電蓋層270包括含氮介電材料(例如,氮化矽或氮碳化矽)並且不含氧化矽或實質上不含氧化矽。可以藉由任何合適的方法而沉積介電蓋層270,包括原子層沉積、化學氣相沉積、物理氣相沉積、其他合適的方法或上述之組合。接著,請參考第23A圖至第23C圖,在操作步驟128中,方法100沿著如第22A圖至第22C圖所繪示的虛線的一個或多個化學機械研磨製程,以移除形成於填充層244之上的介電蓋層270的一部分,而將裝置200的頂表面平坦化。在一些示範例中,如本文所述,在操作步驟128中處的化學機械研磨製程也可以移除硬罩幕層224的頂部分。
接著,請同時參考第24A圖至第31C圖,方法100繼續進行形成連續擴散區上聚合物邊緣結構(亦即,如第27A圖至第27C圖所繪示的連續擴散區上聚合物邊緣結構290A或是如第31A圖至第31C圖所繪示的連續擴散區上聚合物邊緣結構290B),以代替填充層244 (及介電襯層240),其中連續擴散區上聚合物邊緣結構包括介電層(例如,如第26A圖至第27C圖所繪示的介電層284A或是如第28A圖至第31C圖所繪示的介電層284B及介電層248C)及氣隙(例如,如第26A圖至第27C圖所繪示的氣隙282或是如第31A圖至第31C圖所繪示的氣隙288)。在本實施例中,氣隙被配置為減小裝置200的寄生電容,特別是在兩個相鄰的主動裝置區域之間,因而改善電阻電容延遲及/或裝置性能的其他方面。
請參考第24A圖至第24C圖,在操作步驟130中,方法100在蝕刻製程316中移除填充層244,以形成溝槽280。在本實施例中,蝕刻製程316選擇性地移除填充層244,而不移除或實質上不移除介電蓋層270及介電襯層240,在裝置200的其他組件中,因而使介電襯層240暴露於溝槽280中。換言之,蝕刻製程316被配置為停止在介電襯層240上。可以藉由任何合適的方法而實現蝕刻製程316,包括乾式蝕刻製程、濕式蝕刻製程、反應性離子蝕刻製程或上述之組合。在一示範例中,蝕刻製程316是一種濕式蝕刻製程,其中此濕式製程使用基於氫氧化物的(hydroxide-based)蝕刻劑,例如,氫氧化銨(NH4 OH)、氫氧化鉀(KOH)、其他基於氫氧化物的蝕刻劑;酸,例如,硝酸(HNO3 )、磷酸(H3 PO4 )、其他合適的酸或上述之組合。在另一個例示性實施例中,蝕刻製程316是一種乾式蝕刻製程,其中此乾式製程使用基於氟的蝕刻劑,例如,四氟化碳(CF4 )、六氟化硫(SF6 )、二氟甲烷(CH2 F2 )、三氟甲烷(CHF3 )、全氟乙烷(C2 F6 )、氟化氫(HF)、其他基於氟的蝕刻劑或上述之組合。
請同時參考第25A圖至第27C圖,方法100從操作步驟130繼續進行到形成連續擴散區上聚合物邊緣結構290A,其中在操作步驟132及操作步驟134中將氣隙282嵌埋於介電層284A中。換言之,連續擴散區上聚合物邊緣結構290A的介電層284A將氣隙282與裝置200的其他組件分開。請參考第25A圖至第25C圖,在操作步驟132中,方法100在蝕刻製程318中從溝槽280移除介電襯層240。在本實施例中,蝕刻製程318選擇性地移除介電襯層240,而不移除或實質上不移除頂部閘極間隔物212a、介電蓋層270或裝置200的其他組件。蝕刻製程318可以包括乾式蝕刻製程、濕式蝕刻製程、反應性離子蝕刻製程或上述之組合。在本實施例中,蝕刻製程318使用與蝕刻製程316的蝕刻劑不同的蝕刻劑,其相對於介電襯層240選擇性地移除填充層244。
現在請參考第26A圖至第26C圖,在操作步驟134中,方法100在沉積製程320中沉積介電層284A於溝槽280中。在本實施例中,沉積製程320使用介電層284A部分地填充溝槽280,使得氣隙282嵌埋於介電層284A中。換言之,沉積製程320形成介電層284A於溝槽280的側壁及底表面上,並且使介電層284A在跨過溝槽280的頂部開口合併,而將介電層284A中的氣隙282密封。介電層284A可以包括任何合適的材料,例如,氮化矽、氮碳化矽、碳氧化矽、氮氧化矽、氮碳氧化矽、低介電常數介電材料、四乙氧基矽烷、氧化矽、經摻雜的氧化矽(例如,硼磷矽酸鹽玻璃、氟摻雜的矽玻璃、磷矽酸鹽玻璃、硼摻雜的矽玻璃等等)、其他合適的材料或上述之組合。在一個這樣的示範例中,介電層284A包括氮化矽。在一些實施例中,介電層284A具有與介電蓋層270實質上相同的成分。舉例而言,介電蓋層270與介電層284A均可以包括氮化矽。在一些實施例中,選擇介電層284A的成分而包括具有較低k值(介電常數)的介電材料,以將裝置200的寄生電容減小或最小化。在本實施例中,由於空氣的k值小於介電層284A的k值,所以連續擴散區上聚合物邊緣結構290A的總電容因為氣隙282的存在而降低。
可以用合適的方法而實施沉積製程320,包括物理氣相沉積、化學氣相沉積、流動式化學氣相沉積、其他方法或上述之組合。在本實施例中,藉由物理氣相沉積而實施沉積製程320,在此物理氣相沉積製程期間,藉由在自下而上(bottom-up)的製程中成長而形成介電層284A。結果,如此所形成的介電層284A的底部(藉由虛線圓圈標記)可以包括彎曲的表面,如放大圖所繪示。替代地或額外地,可以調整沉積製程320的一個或多個參數,以形成氣隙282於介電層284A中。在一些實施例中,舉例而言,增加沉積介電層284A的速率(藉由物理氣相沉積及/或其他沉積方法),會導致溝槽280的頂部開口比被填充的溝槽280的其他整體部分更快地合併,結果導致在介電層284A中的氣隙282的產生。如此一來,可以藉由在沉積製程320期間調整介電層284A的沉積速率,而調整氣隙282的體積。在一些實施例中,將氣隙282密封的介電層284A的頂部分所具有的厚度小於介電層284A的底部分的厚度。在一些實施例中,調整沉積製程320的一個或多個參數,使得氣隙282的體積可以超過介電層284A的體積。在一些示範例中,氣隙282的體積超過連續擴散區上聚合物邊緣結構290A的總體積的約50%。
接著,請參考第27A圖至第27C圖,在操作步驟134中,方法100沿著如第26A圖至第26C圖所繪示的虛線實施一個或多個化學機械研磨製程,以移除形成於介電蓋層270之上的多餘的介電層284A,而完成連續擴散區上聚合物邊緣結構290A的製造。如上所述,連續擴散區上聚合物邊緣結構通常是絕緣結構,其被配置為縮放工具,以提高裝置(例如,場效電晶體)的密度。為了在維持裝置的合適功能(例如,避免電性短路)的同時實現所需的縮放效果,可以在此類裝置的邊界之間(例如,在隨後形成於磊晶源極/汲極部件214上方的源極/汲極接觸件之間)形成連續擴散區上聚合物邊緣結構,如此可以使相鄰裝置之間的分隔距離減小或最小化,而不會影響裝置性能。本實施例提供在連續擴散區上聚合物邊緣結構(例如,連續擴散區上聚合物邊緣結構290A與連續擴散區上聚合物邊緣結構290B)中引入氣隙的方法,以減小裝置的寄生電容並提高其性能。
在一些實施例中,請同時參考第28A圖至第31C圖,方法100從操作步驟130繼續進行到操作步驟136及操作步驟138,形成具有氣隙288的連續擴散區上聚合物邊緣結構290B,其中氣隙288圍繞介電層284B的一部分。換言之,氣隙288將介電層284B的上述部分與裝置200的其他組件分開。如第31A圖至第31C圖所繪示,連續擴散區上聚合物邊緣結構290B還包括將氣隙288的頂部分密封的介電層284C。在本實施例中,介電層284B的體積超過氣隙288的體積。
請參考第28A圖至第28C圖,在操作步驟136中,方法100在沉積製程322中沉積介電層284B於介電襯層240之上。介電層284B可以包括關於介電層284A如上文所提供的任何合適的材料。在一些實施例中,介電層284B具有與介電層284A及/或介電蓋層270實質上相同的成分。在一個這樣的示範例中,介電層284B包括氮化矽。在一些實施例中,因為介電層284B的體積超過氣隙288的體積,所以選擇介電層284B的成分而具有比介電層284A的k值更低的k值,以將裝置200的寄生電容最小化。在一個這樣的示範例中,如果介電層284A包括氮化矽,則介電層284B可以包括,例如,氧化矽、低介電常數介電材料、四乙氧基矽烷、經摻雜的氧化矽(例如,硼磷矽酸鹽玻璃、氟摻雜的矽玻璃、磷矽酸鹽玻璃、硼摻雜的矽玻璃等等)、其他合適的材料或上述之組合。
與沉積製程320不同,沉積製程322沉積介電層284B以完全填充溝槽280,而非特意留下氣隙於其中。換言之,控制沉積製程322,使得形成於溝槽280的側壁及底表面上的介電層284B的部分完全合併,以在將溝槽280的頂部開口密封之前填充溝槽280。可以用合適的方法而實施沉積製程322,包括化學氣相沉積、流動式化學氣相沉積、物理氣相沉積、其他方法或上述之組合。接著,請參考第29A圖至第29C圖,在操作步驟138中,方法100沿著如第28A圖至第28C圖所繪示的虛線實施一個或多個化學機械研磨製程,以移除多餘的介電層284B並且暴露介電蓋層270。
現在請參考第30A圖至第30C圖,在操作步驟138中,方法100在蝕刻製程324中移除介電襯層240,以形成氣隙288。在本實施例中,在裝置200的其他組件之間,蝕刻製程324選擇性地移除介電襯層240,而不移除或實質上不移除介電層284B及介電蓋層270。因此,在本實施例中,氣隙288的體積由介電襯層240的厚度所定義。在一些實施例中,介電襯層240的厚度小於約15 nm。因此,在至少一些實施例中,氣隙288的體積小於介電層284B及氣隙282的體積。在一些示範例中,氣隙288的體積不超過連續擴散區上聚合物邊緣結構290B的總體積的約50%。蝕刻製程324可以包括乾式蝕刻製程、濕式蝕刻製程、反應性離子蝕刻製程或上述之組合。對於介電層284B與介電蓋層270具有實質上相同的成分的實施例而言,蝕刻製程324可以與上文關於第25A圖至第25C圖所討論的蝕刻製程318實質上相同(例如,使用相同的蝕刻劑及/或蝕刻參數等等)。
接著,請參考第31A圖至第31C圖,在操作步驟140中,方法100沉積介電層284C於裝置200之上,而將氣隙288部分地填充或密封。介電層284C可以包括任何合適的材料,氧化矽、氮化矽、碳化矽、氮碳化矽、碳氧化矽、氮氧化矽、氮碳氧化矽、低介電常數介電材料、四乙氧基矽烷、氧化矽、經摻雜的氧化矽(例如,硼磷矽酸鹽玻璃、氟摻雜的矽玻璃、磷矽酸鹽玻璃、硼摻雜的矽玻璃等等)、其他合適的材料或上述之組合,並且可以藉由任何合適的方法,例如,化學氣相沉積、原子層沉積、其他合適的方法或上述之組合,而形成介電層284C。在一些實施例中,介電層284C具有與介電蓋層270、介電層284A及/或介電層284B實質上相同的成分。在一個例示性的實施例中,介電層284C包括氮化矽。在本實施例中,形成介電層284C的一部分而將氣隙288密封,使得介電層284B的頂部分介於介電層284C之間。接著,在操作步驟140中,方法100實施一個或多個化學機械研磨製程(未繪示),以移除形成於介電層284B之上的多餘的介電層284C,而完成連續擴散區上聚合物邊緣結構290B的製造。
請參考第32A圖至第32C圖並且如上文關於第10A圖至第10C圖所討論,本實施例並未限制連續擴散區上聚合物邊緣結構290A沿著Y軸的長度。換言之,連續擴散區上聚合物邊緣結構290A可以被配置為替換虛置閘極堆疊210b的一部分,且此部分設置在鰭片204a至鰭片204c的其中一者(第32A圖)、其中兩者(第32B圖)或全部三者(第32C圖)之上,在以上關於操作步驟124所討論的一系列製程中,使用金屬閘極堆疊260替換虛置閘極堆疊210b的其餘部分。相似地,請參考第33A圖至第33C圖,連續擴散區上聚合物邊緣結構290B沿著Y軸的長度也可以變化,使得連續擴散區上聚合物邊緣結構290B形成鰭片204a至鰭片204c的其中一者(第32A圖)、其中兩者(第32B圖)或全部三者(第32C圖)之上。
雖然本實施例繪示的是在形成金屬閘極堆疊260之前形成連續擴散區上聚合物邊緣結構290A (或連續擴散區上聚合物邊緣結構290B),然而,應注意的是,方法100可以替代地在形成金屬閘極堆疊260之前,先形成連續擴散區上聚合物邊緣結構290A或連續擴散區上聚合物邊緣結構290B取代佔位閘極210b,其中可藉由,例如,先實施操作步驟130、132及134或實施操作步驟130、136、138及140,然後實施操作步驟120至操作步驟128而形成金屬閘極堆疊260。換言之,本實施例並未限制金屬閘極堆疊260與連續擴散區上聚合物邊緣結構的形成順序。
接著,請參考第2B圖,並請進一步參考第34A圖(及第34B圖,其為第34A圖的平面上視示意圖)與第34C圖(及第34D圖,其為第34C圖的平面上視示意圖),其中第34A圖與第34C圖分別對應於請參考第27A圖與第31A圖,在操作步驟142中,方法100形成源極/汲極接觸件294於磊晶源極/汲極部件214之上。在本實施例中,形成源極/汲極接觸件294包括形成層間介電層292 (未繪示於第34B圖及第34D圖中)於裝置200上,其中層間介電層292在成份上可以與層間介電層218實質上相同。可以在形成層間介電層292之前,先沉積與蝕刻停止層217類似的蝕刻停止層(未繪示)於裝置200之上。之後,藉由一系列圖案化製程及蝕刻製程,而形成源極/汲極接觸孔(或溝槽;未繪示)於層間介電層292及層間介電層218中,並且使用任何合適的方法,例如,化學氣相沉積、原子層沉積、物理氣相沉積、鍍覆、其他合適的製程或上述之組合,而沉積導電材料於源極/汲極接觸孔中,以形成源極/汲極接觸件294。每一個源極/汲極接觸件294可以包括任何合適的導電材料,例如,鈷、鎢、釕、銅、鋁、鈦、鎳、金、鉑、鈀、其他合適的導電材料或上述之組合。在沉積導電材料之前,可以形成阻擋層(未繪示)於源極/汲極接觸孔中。其中阻擋層包括氮化鈦、氮化鉭、其他合適的材料或上述之組合。在一些實施例中,形成矽化物層(未繪示)在磊晶源極/汲極部件214與源極/汲極接觸件294之間。矽化物層可以包括矽化鎳、矽化鈷、矽化鎢、矽化鉭、矽化鈦、矽化鉑、矽化鉺、矽化鈀、其他合適的矽化物或上述之組合。可以藉由一系列合適的製程形成矽化物層於裝置200之上,包括沉積、矽化、回蝕刻及退火。
仍請參考第34A圖至第34B圖,在本實施例中,連續擴散區上聚合物邊緣結構290A與連續擴散區上聚合物邊緣結構290B各自延伸到最底部的通道層206之下(亦即,延伸到基板202中),而金屬閘極堆疊260的底部分與通道層206交錯穿插。換言之,連續擴散區上聚合物邊緣結構290A與連續擴散區上聚合物邊緣結構290B各自垂直地延伸到金屬閘極堆疊260的底部分之下。此外,在一些實施例中,由於在蝕刻製程304及蝕刻製程306期間所形成的溝槽232的剖面輪廓(例如,請參考第11A圖及第12A圖),導致連續擴散區上聚合物邊緣結構290A與連續擴散區上聚合物邊緣結構290B的寬度從頂部往底部減小。
接著,在操作步驟144中,方法100形成額外的部件,例如,位於裝置200之上的多層內連線(multi-layer interconnect, MLI)結構(未繪示)。多層內連線可以包括各種內連線部件,例如,通孔(via)及導電線路,其設置於介電層(例如,蝕刻停止層及層間介電層)中。在一些實施例中,通孔是垂直內連線部件,其被配置為將裝置級接觸件(例如,源極/汲極接觸件294及形成在金屬閘極堆疊260上的閘極接觸件(未繪示))與多層內連線彼此互連,並且位於導電線路之間,其中導電線路水平內連線部件。多層內連線的蝕刻停止層及層間介電層可以分別具有與上文中關於蝕刻停止層217及層間介電層218所討論的實質上相同的成分。通孔及導電線路可以各自包括任何合適的導電材料,例如,鈷、鎢、釕、銅、鋁、鈦、鎳、金、鉑、鈀、其他合適的導電材料或上述之組合,並且可以藉由一系列圖案化製程及沉積製程,而形成通孔及導電線路。另外,每一個通孔及導電線路可以另外包括阻擋層,其相似於上文中關於源極/汲極接觸件294的阻擋層所討論的阻擋層。
雖然目的並非限制,但是本揭露的一個或多個實施例針對半導體裝置及其形成提供許多的優點。舉例而言,本揭露提供一種連續擴散區上聚合物邊緣結構及其形成方法,其中此連續擴散區上聚合物邊緣結構被設置在兩個主動裝置區域之間,並且被配置為包括氣隙及介電層。在一些實施例中,連續擴散區上聚合物邊緣結構包括嵌埋於介電層中的氣隙。在一些實施例中,連續擴散區上聚合物邊緣結構包括圍繞介電層的氣隙,並且此氣隙被密封層部分地填充。在一些實施例中,主動裝置區域的每一者均包括多閘極場效電晶體,例如,奈米結構場效電晶體。在本實施例中,除了提供縮放能力以適應先進技術節點的裝置製造之外,具有氣隙的連續擴散區上聚合物邊緣結構還可允許減小設置在兩個主動裝置之間的區域中的寄生電容,因而提高裝置的整體性能。在此所揭示的方法的實施例可以輕易地整合到用以製造奈米結構場效電晶體的現有製程與技術中。
在一實施例中,本揭露提供一種半導體結構,其中上述半導體結構包括複數個半導體層,設置於基板之上,並且沿著第一方向縱向地取向;金屬閘極堆疊,設置於上述半導體層之上,並且沿著實質上垂直於上述第一方向的第二方向縱向地取向,其中上述金屬閘極堆疊包括頂部分以及設置於上述頂部分之下的底部分,其中上述金屬閘極堆疊的上述底部分與上述半導體層交錯穿插;複數個源極/汲極部件,設置於上述半導體層中,其中上述金屬閘極堆疊介於上述源極/汲極部件之間;以及隔離結構,從上述基板突出,其中上述隔離結構沿著上述第二方向縱向地取向,並且沿著上述第一方向與上述金屬閘極堆疊分隔,且其中上述隔離結構包括介電層及氣隙。
在另一實施例中,本揭露提供一種半導體結構的形成方法,其中上述半導體結構的形成方法包括形成半導體鰭片從基板突出,其中形成上述半導體鰭片包括形成具有交替排列的複數個矽層及複數個矽鍺層的多層結構於上述基板之上,並且將上述多層結構圖案化,以形成上述半導體鰭片;形成第一佔位閘極及第二佔位閘極於上述半導體鰭片之上,其中上述第一佔位閘極及上述第二佔位閘極是沿著實質上垂直於上述半導體鰭片的長度方向而縱向地取向;移除上述第一佔位閘極的一部分以形成溝槽,而暴露上述基板;形成虛置部件於上述溝槽中;使用金屬閘極結構替換上述第二佔位閘極;以及使用隔離閘極替換上述虛置部件,其中上述隔離閘極包括介電層及氣隙。
在又一實施例中,本揭露提供一種半導體結構的形成方法,其中上述半導體結構的形成方法包括形成半導體鰭片從基板突出;形成第一佔位閘極及第二佔位閘極於上述半導體鰭片之上,其中上述第一佔位閘極及上述第二佔位閘極是沿著實質上垂直於上述半導體鰭片的長度方向而縱向地取向;形成源極/汲極部件於上述半導體鰭片之上,並且介於上述第一佔位閘極與上述第二佔位閘極之間;移除設置於上述半導體鰭片之上的上述第一佔位閘極的一部分以形成第一溝槽,而暴露上述基板;沉積襯層於上述第一溝槽中;形成虛置層於上述襯層之上以填充上述第一溝槽,其中上述虛置層與上述襯層具有不同的成分;形成金屬閘極結構替換上述第二佔位閘極;以及形成隔離閘極結構替換上述襯層及上述虛置層。在本實施例中,形成上述隔離閘極結構更包括相對於上述金屬閘極結構選擇性地移除上述虛置層,而在第二溝槽中暴露上述襯層;在上述第二溝槽中沉積介電層於上述襯層之上,其中上述介電層、上述襯層與上述虛置層具有不同的成分;相對於上述介電層選擇性地移除上述襯層,以形成氣隙;以及將上述氣隙密封,以形成上述隔離閘極結構。
前述內文概述了許多實施例的部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明進行各種改變、置換或修改。
100:方法 102:操作步驟 104:操作步驟 106:操作步驟 108:操作步驟 110:操作步驟 112:操作步驟 114:操作步驟 116:操作步驟 118:操作步驟 120:操作步驟 122:操作步驟 124:操作步驟 126:操作步驟 128:操作步驟 130:操作步驟 132:操作步驟 134:操作步驟 136:操作步驟 138:操作步驟 140:操作步驟 142:操作步驟 144:操作步驟 200:半導體裝置(裝置) 202:半導體基板(基板) 204a:鰭片(主動三維裝置區域) 204b:鰭片(主動三維裝置區域) 204c:鰭片(主動三維裝置區域) 205:非通道層(犧牲層) 206:通道層 208:隔離部件 209:虛置閘極電極 210a:虛置閘極堆疊(佔位閘極堆疊) 210b:虛置閘極堆疊(佔位閘極堆疊) 211:界面層 212a:頂部閘極間隔物 212b:頂部閘極間隔物 213:硬罩幕層 214:磊晶源極/汲極部件 215:硬罩幕層 217:蝕刻停止層 218:層間介電層 220:溝槽 224:硬罩幕層 225:氧化物層 228:硬罩幕層 230:開口 232:溝槽 240:介電襯層 244:填充層 246:溝槽 250:開口 260:金屬閘極堆疊 262:閘極介電層 264:金屬閘極電極 266:介電層 268:溝槽 269:介電層 270:介電蓋層 280:溝槽 282:氣隙 284A:介電層 284B:介電層 284C:介電層 288:氣隙 290A:連續擴散區上聚合物邊緣結構 290B:連續擴散區上聚合物邊緣結構 294:源極/汲極接觸件 302:蝕刻製程 304:蝕刻製程 306:蝕刻製程 308:蝕刻製程 310:蝕刻製程 312:薄片形成製程(薄片釋放製程) 314:蝕刻製程 316:蝕刻製程 318:蝕刻製程 320:沉積製程 322:沉積製程 324:蝕刻製程 GH:閘極高度 H:距離 Lg :閘極長度 ML:多層結構 w:距離 w’:寬度
藉由以下的詳述配合所附圖式可更加理解本發明實施例的內容。需注意的是,根據工業上的標準做法,各個部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,可能任意地放大或縮小各個部件的尺寸。 第1A圖及第1B圖繪示出根據本揭露的一些實施例的用以製造半導體裝置的例示性方法的流程圖。 第2A圖繪示出根據本揭露的一些實施例的例示性半導體裝置的一部分的三維透視示意圖。 第2B圖繪示出根據本揭露的一些實施例的第2A圖所繪示的半導體裝置的一部分的平面上視示意圖。 第3A圖、第4A圖、第5A圖、第6A圖、第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖、第20A圖、第21A圖、第21B圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖、第29A圖、第30A圖、第31A圖、第34A圖及第34C圖繪示出根據本揭露的一些實施例的半導體裝置在如第1A圖及第1B圖所示的方法的中間階段中的剖面示意圖,其分別沿著第2A圖及/或第2B圖所繪示的LL’剖線所繪製。 第3B圖、第4B圖、第5B圖、第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖、第14B圖、第15B圖、第16B圖、第17B圖、第18B圖、第19B圖、第20B圖、第22B圖、第23B圖、第24B圖、第25B圖、第26B圖、第27B圖、第28B圖、第29B圖、第30B圖及第31B圖繪示出根據本揭露的一些實施例的半導體裝置在如第1A圖及第1B圖所示的方法的中間階段中的剖面示意圖,其分別沿著第2A圖及/或第2B圖所繪示的MM’剖線所繪製。 第3C圖、第4C圖、第5C圖、第6C圖、第7C圖、第8C圖、第9C圖、第10C圖、第11C圖、第12C圖、第13C圖、第14C圖、第15C圖、第16C圖、第17C圖、第18C圖、第19C圖、第20C圖、第22C圖、第23C圖、第24C圖、第25C圖、第26C圖、第27C圖、第28C圖、第29C圖、第30C圖、第31C圖、第32A圖、第32B圖、第32C圖、第33A圖、第33B圖及第33C圖繪示出根據本揭露的一些實施例的半導體裝置在如第1A圖及第1B圖所示的方法的中間階段中的剖面示意圖,其分別沿著第2A圖及/或第2B圖所繪示的NN’剖線所繪製。 第34B圖繪示出根據本揭露的一些實施例的第34A圖所繪示的半導體裝置的一部分的平面上視示意圖。 第34D圖繪示出根據本揭露的一些實施例的第34C圖所繪示的半導體裝置的一部分的平面上視示意圖。
200:半導體裝置(裝置)
202:半導體基板(基板)
206:通道層
212a:頂部閘極間隔物
212b:頂部閘極間隔物
214:磊晶源極/汲極部件
217:蝕刻停止層
218:層間介電層
260:金屬閘極堆疊
262:閘極介電層
264:金屬閘極電極
270:介電蓋層
282:氣隙
284A:介電層
290A:連續擴散區上聚合物邊緣結構

Claims (15)

  1. 一種半導體結構,包括:複數個半導體層,設置於一基板之上,並且沿著一第一方向縱向地取向;一金屬閘極堆疊,設置於該些半導體層之上,並且沿著實質上垂直於該第一方向的一第二方向縱向地取向,其中該金屬閘極堆疊包括一頂部分以及設置於該頂部分之下的一底部分,其中該金屬閘極堆疊的該底部分與該些半導體層交錯穿插;複數個源極/汲極部件,設置於該些半導體層中,其中該金屬閘極堆疊介於該些源極/汲極部件之間;以及一隔離結構,從該基板突出,其中該隔離結構沿著該第二方向縱向地取向,並且沿著該第一方向與該金屬閘極堆疊分隔,該些半導體層將該隔離結構與該些源極/汲極部件隔開,該隔離結構的寬度從該金屬閘極堆疊上方漸縮至該些源極/汲極部件下方,且其中該隔離結構包括一介電層及一氣隙。
  2. 如請求項1之半導體結構,其中該氣隙穿過該些半導體層。
  3. 如請求項2之半導體結構,其中該氣隙延伸進入該基板中。
  4. 如請求項1至3任一項之半導體結構,其中該氣隙受到該介電層圍繞。
  5. 如請求項1或2之半導體結構,其中該介電層是一第一介電層,且其中該隔離結構更包括一第二介電層,其中該第一介電層受到該氣隙圍繞,且其中該第二介電層將該氣隙密封。
  6. 一種半導體結構的形成方法,包括:形成一半導體鰭片從一基板突出,其中形成該半導體鰭片包括形成具有交替 排列的複數個矽層及複數個矽鍺層的一多層結構於該基板之上,並且將該多層結構圖案化,以形成該半導體鰭片;形成一第一佔位閘極及一第二佔位閘極於該半導體鰭片之上,其中該第一佔位閘極及該第二佔位閘極是沿著實質上垂直於該半導體鰭片的一長度方向而縱向地取向;形成一源極/汲極部件於該半導體鰭片之上;移除該第一佔位閘極的一部分以形成一溝槽,而暴露該基板;形成一虛置部件於該溝槽中;使用一金屬閘極結構替換該第二佔位閘極;以及使用一隔離閘極替換該虛置部件,其中該隔離閘極的寬度從該金屬閘極結構上方漸縮至該源極/汲極部件下方,該隔離閘極包括一介電層及一氣隙,並且該多層結構將該隔離閘極與該源極/汲極部件隔開。
  7. 如請求項6之半導體結構的形成方法,其中形成該虛置部件包括:沉積一介電襯層於該溝槽中;以及形成一填充層於該介電襯層之上,其中該介電襯層與該填充層具有不同的成分。
  8. 如請求項7之半導體結構的形成方法,其中該溝槽是一第一溝槽,且其中使用該隔離閘極替換該虛置部件包括:選擇性地移除該填充層,以在一第二溝槽中暴露該介電襯層;從該第二溝槽中移除該介電襯層;以及沉積一介電層於該第二溝槽中,而形成該氣隙嵌埋於該介電層中。
  9. 如請求項7之半導體結構的形成方法,其中該溝槽是一第一溝槽,且其中使用該隔離閘極替換該虛置部件包括:選擇性地移除該填充層,以在一第二溝槽中暴露該介電襯層;沉積一介電層於該介電襯層之上,以填充該第二溝槽;以及相對於該介電層選擇性地移除該介電襯層,而形成該氣隙圍繞該介電層。
  10. 如請求項9之半導體結構的形成方法,其中該介電層是一第一介電層,且該方法更包括形成一第二介電層以將該氣隙的複數個頂部分密封。
  11. 一種半導體結構的形成方法,包括:形成一半導體鰭片從一基板突出,其中該半導體鰭片包括具有交替排列的複數個通道層及複數個非通道層的一多層結構;形成一第一佔位閘極及一第二佔位閘極於該半導體鰭片之上,其中該第一佔位閘極及該第二佔位閘極是沿著實質上垂直於該半導體鰭片的一長度方向而縱向地取向;形成一源極/汲極部件於該半導體鰭片之上,並且介於該第一佔位閘極與該第二佔位閘極之間;移除設置於該半導體鰭片之上的該第一佔位閘極的一部分以形成一第一溝槽,而暴露該基板;沉積一襯層於該第一溝槽中;形成一虛置層於該襯層之上以填充該第一溝槽,其中該虛置層與該襯層具有不同的成分;形成一金屬閘極結構替換該第二佔位閘極;以及形成一隔離閘極結構替換該襯層及該虛置層,包括: 相對於該金屬閘極結構選擇性地移除該虛置層,而在一第二溝槽中暴露該襯層;在該第二溝槽中沉積一介電層於該襯層之上,其中該介電層、該襯層與該虛置層具有不同的成分;相對於該介電層選擇性地移除該襯層,以形成一氣隙,其中該多層結構將該氣隙與該源極/汲極部件隔開,其中該介電層的寬度從該金屬閘極結構上方漸縮至該源極/汲極部件下方;以及將該氣隙密封,以形成該隔離閘極結構。
  12. 如請求項11之半導體結構的形成方法,其中形成該虛置層包括形成非晶矽、氧化鋁或上述之組合於該襯層之上。
  13. 如請求項11之半導體結構的形成方法,其中該半導體鰭片是一第一半導體鰭片,且該方法更包括形成一第二半導體鰭片相鄰於該第一半導體鰭片,使得該第一佔位閘極形成於該第一半導體鰭片及該第二半導體鰭片兩者之上,其中形成該第一溝槽移除設置於該第一半導體鰭片之上的該第一佔位閘極的該部分,但是並未移除設置於該第二半導體鰭片之上的該第一佔位閘極的一其餘部分。
  14. 如請求項13之半導體結構的形成方法,其中該金屬閘極結構是一第一金屬閘極結構,且其中形成該第一金屬閘極結構形成一第二金屬閘極結構以替換該第一佔位閘極的該其餘部分。
  15. 如請求項11至14任一項之半導體結構的形成方法,在形成該隔離閘極結構之前更包括:將該金屬閘極結構的一頂部分凹陷化,以形成一第三溝槽;以及 使用一蓋層填充該第三溝槽,其中該蓋層與該介電層具有相同的成分。
TW110119032A 2020-05-29 2021-05-26 半導體結構及其形成方法 TWI814001B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063032366P 2020-05-29 2020-05-29
US63/032,366 2020-05-29
US17/198,774 2021-03-11
US17/198,774 US11437469B2 (en) 2020-05-29 2021-03-11 Reducing parasitic capacitance in semiconductor devices

Publications (2)

Publication Number Publication Date
TW202145585A TW202145585A (zh) 2021-12-01
TWI814001B true TWI814001B (zh) 2023-09-01

Family

ID=77574783

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110119032A TWI814001B (zh) 2020-05-29 2021-05-26 半導體結構及其形成方法

Country Status (5)

Country Link
US (2) US11881507B2 (zh)
KR (1) KR102527015B1 (zh)
CN (1) CN113380796B (zh)
DE (1) DE102021106802A1 (zh)
TW (1) TWI814001B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240334679A1 (en) * 2023-03-29 2024-10-03 Nanya Technology Corporation Memory cell with reduced parasitic capacitance

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160268392A1 (en) * 2013-11-28 2016-09-15 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangement and method for manufacturing the same
TW201640571A (zh) * 2015-05-13 2016-11-16 三星電子股份有限公司 半導體裝置形成方法
US20190334008A1 (en) * 2018-04-30 2019-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
US20200006559A1 (en) * 2018-06-29 2020-01-02 Intel Corporation Isolation schemes for gate-all-around transistor devices
US20200152736A1 (en) * 2018-11-13 2020-05-14 Globalfoundries Inc. Gate cut isolation including air gap, integrated circuit including same and related method

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100773564B1 (ko) * 2006-03-17 2007-11-07 삼성전자주식회사 보이드가 한정된 한 쌍의 핀들을 갖는 비휘발성 메모리소자 및 그 제조 방법
CN103811340B (zh) 2012-11-09 2017-07-14 中国科学院微电子研究所 半导体器件及其制造方法
US8901607B2 (en) * 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9515172B2 (en) * 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
JP2018160612A (ja) * 2017-03-23 2018-10-11 東芝メモリ株式会社 半導体装置及びその製造方法
KR102283024B1 (ko) * 2017-09-01 2021-07-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN110970303B (zh) * 2018-09-28 2024-06-21 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US11302802B2 (en) * 2020-02-19 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Parasitic capacitance reduction
US11437469B2 (en) * 2020-05-29 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd Reducing parasitic capacitance in semiconductor devices

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160268392A1 (en) * 2013-11-28 2016-09-15 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangement and method for manufacturing the same
TW201640571A (zh) * 2015-05-13 2016-11-16 三星電子股份有限公司 半導體裝置形成方法
US20190334008A1 (en) * 2018-04-30 2019-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
US20200006559A1 (en) * 2018-06-29 2020-01-02 Intel Corporation Isolation schemes for gate-all-around transistor devices
US20200152736A1 (en) * 2018-11-13 2020-05-14 Globalfoundries Inc. Gate cut isolation including air gap, integrated circuit including same and related method

Also Published As

Publication number Publication date
TW202145585A (zh) 2021-12-01
KR102527015B1 (ko) 2023-04-28
US20220376044A1 (en) 2022-11-24
US11881507B2 (en) 2024-01-23
CN113380796A (zh) 2021-09-10
KR20210149594A (ko) 2021-12-09
DE102021106802A1 (de) 2021-12-02
US20240096943A1 (en) 2024-03-21
CN113380796B (zh) 2024-01-09

Similar Documents

Publication Publication Date Title
US12009263B2 (en) Methods of reducing parasitic capacitance in semiconductor devices
CN108231892B (zh) 具有弧形底面的合并的外延部件的半导体器件及其制造方法
US11626505B2 (en) Dielectric inner spacers in multi-gate field-effect transistors
TW201926685A (zh) 半導體裝置及其製造方法
TW201926479A (zh) 半導體裝置的製造方法
US20230387311A1 (en) Isolation structures and methods of forming the same in field-effect transistors
TW202127617A (zh) 半導體結構
TWI824500B (zh) 半導體結構及其形成方法
TW202201558A (zh) 製造半導體裝置的方法
US20240297236A1 (en) Methods of reducing capacitance in field-effect transistors
US20240096943A1 (en) Reducing parasitic capacitance in semiconductor devices
US11437469B2 (en) Reducing parasitic capacitance in semiconductor devices
US11532502B2 (en) Reducing parasitic capacitance in field-effect transistors
US20230068568A1 (en) Gate Isolation Features and Methods of Fabricating the Same in Semiconductor Devices
TWI762249B (zh) 半導體結構及其製造方法
CN220021120U (zh) 半导体结构
TWI820781B (zh) 半導體結構及其形成方法
TWI854024B (zh) 半導體結構及其形成方法
US20230253478A1 (en) Dielectric inner spacers in multi-gate field-effect transistors
US20230049938A1 (en) Multi-Gate Field-Effect Transistors And Methods Of Forming The Same
TW202242972A (zh) 半導體結構的製造方法
CN115565950A (zh) 半导体装置的形成方法