TWI804804B - 半導體裝置的製作方法及互連結構 - Google Patents

半導體裝置的製作方法及互連結構 Download PDF

Info

Publication number
TWI804804B
TWI804804B TW110103131A TW110103131A TWI804804B TW I804804 B TWI804804 B TW I804804B TW 110103131 A TW110103131 A TW 110103131A TW 110103131 A TW110103131 A TW 110103131A TW I804804 B TWI804804 B TW I804804B
Authority
TW
Taiwan
Prior art keywords
layer
metal
electron blocking
intermetal dielectric
forming
Prior art date
Application number
TW110103131A
Other languages
English (en)
Other versions
TW202133274A (zh
Inventor
陳俊任
許凱翔
劉定一
林志男
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202133274A publication Critical patent/TW202133274A/zh
Application granted granted Critical
Publication of TWI804804B publication Critical patent/TWI804804B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

揭示了一種半導體裝置的製作方法。該方法包括:在電晶體上形成接觸結構且在接觸結構上形成金屬化層。形成金屬化層包括:在電晶體上沈積金屬間介電層,在金屬間介電層內形成開口以暴露接觸結構的頂表面,沈積金屬層以填充開口,在金屬間介電層內形成電子阻障層,且在金屬層內形成覆蓋層。電子阻障層的電洞載子濃度高於位於電子阻障層下面的金屬間介電層的一部分的電洞載子濃度。覆蓋層的電洞載子濃度高於位於覆蓋層下面的金屬層的一部分的電洞載子濃度。

Description

半導體裝置的製作方法及互連結構
本揭露是關於一種半導體裝置的製作方法及互連結構。
隨著半導體技術的進步,對更高的存儲容量、更快的處理系統、更高的效能及更低的成本的需求不斷增長。為了滿足此等需求,半導體行業繼續按比例縮小半導體裝置(諸如包括平面MOSFET及鰭式場效應電晶體(finFET)的金屬氧化物半導體場效應電晶體(metal oxide semiconductor field effect transistor;MOSFET),及用於半導體裝置的互連結構)的尺寸。此種按比例縮小增加了半導體製造製程的複雜性。
在本揭露的一些實施例中,一種半導體裝置的製作方法可包括:在電晶體上形成接觸結構且在接觸結構上形成第一金屬化層。形成第一金屬化層可包括:在電晶體上沈積第一金屬間介電層,在第一金屬間介電層內形成第一 開口以暴露接觸結構的頂表面,沈積第一金屬層以填充開口,在第一金屬間介電層內形成第一電子阻障層,且在第一金屬層內形成第一覆蓋層。第一電子阻障層的電洞載子濃度高於位於第一電子阻障層下面的第一金屬間介電層的一部分的電洞載子濃度。第一覆蓋層的電洞載子濃度高於位於第一覆蓋層下面的第一金屬層的一部分的電洞載子濃度。
在本揭露的一些實施例中,一種半導體裝置的製作方法,包括:在電晶體上形成接觸結構;在接觸結構上形成通孔;在電晶體上沈積第一金屬間介電層;在第一金屬間介電層內形成第一電子阻障層,其中第一電子阻障層的電洞載子濃度高於位於第一電子阻障層下面的第一金屬間介電層的一部分的電洞載子濃度;在第一電子阻障層及第一金屬間介電層內形成第一導電結構;在第一電子阻障層上沈積金屬氮化物層;及在金屬氮化物層上執行氧化製程以將金屬氮化物層的頂部部分轉換成原子密度高於金屬氮化物層的原子密度的金屬氧化物層。
在本揭露的一些實施例中,一種互連結構,包括設置在基板上的第一金屬化層以及設置在第一金屬化層上的第二金屬化層。第一金屬化層包括第一金屬間介電層、設置在金屬間介電層內的第一導電結構,及設置在第一金屬間介電層內的第一電子阻障層,其中第一電子阻障層的電洞載子濃度高於第一金屬間介電層的電洞載子濃度。第二金屬化層包括設置在第一金屬化層上的擴散阻障層、設置 在擴散阻障層上的第二金屬間介電層,及設置在第二金屬間介電層及擴散阻障層內的第二導電結構。
100:互連結構
101:半導體裝置
102:鰭式場效應電晶體(finFET)
106:基板
108:鰭結構
110:磊晶鰭區、finFET的源極/汲極(S/D)區
112:閘極結構
114:閘極間隔物
116A,116B:蝕刻終止層
118A,118C:層間介電層
119:淺溝槽隔離區
120:介面氧化物層
122:高k閘極介電層
124:功函數金屬層
126:閘極金屬填充層
128A,128B:S/D接觸結構
129:矽化物層
130:S/D接觸插塞
132:閘極接觸結構
134:金屬通孔
136A,136B:導電結構
136A1,136B1:區
138A,138B,154:蝕刻終止層
140A:金屬間介電層
140B:金屬間介電層
142A:電子阻障層
142B:電子阻障層
144:金屬層
146:覆蓋層
148A:導電結構
148B:導電結構
148A1,148B1:區
148L:金屬接線
148V:金屬通孔
150:擴散阻障層
152A:氮化物層
152B:氧化物層
156:金屬層
158:金屬內襯
160:覆蓋層
200:方法
205:操作
210:操作
215:操作
220:操作
225:操作
230:操作
235:操作
240:操作
360:硬遮罩層
400:方法
405:操作
410:操作
415:操作
420:操作
425:操作
430:操作
435:操作
440:操作
560:硬遮罩層
M1,M2:金屬化層
當與隨附圖式一起閱讀時,根據以下詳細描述可最好地理解本揭露的各態樣。
第1A圖例示根據一些實施例的具有電子阻障層的互連結構的剖視圖。
第1B圖至第1C圖例示根據一些實施例的半導體裝置的等距視圖及剖視圖。
第2圖係根據一些實施例的用於製造具有用於半導體裝置的電子阻障層的互連結構的方法的流程圖。
第3A圖至第3H圖例示根據一些實施例的具有用於電子裝置的電子阻障層的互連結構在半導體裝置的製造製程的各個階段處的剖視圖。
第4圖係根據一些實施例的用於製造具有用於半導體裝置的電子阻障層的互連結構的方法的流程圖。
第5A圖至第5E圖例示根據一些實施例的具有用於電子裝置的電子阻障層的互連結構在半導體裝置的製造製程的各個階段處的剖視圖。
現在將參考隨附圖式描述說明性實施例。在圖式中,相同附圖標號大體上指示完全相同的、功能上類似及/或結構上類似的元件。
以下揭露提供了用於實現所提供的標的的不同特徵的許多不同的實施例或實例。下面描述組件及配置的特定實例以簡化本揭露。當然,這些僅係實例,且不旨在進行限制。例如,在下面的描述中,用於在第二特徵的上面形成第一特徵的製程可包括其中第一特徵及第二特徵直接接觸形成的實施例,且亦可包括其中另外的特徵可在第一特徵與第二特徵之間形成使得第一特徵及第二特徵可不直接接觸的實施例。如本文所使用,在第二特徵上形成第一特徵意味著第一特徵被形成為與第二特徵直接接觸。此外,本揭露可在各個實例中重複附圖標號及/或字母。此重複本身不指示所討論的各種實施例及/或組態之間的關係。
為了便於描述,本文可使用空間相對術語,諸如「在......下面」、「在......下方」、「下部」、「在......上方」、「上部」及類似術語來描述圖中所例示的一個元件或特徵與另一或多個元件或一或多個特徵的關係。除了在圖中描繪的取向以外,空間相對術語還旨在涵蓋裝置在使用或操作中的不同取向。設備可以其他方式(旋轉90度或以其他取向)定向,且本文中使用的空間相對描述符可同樣相應地解釋。
注意,說明書中對「一個實施例」、「一實施例」、「一示例性實施例」、「示範性」等之提及物指示該實施例可包括特定特徵、結構或特性,但每個實施例不一定包括該特定特徵、結構或特性。此外,此類短語不一定係指 同一實施例。此外,當結合一實施例描述特定特徵、結構或特性時,無論是否明確描述,使得此類特徵、結構或者特性結合其他實施例起作用係在熟習此項技術者的知識範圍內的。
應當理解,本文之措辭或術語係出於描述而非限制之目的,以使得本說明書之術語或措辭應由熟習一或多個相關項技術者根據本文教示進行解釋。
如本文所使用,術語「蝕刻選擇比」係指在相同蝕刻條件下兩種不同材料的蝕刻速率的比率。
如本文所使用,術語「高k」係指高介電常數。在半導體裝置結構及製造製程領域內,高k係指大於SiO2的介電常數(例如,大於3.9)的介電常數。
如本文所使用,術語「低k」係指低介電常數。在半導體裝置結構及製造製程領域內,低k係指小於SiO2的介電常數(例如,小於3.9)的介電常數。
如本文所使用,術語「p型」界定摻雜有諸如硼的p型摻雜劑的結構、層及/或區。
如本文所使用,術語「n型」界定摻雜有諸如磷的n型摻雜劑的結構、層及/或區。
如本文所使用,術語「導電」係指導電結構、層及/或區。
如本文所使用,術語「氮化率」界定材料被轉換成氮化物材料的比率。
在一些實施例中,術語「約」及「基本上」可指示 在值的5%(例如,該值的±1%、±2%、±3%、±4%、±5%)之內變化的給定量的值。此等僅係實例,且不旨在進行限制。術語「約」及「基本上」可係指由熟習一或多個相關項技術者根據本文的教示解釋的值的百分比。
本文揭示的鰭結構可藉由任何合適的方法來圖案化。例如,可使用一種或多種光刻製程(包括雙圖案化或多圖案化製程)來圖案化鰭結構。雙圖案化或多圖案化製程可將光刻及自對準製程相結合,從而允許產生具有例如節距小於使用單個直接光刻製程另外可獲得的節距的圖案。在一些實施例中,在基板上面形成犧牲層且使用光刻製程對犧牲層進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後移除犧牲層,且然後可使用剩餘的間隔物來圖案化鰭結構。
本揭露提供用於提高半導體裝置在積體電路中的的互連結構的可靠性的示例性結構及方法。互連結構的按比例縮小及半導體裝置的按比例縮小對互連結構的可靠性產生了負面影響。互連結構的按比例縮小導致互連結構的相鄰導電結構(例如,金屬接線及/或金屬通孔)之間的電隔離區(例如,介電區)更小。此種更小的電隔離區在半導體裝置的操作期間,可能不阻止電子在相鄰的導電結構之間遷移。電子遷移可能導致互連結構中的電流洩漏,這可能導致半導體裝置效能下降。
在示例性方法中,可電漿處理互連結構的相鄰導電結構之間的電隔離區的部分以產生具有電洞載子誘導的電 子陷阱位點的電子阻障層。在半導體裝置的操作期間,電子陷阱位點可捕獲在相鄰的導電結構之間遷移的電子。電漿處理可包括使用高電漿源功率(例如,在約400W與約2000W之間)及低偏置功率(例如,在約600W與3000W之間)產生具有高密度及低轟擊能量(例如,在約25eV與約100eV之間)電漿的電漿製程。與高轟擊能量(例如,大於100eV的能量)電漿相比,低轟擊能量電漿可提供對電子阻障層的更好的厚度控制。而且,低轟擊能量電漿可在不降低電隔離區的表面質量的情況下處理電隔離區。在一些實施例中,相鄰的導電結構中的每一者可具有能夠在半導體裝置的操作期間捕獲遷移的電子的氮化物覆蓋層。與沒有電子阻障層及/或氮化物覆蓋層的互連結構的可靠性相比,使用電子阻障層及/或氮化物覆蓋層可使互連結構的可靠性提高約20至約30倍。
根據一些實施例,參考第1A圖描述了具有兩個金屬化層M1-M2的互連結構100。第1A圖例示根據一些實施例的互連結構100的剖視圖。儘管參考第1A圖討論了兩個金屬化層M1-M2,但是互連結構100可具有任何數量的金屬化層。在一些實施例中,互連結構100可設置在無源裝置(未示出)上及有源裝置上,諸如積體電路的平面半導體裝置(例如,MOSFET;未示出)及非平面半導體裝置(例如,下面參考第1B圖至第1C圖描述的finFETs 102)。互連結構100可用以通過接觸結構及導電結構(例如,金屬接線及/或金屬通孔)來互連此等有源及無源裝 置。
在一些實施例中,金屬化層M1可包括設置在層的堆疊內的導電結構136A-136B,該層的堆疊包括(i)蝕刻終止層(etch stop layer;ES)138A,(ii)設置在蝕刻終止層138A上的金屬間介電(inter-metal dielectric;IMD)層140A,及(iii)設置在金屬間介電層140A上的電子阻障層142A。儘管參考第1A圖討論了金屬化層M1中的兩個導電結構136A-136B,但是互連結構100可具有在金屬化層M1中的任何數量的導電結構。
蝕刻終止層138A可包括介電材料,諸如氧化鋁(AlxOy)、摻雜氮的碳化矽(SiCN)及摻雜氧的碳化矽(SiCO)。金屬間介電層140A可在導電結構136A-136B之間提供電隔離,且可包括氧化矽(SiO2)或低k介電材料,諸如碳化矽玻璃(亦稱為「SiOCH膜」,因為它包括矽、氧、碳、氫)及碳氧化矽(SiOC)。
電子阻障層142A包括阻止或基本上減少了電子在導電結構136A-136B之間的遷移的電子陷阱位點。電子陷阱位點可在電子從導電結構136A-136B中的一者遷移到另一者之前捕獲電子。在一些實施例中,電子阻障層142A可包括提供電洞誘導的電子陷阱位點的材料(例如,氧氮化矽(SiON)或任何合適的氧氮化物)。電洞誘導的電子陷阱位點中的電洞(即正電荷載子)可與遷移的電子形成電子-電洞對,且因此阻止遷移的電子到達導電結構136A-136B中的一者。在一些實施例中,電子阻障層 142A的材料可以係金屬間介電層140A的材料的氮化物,且可藉由在金屬間介電層140A上執行氮化製程來形成。
電子可在彼此分開小於電子遷移距離的水準距離或平均水準距離(例如,沿著X軸)的導電結構136A-136B的區(例如,區136A1-136B1)之間遷移。電子遷移距離係從導電結構中遷移出來的電子在失去動量之前可行進的最大距離。因此,電子阻障層142A可形成在區136A1-136B1之間,而不是在彼此分開大於電子遷移距離的水準距離或平均水準距離(例如,沿著X軸)的導電結構136A-136B的區之間。因此,具有與區136A1-136B1的垂直尺寸H1-H2基本上相等(在第1A圖中示出)或更大(未示出)的厚度T1的電子阻障層142A可阻止或基本上減少區136A1-136B1之間的電子遷移。在一些實施例中,厚度T1可在約2nm至約5nm的範圍內。
導電結構136A-136B可以係互連結構100的金屬接線或金屬通孔。導電結構136A-136B中的每一者可包括金屬層144及覆蓋層146。金屬層144可包括銅(Cu)、銅合金(例如,銅釕(Cu-Ru)、銅鋁(Cu-Al)或銅錳(CuMn))或任何其他合適的金屬或合金。覆蓋層146可包括導電材料,諸如金屬層144的材料的氮化物(例如,氮化銅(CuN)),且可藉由在金屬層144上執行氮化製程來形成。根據一些實施例,在金屬層144上及在金屬間介電層140A上的氮化製程可同時執行。在一些實施例中,覆 蓋層146可不包括在導電結構136A-136B中,且金屬層144可在金屬間介電層140A上的氮化製程期間藉由遮罩層保護,以阻止覆蓋層146的形成。
在一些實施例中,由於金屬層144的金屬材料的氮化率與金屬間介電層140A的材料的氮化率相比較低,因此覆蓋層146的厚度T2可小於電子阻障層142A的厚度T1。在一些實施例中,覆蓋層146的厚度T2可在約1nm至約3nm的範圍內。具有低於此範圍的厚度T2的覆蓋層146可能不能有效地俘獲電子,且高於此範圍的厚度T2可增加導電結構136A與148A之間及導電結構136B與148B之間的接觸電阻。與電子阻障層142A類似,覆蓋層146可具有阻止或基本上減少了電子在導電結構136A-136B之間的遷移的電子陷阱位點。
在一些實施例中,金屬化層M2可設置在金屬化層M1上。金屬化層M2可包括設置在層的堆疊內的導電結構148A-148B,該層的堆疊包括(i)設置在電子阻障層142及導電結構136A-136B上的擴散阻障層150,(ii)設置在擴散阻障層150上的蝕刻終止層138B及154,(iii)設置在蝕刻終止層138B上的金屬間介電層140B,及(iv)設置在金屬間介電層140B上的電子阻障層142B。儘管參考第1A圖討論了金屬化層M2中的兩個導電結構148A-148B,但是互連結構100可具有在金屬化層M2中的任何數量的導電結構。
擴散阻障層150可包括設置在電子阻障層142及 導電結構148A-148B上的氮化物層152A及設置在氮化物層152A上的氧化物層152B。氮化物層152A及氧化物層152B可包括用以阻止金屬分子從導電結構136A-136B擴散到金屬間介電層140B及從導電結構148A-148B擴散到金屬間介電層140A的任何合適的氮化物材料及氧化物材料。蝕刻終止層138B及154的材料可能沒有足夠高的原子密度來阻障金屬擴散。具有比蝕刻終止層138B及154的材料更高的原子密度的氮化物層152A及氧化物層152B的材料可更有效地阻障金屬化層M1-M2之間的金屬擴散。
在一些實施例中,氮化物層152A可包括金屬氮化物,諸如氮化鎵(GaN)、氮化鈦(TiN)、氮化鉭(TaN)、氮化鋁(AlN)及其任何組合。在一些實施例中,氧化物層152B可包括氮化物層152A的金屬的金屬氧化物。例如,如果氮化物層152A包括AlN、TiN、TaN或GaN,則氧化物層152B可分別包括氧化鋁(Al2O3)、氧化鈦(TiO2)、氧化鉭(Ta2O5)或氧化鎵(Ga2O3)。在一些實施例中,氮化物層152A及氧化物層152B兩者可藉由CVD製程形成。在一些實施例中,氧化物層152B可藉由氧化氮化物層152A的一部分形成。
蝕刻終止層138B及154可包括介電材料,諸如AlxOy、SiCN及SiCO。金屬間介電層140B可在導電結構148A-148B之間提供電隔離,且可包括氧化矽(SiO2)或低k介電材料,諸如碳化矽玻璃及碳氧化矽 (SiOC)。金屬間介電層140A-140B可具有彼此類似或不同的材料。
與電子阻障層142A類似,電子阻障層142B包括阻止或基本上減少了電子在導電結構148A-148B之間的遷移的電子陷阱位點。電子阻障層142A-142B可具有提供電洞誘導的電子陷阱位點的彼此類似或不同的材料(例如,氮氧化矽(SiON)或任何合適的氮氧化物)。在一些實施例中,電子阻障層142B的材料可以係金屬間介電層140B的材料的氮化物,且可藉由在金屬間介電層140B上執行氮化製程來形成。
電子阻障層142B可形成在導電結構148A-148B的彼此分開小於電子遷移距離的水準距離或平均水準距離(例如,沿著X軸)的區148A1-148B1之間。因此,具有與區148A1-148B1的垂直尺寸H3-H4基本上相等(在第1A圖中示出)或更大(未示出)的厚度T3的電子阻障層142B可阻止或基本上減少區148A1-148B1之間的電子遷移。在一些實施例中,厚度T3可在約2nm至約5nm的範圍內。
導電結構148A-148B中的每一者可以係具有金屬接線148L及金屬通孔148V的雙鑲嵌導電結構。在一些實施例中,導電結構148A-148B中的每一者可包括金屬層156、金屬內襯158及覆蓋層160。除非另外提及,否則對金屬層144及覆蓋層146的討論分別適用於金屬層156及覆蓋層160。金屬內襯158可包括阻止原子從金屬 層156擴散到金屬間介電層140B中的TiN或TaN。覆蓋層160可包括導電材料,諸如金屬層156的材料的氮化物(例如,氮化銅(CuN)),且可藉由在金屬層156上執行氮化製程來形成。在一些實施例中,覆蓋層160可不形成在導電結構中。在一些實施例中,由於金屬層156的金屬材料的氮化率與金屬間介電層140B的材料的氮化率相比較低,因此覆蓋層160的厚度T4可小於電子阻障層142B的厚度T3。在一些實施例中,覆蓋層146的厚度T2可在約1nm至約3nm的範圍內。覆蓋層160可具有阻止或基本上減少了電子在導電結構148A-148B之間的遷移的電子陷阱位點。互連結構100及其元件(例如,導電結構136A-136B及148A-148B)的橫截面形狀係說明性的,且不旨在進行限制。
參考第1A圖至第1C圖,在一些實施例中,互連結構100可設置在半導體裝置101的finFET 102中的一者上。第1B圖例示半導體裝置101的等距視圖,且第1C圖例示根據一些實施例的finFET 102中的一個沿著第1B圖的線A-A的的剖視圖。
FinFET 102可形成在包括半導體材料的基板106上。FinFET 102可包括沿著X軸延伸的鰭結構108、沿著Y軸延伸的閘極結構112、磊晶鰭區110、閘極間隔物114、蝕刻終止層116A-116B、層間介電(interlayer dielectric;ILD)層118A-118C,及淺溝槽隔離(shallow trench isolation;STI)區119。鰭結構108 可包括與基板106類似的材料,且可由對基板106的圖案化及蝕刻來形成。磊晶鰭區110可具有半導體材料,且可以係finFET 102的源極/汲極(S/D)區110。在一些實施例中,n型磊晶鰭區110可包括SiAs、SiC或SiCP,而p型磊晶鰭區110可包括SiGe、SiGeB、GeB、SiGeSnB或III-V半導體化合物。
閘極結構112可以係多層結構,且可包裹在鰭結構108周圍。參考第1C圖,閘極結構112可包括介面氧化物(IO)層120、高k閘極介電層122、功函數金屬(work function metal;WFM)層124及閘極金屬填充層126。介面氧化物層120可包括氧化矽(SiO2)、氧化矽鍺(SiGeOx)或氧化鍺(GeOx)。高k閘極介電層122可包括高k介電材料,諸如氧化鉿(HfO2)、氧化鈦(TiO2)、氧化鋯鉿(HfZrO)、氧化鉭(Ta2O3)、矽酸鉿(HfSiO4)、氧化鋯(ZrO2)及矽酸鋯(ZrSiO2)。WFM層124可包括鈦鋁(TiAl)、碳化鈦鋁(TiAlC)、鉭鋁(TaAl)、碳化鉭鋁(TaAlC)或其組合。閘極金屬填充層126可包括合適的導電材料,諸如鎢(W)、Ti、銀(Ag)、釕(Ru)、鉬(Mo)、銅(Cu)、鈷(Co)、Al、銥(Ir)、鎳(Ni)、金屬合金及其組合。閘極間隔物114可形成閘極結構112的側壁,且可包括絕緣材料,諸如氧化矽、氮化矽、氮氧化矽、低k材料及其組合。蝕刻終止層116A-116B可包括絕緣材料,諸如氧化矽及氧化矽鍺。層間介電層118A-118C可包括介電材料。淺溝槽隔離區119可包括絕緣材料。
參考第1C圖,finFET 102可進一步包括設置在S/D區110上的S/D接觸結構128A-128B、設置在S/D接觸結構128A上的金屬通孔134,及設置在閘極結構112上的閘極接觸結構132。為了簡單起見,第1B圖中未示出finFET 102的此等元件。在一些實施例中,互連結構100可設置在finFET 102上,使得導電結構136A及136B分別設置在金屬通孔134及閘極接觸結構132上且電連接到金屬通孔134及閘極接觸結構132。在一些實施例中,儘管為了簡單起見未在第1C圖中示出,但是可在S/D接觸結構128B上形成與金屬通孔134類似的金屬通孔,且可將該金屬通孔電連接到互連結構100的金屬化層M1中的導電結構。
S/D接觸結構128可用以通過金屬通孔134及互連結構100將S/D區110電連接到finFET 102及/或積體電路(未示出)的其他元件。類似地,閘極接觸結構132可用以通過互連結構100將閘極結構112電連接到finFET 102及/或積體電路的其他元件。在一些實施例中,S/D接觸結構128A-128B中的每一者可包括矽化物層129及S/D接觸插塞130。S/D接觸插塞130及閘極接觸結構132可包括導電材料,諸如釕(Ru)、銥(Ir)、鎳(Ni)、鋨(Os)、銠(Rh)、Al、鉬(Mo)、鎢(W)、鈷(Co)及Cu。finFET 102及其元件(例如,鰭結構108、閘極結構112、磊晶鰭區110、閘極間隔物114、淺溝槽隔離區119、S/D接觸結構128A-128B、閘極接觸結構132及/或金屬通 孔134)的橫截面形狀係說明性的,且不旨在進行限制。
第2圖係根據一些實施例的用於在finFET 102上製造互連結構100的示例性方法200的流程圖。為了說明的目的,將參考第3A圖至第3H圖所例示的用於在finFET 102上製造互連結構100的示例性製程來描述第2圖所例示的操作。第3A圖至第3H圖係根據一些實施例的在finFET 102上的互連結構100在其製造的各個階段的橫截面圖。根據特定的應用,可按照不同的次序執行操作或不執行操作。應當注意,方法200可能不產生完整的互連結構100及finFET 102。因此,應當理解,可在方法200之前、之中及之後提供另外的製程,且本文僅簡要描述一些其他製程。上文描述了具有與第1A圖至第1C圖中的元件相同的標註的第3A圖至第3H圖中的元件。
在操作205中,在基板上形成具有S/D接觸結構及閘極接觸結構的finFET。例如,如第3A圖所示,可在具有S/D接觸結構128A-128B及閘極接觸結構132的基板106上形成finFET 102。S/D接觸結構128A-128B可在層間介電層118A及閘極結構112上沈積層間介電層118B之後形成。S/D接觸結構128A-128B的形成可包括以下順序操作:(i)在層間介電層118A-118B內形成接觸開口(未示出),(ii)在接觸開口內的磊晶區110的暴露區上形成矽化物層129,及(iii)在接觸開口內沈積S/D接觸插塞130。
在形成S/D接觸結構128A-128B之後,可進行 以下順序操作:(i)在層間介電層118B及S/D接觸插塞130上沈積蝕刻終止層116B,(ii)在蝕刻終止層116B上沈積層間介電層118C,(iii)在蝕刻終止層116B及層間介電層118B-118C內形成閘極接觸結構132,及(iv)在蝕刻終止層116B及ILD 118C內形成金屬通孔134。
參考第2圖,在操作210中,在finFET上形成互連結構的第一金屬化層的蝕刻終止層及金屬間介電層。例如,如第3B圖所示,可在第3A圖的結構上形成蝕刻終止層138A,且可在蝕刻終止層138A上形成金屬間介電層140A。為了簡單起見,第3B圖中僅示出位於蝕刻終止層138A下面的finFET 102的一部分。蝕刻終止層138A可藉由任何合適的介電沈積方法(諸如化學氣相沈積(CVD))形成。金屬間介電層140A可藉由CVD製程、電漿增強CVD製程、可流動CVD製程或旋塗製程形成。沈積金屬間介電層140A之後,可在金屬間介電層140A上沈積硬遮罩層360,以在隨後的導電結構136A-136B的形成期間保護金屬間介電層140A。
參考第2圖,在操作215中,在第一金屬化層的蝕刻終止層及金屬間介電層內形成導電結構。例如,如第3C圖所示,導電結構136A-136B可形成在蝕刻終止層138A及金屬間介電層140A內,且形成在金屬通孔134及閘極接觸結構132上。導電結構136A-136B的形成可包括以下順序操作:(i)在硬遮罩層360、金屬間介電層140A及蝕刻終止層138A內形成開口(未示出)以暴露金 屬通孔134及閘極接觸結構132的頂表面,(ii)沈積金屬層144的材料以填充開口,及(iii)化學機械拋光(chemical mechanical polishing;CMP)硬遮罩層360及延伸出開口的沈積材料以形成第3C圖的結構,其中金屬層144及金屬間介電層140A的頂表面基本上彼此共面。開口可使用濕式蝕刻製程或乾式蝕刻製程來形成,且金屬層144的材料可使用CVD製程或電化學電鍍(electrochemical plating;ECP)製程來沈積。
參考第2圖,在操作220中,在第一金屬化層的金屬間介電層內形成電子阻障層。例如,如第3D圖所示,可在金屬間介電層140A內形成電子阻障層142A。電子阻障層142A的形成可包括:在第3C圖的結構上執行氮化製程以將金屬間介電層140A的頂部部分轉換成電子阻障層142A。因此,電子阻障層142A可以係金屬間介電層140A的材料的氮化物。氮化製程可包括氮氣、氨(NH3)氣或一氧化二氮氣體的高密度及低轟擊能量(例如,在約25eV與約100eV之間)電漿。可使用高電漿源功率(例如,在約400W與約2000W之間)及低偏置功率(例如,在約600W與3000W之間)來產生電漿。對金屬間介電層140A的頂部部分進行氮化製程及將金屬間介電層140A的頂部部分轉換成氮化物材料可增加在金屬間介電層140A的轉化部分(即電子阻障層142A)中的電洞載子濃度。因此,電子阻障層142A中的電洞載子濃度大於金屬間介電層140A的未轉換部分中的電洞載子濃度。由於 氮化製程而在電子阻障層142A中產生的電洞可用作以上討論的電洞誘導的電子陷阱位點。
在一些實施例中,金屬層144可在氮化製程期間保持暴露,且因此,金屬層144的頂部部分被轉換成包括金屬層144的材料的氮化物的覆蓋層146(在第3D圖中示出)。對金屬層144的頂部部分進行氮化製程及將金屬間144的頂部部分轉換成氮化物材料可增加金屬層144的轉化部分(即覆蓋層146)中的電洞載子濃度。因此,覆蓋層146中的電洞載子濃度大於金屬層144的未轉化部分中的電洞載子濃度。由於氮化製程而在覆蓋層146中產生的電洞可用作以上討論的電洞誘導的電子陷阱位點。
由於金屬間介電層140A的材料的氮化率與金屬層144的材料的氮化率相比較快,因此電子阻障層142A可比覆蓋層146更厚。在一些實施例中,可在氮化製程期間用遮罩層(例如,光阻劑層;未示出)保護金屬層144,且因此,在沒有覆蓋層146的情況下可形成第3E圖的結構。遮罩層可在操作215的CMP製程之後形成。
參考第2圖,在操作225中,在第一金屬化層上形成擴散阻障層。例如,如第3F圖所示,可在金屬化層M1上形成擴散阻障層150。擴散阻障層150的形成可包括以下順序操作:(i)在第3D圖的結構上沈積氮化物層152A,及(ii)在氮化物層152A上沈積氧化物層152B。在一些實施例中,氮化物層152A可包括藉由CVD製程形成的金屬氮化物材料,且氧化物層152B可藉由利用熱、 電漿及/或其他合適的氧化製程氧化氮化物層152A的頂部部分來形成。因此,氧化物層152B可以係氮化物層152A的金屬材料的氧化物。在一些實施例中,形成擴散阻障層150之後,可在氧化物層152B上沈積蝕刻終止層154。
參考第2圖,在操作230中,在擴散阻障層上形成互連結構的第二金屬化層的蝕刻終止層及金屬間介電層。例如,如第3F圖所示,可在擴散阻障層150上形成蝕刻終止層138B及金屬間介電層140B。蝕刻終止層138B及金屬間介電層140B的形成與操作210中的蝕刻終止層138A及金屬間介電層140A的形成類似。與操作210類似,可在金屬間介電層140B上形成硬遮罩層360。
參考第2圖,在操作235中,在第二金屬化層的擴散阻障層、蝕刻終止層及金屬間介電層內形成導電結構。例如,如第3G圖所示,可使用雙鑲嵌製程在擴散阻障層150、蝕刻終止層138B及金屬間介電層140B內形成導電結構148A-148B。導電結構148A-148B的形成可包括以下順序操作:(i)在硬遮罩層360、蝕刻終止層138B、金屬間介電層140B、蝕刻終止層154及擴散阻障層150內形成開口(未示出)以暴露導電結構136A-136B的頂表面,(ii)沿著開口的側壁沈積金屬內襯158,(iii)沈積金屬層156的材料以填充開口,及(iv)化學機械拋光(chemical mechanical polishing;CMP)硬遮罩層360及延伸出開口的金屬內襯158及金屬層156的材料以 形成第3G圖的結構,其中金屬層156、金屬內襯158及金屬間介電層140B的頂表面基本上彼此共面。開口可使用濕式蝕刻製程或乾式蝕刻製程來形成,金屬內襯158可使用原子層沈積(atomic layer deposition;ALD)製程或CVD製程來沈積,且金屬層156的材料可使用CVD製程或電化學電鍍(electrochemical plating;ECP)製程來沈積。
參考第2圖,在操作240中,在第二金屬化層的金屬間介電層內形成電子阻障層。例如,如第3H圖所示,可在金屬間介電層140B內形成電子阻障層142B。電子阻障層142B的形成可包括:在第3G圖的結構上執行氮化製程以將金屬間介電層140B的頂部部分轉換成電子阻障層142B。該氮化製程可與操作220中討論的氮化製程類似。與覆蓋層146類似,如果在氮化製程期間暴露導電結構148A-148B,則可形成覆蓋層160(在第3H圖中示出),且如果在氮化製程期間藉由掩膜層保護導電結構148A-148B,則不形成覆蓋層160(未示出)。
第4圖係根據一些實施例的用於在finFET 102上製造互連結構100的示例性方法400的流程圖。為了說明的目的,將參考第5A圖至第5E圖所例示的用於在finFET 102上製造互連結構100的示例性製程來描述第4圖所例示的操作。第5A圖至第5E圖係根據一些實施例的在finFET 102上的互連結構100在其製造的各個階段的橫截面圖。根據特定的應用,可按照不同的次序執行 操作或不執行操作。應當注意,方法400可能不產生完整的互連結構100及finFET 102。因此,應當理解,可在方法400之前、之中及之後提供另外的製程,且本文僅簡要描述一些其他製程。上文描述了具有與第1A圖至第1C圖中的元件相同的標註的第5A圖至第5E圖中的元件。
在操作405中,在基板上形成具有S/D接觸結構及閘極接觸結構的finFET。與參考第3A圖描述的操作205類似,可在具有S/D接觸結構128A-128B及閘極接觸結構132的基板106上形成finFET 102。
參考第4圖,在操作410中,在finFET上形成互連結構的第一金屬化層的蝕刻終止層及金屬間介電層。與參考第3B圖描述的操作210類似,蝕刻終止層138A及金屬間介電層140A可形成在finFET 102上。
參考第4圖,在操作415中,在第一金屬化層的金屬間介電層內形成電子阻障層。例如,如第5A圖所示,可以與參考第3D圖描述的操作220類似的操作在金屬間介電層140A上形成電子阻障層142A。
參考第4圖,在操作420中,在第一金屬化層的蝕刻終止層、金屬間介電層及電子阻障層內形成導電結構。例如,如第5C圖所示,可在蝕刻終止層138A、金屬間介電層140A及電子阻障層142A內形成導電結構136A-136B。導電結構136A-136B的形成可包括以下順序操作:(i)在電子阻障層142A上沈積硬遮罩層560(在第5B圖中示出),(ii)在硬遮罩層560、電子阻障層 142A、金屬間介電層140A及蝕刻終止層138A內形成開口(未示出)以暴露金屬通孔134及閘極接觸結構132的頂表面,(iii)沈積金屬層144的材料以填充開口,及(iv)化學機械拋光(chemical mechanical polishing;CMP)硬遮罩層560及延伸出開口的沈積材料以形成第5C圖的結構,其中金屬層144及電子阻障層142A的頂表面基本上彼此共面。開口可使用濕式蝕刻製程或乾式蝕刻製程來形成,且金屬層144的材料可使用CVD製程或電化學電鍍(electrochemical plating;ECP)製程來沈積。
參考第4圖,在操作425中,在第一金屬化層上形成擴散阻障層。例如,如第5D圖所示,可以與參考第3F圖描述的操作225類似的操作在金屬化層M1上形成擴散阻障層150。
參考第4圖,在操作430中,在擴散阻障層上形成互連結構的第二金屬化層的蝕刻終止層及金屬間介電層。例如,如第5D圖所示,可以與參考第3F圖描述的操作230類似的操作在擴散阻障層150上形成蝕刻終止層138B及金屬間介電層140B。
參考第4圖,在操作435中,在金屬間介電層內形成電子阻障層。例如,如第5D圖所示,可以與參考第3H圖描述的操作240類似的操作在金屬間介電層140B上形成電子阻障層142B。
參考第4圖,在操作440中,在第二金屬化層的 擴散阻障層、蝕刻終止層、金屬間介電層及電子阻障層內形成導電結構。例如,如第5E圖所示,可使用雙鑲嵌製程在擴散阻障層150、蝕刻終止層138B、金屬間介電層140B及電子阻障層142B內形成導電結構148A-148B。導電結構148A-148B的形成可包括以下順序操作:(i)在電子阻障層142B上沈積硬遮罩層560(在第5D圖中示出),(ii)在硬遮罩層560、電子阻障層142B、金屬間介電層140B及蝕刻終止層138B內形成開口(未示出)以暴露導電結構136A-136B的頂表面,(iii)沿著開口的側壁沈積金屬內襯158,(iv)沈積金屬層156的材料以填充開口,及(v)化學機械拋光(chemical mechanical polishing;CMP)硬遮罩層560及延伸出開口的金屬內襯158及金屬層156的材料以形成第5E圖的結構,其中金屬層156、金屬內襯158及電子阻障層142B的頂表面基本上彼此共面。開口可使用濕式蝕刻製程或乾式蝕刻製程來形成,金屬內襯158可使用原子層沈積(atomic layer deposition;ALD)製程或CVD製程來沈積,且金屬層158的材料可使用CVD製程或電化學電鍍(electrochemical plating;ECP)製程來沈積。
在一些實施例中,與方法200的操作210-240及/或方法400的操作410-440類似的操作可在諸如MOSFET的平面半導體裝置上執行,以形成具有設置在MOSFET的S/D接觸結構上的導電結構136A及設置在MOSFET的閘極結構上的導電結構136B的互連結構 100。
本揭露提供用於提高半導體裝置(例如,finFET 102)在積體電路中的互連結構(例如,互連結構100)的可靠性的示例性結構及方法。互連結構的按比例縮小及半導體裝置的按比例縮小對互連結構的可靠性產生了負面影響。互連結構的按比例縮小導致互連結構的相鄰導電結構(例如,金屬接線及/或金屬通孔)之間的電隔離區(例如,介電區)更小。此種更小的電隔離區在半導體裝置的操作期間,可能不阻止電子在相鄰的導電結構之間遷移。電子遷移可能導致互連結構中的電流洩漏,這可能導致半導體裝置效能下降。
在示例性方法中,可電漿處理互連結構的相鄰導電結構(例如,導電結構136A-136B及148A-148B)之間的電隔離區(例如,金屬間介電層140A-140B)的部分以產生具有電洞載子誘導的電子陷阱位點的電子阻障層(例如,電子阻障層142A-142B)。在半導體裝置的操作期間,電子陷阱位點可捕獲在相鄰的導電結構之間遷移的電子。電漿處理可包括使用高電漿源功率及低偏置功率產生的具有高密度及低轟擊能量電漿的電漿製程。在一些實施例中,相鄰的導電結構中的每一者可具有能夠在半導體裝置的操作期間捕獲遷移的電子的氮化物覆蓋層(例如,覆蓋層146及160)。與沒有電子阻障層及/或氮化物覆蓋層的互連結構的可靠性相比,使用電子阻障層及/或氮化物覆蓋層可使互連結構的可靠性提高約20至約30倍。
在本揭露的一些實施例中,半導體裝置的製作方法可包括:在電晶體上形成接觸結構且在接觸結構上形成第一金屬化層。形成第一金屬化層可包括:在電晶體上沈積第一金屬間介電層,在第一金屬間介電層內形成第一開口以暴露接觸結構的頂表面,沈積第一金屬層以填充開口,在第一金屬間介電層內形成第一電子阻障層,且在第一金屬層內形成第一覆蓋層。第一電子阻障層的電洞載子濃度高於位於第一電子阻障層下面的第一金屬間介電層的一部分的電洞載子濃度。第一覆蓋層的電洞載子濃度高於位於第一覆蓋層下面的第一金屬層的一部分的電洞載子濃度。在一些實施例中,形成第一電子阻障層之步驟包括在第一金屬間介電層上執行氮化製程以將金屬間介電層的頂部部分轉換成氮化物層。在一些實施例中,執行氮化製程之步驟包括將第一金屬間介電層暴露於氮氣、一氧化二氮氣體或氨氣的電漿。在一些實施例中,形成第一覆蓋層之步驟包括在第一金屬層上執行氮化製程以將第一金屬層的頂部部分轉換成氮化物層。在一些實施例中,執行氮化製程之步驟包括將第一金屬層暴露於氮氣、一氧化二氮氣體或氨氣的一電漿。在一些實施例中,形成第一開口之步驟包括在第一金屬間介電層上沈積硬遮罩層,以及蝕刻硬遮罩層及第一金屬間介電層以暴露閘極結構的頂表面。在一些實施例中,形成第一金屬化層之步驟進一步包括在電晶體上沈積蝕刻終止層,然後該第一金屬間介電層。在一些實施例中,方法進一步包括在第一金屬化層上形成第二金屬化 層,其中該第二金屬化層包括在第一金屬化層上形成擴散阻障層,在擴散阻障層上沈積第二金屬間介電層,以及在第二金屬間介電層及擴散阻障層內形成導電結構。在一些實施例中,形成擴散阻障層之步驟包括在第一電子阻障層上沈積金屬氮化物層,以及在金屬氮化物層上執行氧化製程以將金屬氮化物層的頂部部分轉換成原子密度高於金屬氮化物層的原子密度的金屬氧化物層。
在本揭露的一些實施例中,一種半導體裝置的製作方法可包括:在電晶體上形成接觸結構,在接觸結構上形成通孔,在電晶體上沈積第一金屬間介電層,在第一金屬間介電層內形成第一電子阻障層,及在第一電子阻障層及第一金屬間介電層內形成第一導電結構。第一電子阻障層的電洞載子濃度高於位於第一電子阻障層下面的第一金屬間介電層的一部分的電洞載子濃度。該方法可進一步包括:在第一電子阻障層上沈積金屬氮化物層且在金屬氮化物層上執行氧化製程以將金屬氮化物層的頂部部分轉換成原子密度高於金屬氮化物層的原子密度的金屬氧化物層。在一些實施例中,形成第一電子阻障層之步驟包括在第一金屬間介電層上執行氮化製程以將金屬間介電層的頂部部分轉換成氮化物層。在一些實施例中,形成第一電子阻障層之步驟包括將第一金屬間介電層暴露一氮氣、一氧化二氮氣體或氨氣的電漿。在一些實施例中,形成第一導電結構之步驟包括在第一電子阻障層上沈積硬遮罩層,在硬遮罩層、第一電子阻障層及第一金屬間介電層內形成開口以暴露金 屬通孔的頂表面,以及沈積金屬層以填充開口。在一些實施例中,方法進一步包括在擴散阻障層上沈積第二金屬間介電層,在第二金屬間介電層內形成第二電子阻障層,以及在第二電子阻障層、第二金屬間介電層及擴散阻障層內形成第二導電結構,其中第二電子阻障層的電洞載子濃度高於位於第二電子阻障層下面的第二金屬間介電層的一部分的電洞載子濃度。
在本揭露的一些實施例中,互連結構可包括設置在基板上的第一金屬化層及設置在第一金屬化層上的第二金屬化層。第一金屬化層可包括:第一金屬間介電層、設置在金屬間介電層內的第一導電結構,及設置在第一金屬間介電層上的第一電子阻障層。第一電子阻障層的電洞載子濃度高於第一金屬間介電層的電洞載子濃度。第二金屬化層可包括:設置在第一金屬化層上的擴散阻障層、設置在擴散阻障層上的第二金屬間介電層,及設置在第二金屬間介電層及擴散阻障層內的第二導電結構。在一些實施例中,第一導電結構包括導電層及設置在導電層上的覆蓋層,其中導電層包括一金屬,且覆蓋層包括該金屬的氮化物。在一些實施例中,第一金屬間介電層包括低k介電材料,且電子阻障層包括該低k介電材料的氮化物。在一些實施例中,擴散阻障層包括金屬氮化物層以及設置在金屬氮化物層上的金屬氧化物層,其中金屬氧化物層包括金屬氮化物層的金屬的氧化物。
前述揭露概述了若干實施例的特徵,使得熟習此項 技術者可更好地理解本揭露的各態樣。熟習此項技術者應當瞭解,他們可容易地將本揭露用作設計或修改其他製程及結構的基礎,以執行與本文介紹的實施例相同的目的及/或實現相同的優點。熟習此項技術者進一步應當認識到,此種等效構造不脫離本揭露的精神及範圍,且在不脫離本揭露的精神及範圍的情況下,熟習此項技術者可進行各種改變、替代及變更。
116B:蝕刻終止層
118C:層間介電層
132:閘極接觸結構
134:金屬通孔
136A:導電結構
136B:導電結構
138A:蝕刻終止層
138B:蝕刻終止層
140A:金屬間介電層
140B:金屬間介電層
142A:電子阻障層
142B:電子阻障層
144:金屬層
148A:導電結構
148B:導電結構
150:擴散阻障層
152A:氮化物層
152B:氧化物層
154:蝕刻終止層
156:金屬層
158:金屬內襯
M1,M2:金屬化層

Claims (10)

  1. 一種半導體裝置的製作方法,包括以下步驟:在一電晶體上形成一接觸結構;及在該接觸結構上形成一第一金屬化層,其中形成該第一金屬化層包括:在該電晶體上沈積一第一金屬間介電層;在該第一金屬間介電層內形成一第一開口以暴露該接觸結構的一頂表面;沈積一第一金屬層以填充該開口;在該第一金屬間介電層內形成一第一電子阻障層,其中該第一電子阻障層的一電洞載子濃度高於位於該第一電子阻障層下面的該第一金屬間介電層的一部分的一電洞載子濃度;及在該第一金屬層內形成一第一覆蓋層,其中該第一覆蓋層的一電洞載子濃度高於位於該第一覆蓋層下面的該第一金屬層的一部分的一電洞載子濃度。
  2. 如請求項1所述之方法,其中形成該第一電子阻障層之步驟包括以下步驟:在該第一金屬間介電層上執行一氮化製程以將該金屬間介電層的一頂部部分轉換成一氮化物層。
  3. 如請求項2所述之方法,其中執行該氮化製程之步驟包括以下步驟:將該第一金屬間介電層暴露於一 氮氣、一一氧化二氮氣體或一氨氣的一電漿。
  4. 如請求項1所述之方法,其中形成該第一覆蓋層之步驟包括以下步驟:在該第一金屬層上執行一氮化製程以將該第一金屬層的一頂部部分轉換成一氮化物層。
  5. 如請求項4所述之方法,其中執行該氮化製程之步驟包括以下步驟:將該第一金屬層暴露於一氮氣、一一氧化二氮氣體或一氨氣的一電漿。
  6. 一種半導體裝置的製作方法,包括以下步驟:在一電晶體上形成一接觸結構;在該接觸結構上形成一通孔;在該電晶體上沈積一第一金屬間介電層;在該第一金屬間介電層內形成一第一電子阻障層,其中該第一電子阻障層的一電洞載子濃度高於位於該第一電子阻障層下面的該第一金屬間介電層的一部分的一電洞載子濃度;在該第一電子阻障層及該第一金屬間介電層內形成一第一導電結構;在該第一電子阻障層上沈積一金屬氮化物層;及在該金屬氮化物層上執行一氧化製程以將該金屬氮化物層的一頂部部分轉換成一原子密度高於該金屬氮化物層的一原子密度的一金屬氧化物層。
  7. 如請求項6之方法,其進一步包括以下步驟:在該擴散阻障層上沈積一第二金屬間介電層;在該第二金屬間介電層內形成一第二電子阻障層,其中該第二電子阻障層的一電洞載子濃度高於位於該第二電子阻障層下面的該第二金屬間介電層的一部分的一電洞載子濃度;及在該第二電子阻障層、該第二金屬間介電層及該擴散阻障層內形成一第二導電結構。
  8. 一種互連結構,包括:設置在一基板上的一第一金屬化層,該第一金屬化層包括:一第一金屬間介電層;設置在該金屬間介電層內的一第一導電結構;及設置在該第一金屬間介電層內的一第一電子阻障層,其中該第一電子阻障層的一電洞載子濃度高於該第一金屬間介電層的一電洞載子濃度;及設置在該第一金屬化層上的一第二金屬化層,該第二金屬化層包括:設置在該第一金屬化層上的一擴散阻障層;設置在該擴散阻障層上的一第二金屬間介電層;及設置在該第二金屬間介電層及該擴散阻障層內的一第二導電結構。
  9. 如請求項8所述之互連結構,其中該第一導電結構包括一導電層及設置在該導電層上的一覆蓋層,其中該導電層包括一金屬,且該覆蓋層包括該金屬的一氮化物。
  10. 如請求項8所述之互連結構,其中該擴散阻障層包括:一金屬氮化物層;及設置在該金屬氮化物層上的一金屬氧化物層,其中該金屬氧化物層包括該金屬氮化物層的一金屬的一氧化物。
TW110103131A 2020-01-29 2021-01-27 半導體裝置的製作方法及互連結構 TWI804804B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062967267P 2020-01-29 2020-01-29
US62/967,267 2020-01-29
US16/941,040 2020-07-28
US16/941,040 US11264273B2 (en) 2020-01-29 2020-07-28 Electron migration control in interconnect structures

Publications (2)

Publication Number Publication Date
TW202133274A TW202133274A (zh) 2021-09-01
TWI804804B true TWI804804B (zh) 2023-06-11

Family

ID=76970483

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110103131A TWI804804B (zh) 2020-01-29 2021-01-27 半導體裝置的製作方法及互連結構

Country Status (3)

Country Link
US (3) US11264273B2 (zh)
CN (1) CN113270361A (zh)
TW (1) TWI804804B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114335068A (zh) * 2020-09-30 2022-04-12 联华电子股份有限公司 半导体元件及其制作方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9406555B2 (en) * 2014-05-27 2016-08-02 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and fabrication method thereof

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8563336B2 (en) * 2008-12-23 2013-10-22 International Business Machines Corporation Method for forming thin film resistor and terminal bond pad simultaneously
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9806018B1 (en) * 2016-06-20 2017-10-31 International Business Machines Corporation Copper interconnect structures
US9899317B1 (en) * 2016-09-29 2018-02-20 International Business Machines Corporation Nitridization for semiconductor structures
US10170322B1 (en) * 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US11133216B2 (en) * 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
US10699945B2 (en) * 2018-10-04 2020-06-30 International Business Machines Corporation Back end of line integration for interconnects
US10916431B2 (en) * 2019-04-16 2021-02-09 International Business Machines Corporation Robust gate cap for protecting a gate from downstream metallization etch operations

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9406555B2 (en) * 2014-05-27 2016-08-02 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and fabrication method thereof
US9576897B2 (en) * 2014-05-27 2017-02-21 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor interconnect device

Also Published As

Publication number Publication date
TW202133274A (zh) 2021-09-01
US11264273B2 (en) 2022-03-01
US20210233805A1 (en) 2021-07-29
US20230377955A1 (en) 2023-11-23
CN113270361A (zh) 2021-08-17
US20220181203A1 (en) 2022-06-09

Similar Documents

Publication Publication Date Title
US10504778B2 (en) Composite contact plug structure and method of making same
US12021148B2 (en) Semiconductor device with metal cap on gate
CN104733378B (zh) 半导体结构及其制造方法
US20230369110A1 (en) Fin field effect transistor (finfet) device structure with protection layer and method for forming the same
US11195750B2 (en) Etch profile control of interconnect structures
US11309217B2 (en) Contact plug and method of formation
US11901238B2 (en) Semiconductor device structure
KR20210133117A (ko) Beol 상호연결부들 사이의 장벽 없는 계면
US20230361039A1 (en) Interconnect Structure and Method of Forming Thereof
TWI724434B (zh) 半導體裝置及其製造方法
US20230377955A1 (en) Electron migration control in interconnect structures
US20230378334A1 (en) CMOS Fabrication Methods for Back-Gate Transistor
US12021140B2 (en) Semiconductor structure and method of forming thereof
TW202310017A (zh) 互連結構
US20220367708A1 (en) Semiconductor structure and method of forming thereof
US20230029002A1 (en) Semiconductor Devices with a Nitrided Capping Layer
US11094900B2 (en) Semiconductor device and method for fabricating the same
US20230378179A1 (en) Semiconductor Device and Method of Manufacturing the Same
US20220352328A1 (en) Disposable Hard Mask for Interconnect Formation
CN113206061A (zh) 集成芯片和形成集成芯片的方法
CN114975258A (zh) 半导体结构的制造方法