TWI801927B - 半導體結構與其形成方法 - Google Patents

半導體結構與其形成方法 Download PDF

Info

Publication number
TWI801927B
TWI801927B TW110125283A TW110125283A TWI801927B TW I801927 B TWI801927 B TW I801927B TW 110125283 A TW110125283 A TW 110125283A TW 110125283 A TW110125283 A TW 110125283A TW I801927 B TWI801927 B TW I801927B
Authority
TW
Taiwan
Prior art keywords
layer
metal
copper
transition metal
forming
Prior art date
Application number
TW110125283A
Other languages
English (en)
Other versions
TW202221849A (zh
Inventor
蔡政倫
謝惠雯
陳駿笙
郭凱翔
劉仁偉
翁政輝
林俊傑
蘇鴻文
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202221849A publication Critical patent/TW202221849A/zh
Application granted granted Critical
Publication of TWI801927B publication Critical patent/TWI801927B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

形成開口穿過介電材料層,以物理露出基板之中或之上的導電材料部分的上表面。形成金屬氮化物襯墊層於開口的側壁上與導電材料部分的上表面上。金屬黏著層包含銅與非銅的至少一過渡金屬的合金,並形成金屬氮化物層的內側側壁上。銅填充材料部分可形成於金屬黏著層的內側側壁上。金屬黏著層為熱穩定,且在後續的熱製程時維持無孔洞,且熱製程可包含使銅填充材料部分再流動。再流動製程之後,可視情況沉積額外的銅填充材料部分。

Description

半導體結構與其形成方法
本發明實施例關於半導體裝置,更特別關於含有熱穩定的銅合金黏著層之金屬內連線結構與其形成方法。
在狹窄空間中填充銅具有挑戰性,因為沉積的銅通常不完全覆蓋下方表面。如此一來,沉積銅之後易形成孔洞。具體而言,沉積銅以形成微細間距的銅內連線結構時,由於銅在黏著層上的遷移率低,因此銅傾向聚集於黏著層上。此外,黏著層的熱穩定性不足,後續銅再流動製程時會形成空洞於黏著層中。因此微細間距的銅內連線結構中的銅填充層易產生空洞,並造成銅內連線結構中的電性開路。這些缺陷會負面影響進階半導體裝置中的晶片良率。
本發明一實施例提供之半導體結構的形成方法,包括:形成介電材料層於基板之中或之上的導電材料部分上;形成開口穿過介電材料層,其中開口的底部物理露出導電材料部分的上表面;形成含銅與非銅的至少一過渡金屬的合金的金屬黏著層於開口的側壁與導電材料部分的上表面上;以及形成銅填充材料部分於金屬黏著層的內側側壁上。
本發明一實施例提供之半導體結構的形成方法,包括:形成開口穿過基板上的介電材料層;形成含銅與非銅的至少一過渡金屬的合金之金屬黏著層於開口的側壁上;沉積第一銅填充材料層於金屬黏著層上;以及使第一銅填充材料層中的銅再流動,以形成第一銅填充材料部分。
本發明一實施例提供之半導體結構,包括:第一金屬內連線結構埋置於第一介電材料層中並位於基板上,其中第一金屬內連線結構包括:金屬黏著層,包括銅與非銅的至少一過渡金屬之合金,並位於第一介電材料層的側壁上;以及第一銅填充材料部分,位於金屬黏著層的內側側壁上,其中金屬黏著層中的至少一過渡金屬的局部原子濃度峰值的位置遠離金屬黏著層的外側側壁。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述內容提供的不同實施例或例子可實施本發明實施例的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間。此外,空間性的相對用語如「下方」、「其下」、「下側」、「上方」、「上側」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。設備亦可轉動90˚或其他角度,因此方向性用語僅用以說明圖示中的方向。具有相同標號的單元預設為具有相同材料組成,除非另外說明。
一般而言,本發明實施例的結構與方法可用於形成金屬內連線結構如金屬通孔結構、金屬線路結構、與積體線路與通孔結構,其可整合至含有至少一半導體裝置的半導體晶粒。本發明實施例的金屬內連線結構包括金屬氮化物襯墊層、含銅與至少一非銅過渡金屬的合金之金屬黏著層、與基本上由銅組成的銅填充材料部分。非銅過渡金屬可增進銅填充材料部分與金屬氮化物襯墊層之間的黏著性。銅與金屬黏著層中非銅的至少一過渡金屬互相混合,可增加金屬黏著層的熱穩定性,使後續退火製程(如再流動銅材料部分所用的再流動製程)時的金屬黏著層維持順應性且不會形成孔洞於其中。金屬黏著層的形成方法可為交錯形成至少一過渡金屬層與至少一銅層,且視情況誘發沉積的材料層之間的互相擴散;或者同時沉積至少一過渡金屬與銅。金屬黏著層所增進的黏著性,可使金屬內連線結構含銅填充層而不具有孔洞,且可增進半導體晶粒中的金屬內連線結構的良率。本發明實施例的方法與結構可提供連續的銅層覆蓋於黏著層上,因此即使在小尺寸仍可抑制銅聚集且可形成無孔洞的連續銅填充結構。本發明實施例的多種結構與方法將詳述於下。
如圖1所示,本發明一實施例的例示性結構包含半導體裝置與金屬內連線結構。例示性結構包括基板8,其可包含半導體材料層10。基板8可包含半導體基體基板如矽基板,其中半導體材料層自基板8的上表面連續延伸至基板8的下表面,或者絕緣層上半導體層包括半導體材料層10如頂部的半導體層位於埋置的絕緣層(如氧化矽層)上。例示性結構可包含本技術領域已知的任何半導體裝置,其可包含場效電晶體、記憶體單元的陣列、電容器、電感、天線、及/或其他被動裝置。
舉例來說,場效電晶體可形成於半導體材料層10之上及/或之中。在此實施例中,可形成淺溝槽隔離結構12於半導體材料層10的上側部分中,其形成方法可為形成淺溝槽,接著將介電材料如氧化矽填入淺溝槽。多種摻雜井(未圖示)可形成於半導體材料層10的上側部分的多種區域中,其形成方法可為進行遮罩的離子佈植製程。
可沉積與圖案化閘極介電層、閘極層、與閘極蓋介電層,以形成閘極結構20於基板8的上表面上,每一閘極結構20可包含閘極介電層22、閘極24、與介電閘極蓋28的垂直堆疊。此處的垂直堆疊可視作閘極堆疊(22,24,28)。可進行離子佈植製程以形成延伸佈植區,其可包含源極延伸區與汲極延伸區。介電閘極間隔物26可形成於閘極堆疊(22,24,28)周圍。閘極堆疊(22,24,28)與介電閘極間隔物26的每一組件構成閘極結構20。可採用閘極結構20作為自對準的佈植遮罩進行額外離子佈植製程以形成深主動區,其可包含深源極區與深汲極區。深主動區的上側部分可與延伸佈植區的部分重疊。延伸佈植區與深主動區的任何組合可構成主動區14,其可為源極區或汲極區,端視偏置電壓而定。半導體通道15可形成於每一閘極堆疊(22,24,28)之下與相鄰成對的主動區14之間。金屬-半導體合金區18可形成於每一主動區14的上表面上。場效電晶體可形成於半導體材料層10上。每一場效電晶體可包含閘極結構20、半導體通道15、一對主動區14 (一者作為源極區且另一者作為汲極區)、與視情況形成的金屬-半導體合金區18。可提供互補式金氧半電路330於半導體材料層10上,其可包含周邊電路以用於之後形成的電阻式記憶體單元的陣列。
接著可形成多種內連線層結構(L0,L1,L2,L3,L4,L5,L6,L7)。在所述例子中,內連線層結構(L0,L1,L2,L3,L4,L5,L6,L7)可包含接點層結構L0、第一內連線層結構L1、第二內連線層結構L2、第三內連線層結構L3、第四內連線層結構L4、第五內連線層結構L5、第六內連線層結構L6、與第七內連線層結構L7。雖然本發明實施例採用八層的內連線層結構(L0,L1,L2,L3,L4,L5,L6,L7),但此處說明的實施例可直接採用內連線層結構(L0,L1,L2,L3,L4,L5,L6,L7)中總層數大於或等於1的任何內連線結構。
接點層結構L0可包括含有平坦化介電材料如氧化矽的平坦化介電層31A,與多種接點通孔結構41B以接觸個別的主動區14或閘極24並埋置於平坦化介電層31A中。第一內連線層結構L1可包含第一內連線層介電層31B與第一金屬線路41L埋置於第一內連線層介電層31B中。第一內連線層介電層31B亦可視作第一線路層介電層。第一金屬線路41L可接觸個別的接點通孔結構41V。第二內連線層結構L2可包含第二內連線層介電層32,其可包含第一通孔層介電材料層與第二線路層介電材料層的堆疊,或線路與通孔層介電材料層。第二內連線層介電層32可埋置第二內連線層金屬內連線結構(42V,42L),其可包含第一金屬通孔結構42V與第二金屬線路42L。第二金屬線路42L的上表面可與第二內連線層介電層32的上表面共平面。
第三內連線層結構L3可包含第三內連線層介電層33以埋置第三內連線層金屬內連線結構(43V,43L),其可包含第二金屬通孔結構43V與第三金屬線路43L。第四內連線層結構L4可包含第四內連線層介電層34以埋置第四內連線層金屬內連線結構(44V,44L),其可包含第三金屬通孔結構44V與第四金屬線路44L。第五內連線層結構L5可包含第五內連線層介電層35以埋置第五內連線層金屬內連線結構(45V,45L),其可包含第四金屬通孔結構45V與第五金屬線路45L。第六內連線層結構L6可包含第六內連線層介電層36以埋置第六內連線層金屬內連線結構(46V,46L),其可包含第五金屬通孔結構46V與第六金屬線路46L。第七內連線層結構L7可包含第七內連線層介電層37以埋置第六金屬通孔結構47V (其可為第七內連線層金屬內連線結構)與金屬接合墊47B。金屬接合墊47B可設置以用於焊料接合(其可採用C4球接合或打線接合),或設置以用於金屬對金屬接合(如銅對銅接合)。
每一內連線層介電層可視作內連線層介電層30。每一內連線層金屬內連線結構可視作金屬內連線結構40。金屬通孔結構與上方的金屬線路之每一組合可位於相同的內連線層結構(L2至L7)中,其形成方法可為採用兩個單鑲嵌製程所依序形成的兩個分開結構,或採用雙鑲嵌製程所同時形成的單一結構。每一金屬內連線結構40可包含個別的金屬襯墊層(如厚度為2 nm至20 nm的氮化鈦、氮化鉭、或氮化鎢層),與個別的金屬填充材料(如鎢、銅、鈷、鉬、釕、其他金屬元素、或上述之組合或合金)。多種蝕刻停止介電層(未圖示)與介電蓋層(未圖示)可夾設於垂直的相鄰成對的內連線層介電層30之間,或結合至一或多個內連線層介電層30中。
圖2係製造時的圖1的例示性結構的一部分。圖2所示的部分包括內連線層介電層30,其可為圖1所示的介電材料層(如第一內連線層介電層31B至第七內連線層介電層37)的任一者。上述的內連線層介電層30包含介電材料層134,其包含層間介電材料如未摻雜的矽酸鹽玻璃、摻雜的矽酸鹽玻璃、非孔洞的有機矽酸鹽玻璃(如碳氫氧化矽介電層)、或孔洞的有機矽酸鹽玻璃。在一實施例中,介電材料層134可包含介電常數為約1.4至2.7的孔洞介電材料及/或可由介電常數為約1.4至2.7的孔洞介電材料組成。介電材料層134的厚度可為50 nm至600 nm如100 nm至300 nm,但亦可採用較小或較大厚度。內連線層介電層30可視情況包含蝕刻停止介電層132,且可在形成介電材料層134之前形成蝕刻停止介電層132。蝕刻停止介電層132可包含介電材料,其於非等向蝕刻製程時可比介電材料層134提供更高的抗蝕刻性。舉例來說,蝕刻停止介電層132可包含碳氮化矽、氮氧化矽、或氮化矽。蝕刻停止介電層132的厚度可為3 nm至30 nm,但亦可採用較小或較大的厚度。
下方導電材料部分如金屬內連線結構120亦如圖所示,其位於內連線層介電層30之下。下方導電材料部分如金屬內連線結構120可埋置於下方混合層110中。在一實施例中,下方導電材料部分如金屬內連線結構120可為最頂部的金屬內連線結構以外的任何金屬內連線結構40,比如金屬接合墊47B以外的任何金屬內連線結構40。在一實施例中,下方的導電材料部分如金屬內連線結構120可為金屬通孔結構、金屬線路結構、或積體線路與通孔結構。在此實施例中,下方混合層110可為另一內連線層介電層30,其位於上方的內連線層介電層30之下。在其他實施例中,下方導電材料部分如金屬內連線結構120可為半導體裝置的導電構件(如節點),比如場效電晶體的閘極24或場效電晶體的金屬-半導體合金區18或主動區14 (如源極區或汲極區)。在此實施例中,下方的混合層110可為橫向圍繞導電構件的混合材料層,比如半導體材料層10及/或淺溝槽隔離結構12,或介電閘極間隔物26及/或最底部的內連線層介電層30 (其橫向圍繞閘極24)。一般而言,半導體裝置可形成於基板8上,而導電材料部分如金屬內連線結構120可包含半導體裝置的節點或額外的金屬內連線結構40位於基板8上並電性連接至半導體裝置的節點。
在所述例子中,比如下方金屬內連線結構如金屬內連線結構120包括金屬通孔結構、金屬線路結構、或積體線路與通孔結構的實施例中,下方金屬內連線結構120可包含金屬氮化物襯墊層122、視情況形成的金屬黏著層124、與金屬填充材料部分126。在一些實施例中,金屬氮化物襯墊層122可與後續形成於內連線層介電層30中的金屬內連線結構的金屬氮化物襯墊層的材料組成相同,而金屬填充材料部分126可為基本上由銅組成的銅填充材料部分。在其他實施例中,下方金屬內連線結構120的金屬填充材料部分126可包含銅以外的金屬,其可包含鎢、鉬、鈷、釕、或類似物。其他合適的金屬填充材料亦屬本發明實施例的範疇。
如圖3所示,可施加光阻層137於內連線層介電層30的上表面上。可微影圖案化光阻層137以形成開口穿過光阻層。雖然圖3只包含單一開口,本技術領域中具有通常知識者應理解可形成多個開口穿過光阻層137。多個開口可包含金屬線路結構的圖案,或可包含金屬通孔結構的圖案。可進行非等向蝕刻製程,使光阻層137中的圖案轉移穿過內連線層介電層30。開口131可穿過內連線層介電層30。開口131可自內連線層介電層30的上表面垂直延伸至內連線層介電層30的下表面。穿過內連線層介電層30的開口131的底部可物理露出下方的金屬內連線結構120的上表面。接著可移除光阻層137,且移除方法可為灰化。在其他實施例中,可採用兩個光阻層137與兩道非等向蝕刻製程進行兩道微影圖案化製程而形成積體線路與通孔空洞如開口131,以取代單一微影圖案化製程與單一非等向蝕刻製程的組合。積體線路與通孔空洞可包含至少一通孔狀開口於下側部分中,以及線路空洞以連接至上側部分中的至少一通孔狀開口的每一者。
每一開口131的深寬比(如開口131的深度與寬度之比例)可為0.01至30,但亦可採用較小或較大的深寬比。在一些實施例中,開口131的深寬比可為1至30如3至15。在這些實施例中,填滿開口131的所有體積具有挑戰性,且本發明實施例的方法與結構在形成完全填充的金屬內連線結構時具有明顯優點。然而應理解本發明實施例的方法與結構的優點亦可用於深寬比小於3如小於1的開口。
雖然例示性結構的所示部分為單獨存在的開口131,但應理解穿過內連線層介電層30的開口131可為陣列設置。舉例來說,若內連線層介電層30包括線路層的介電材料層,開口131可為線狀空洞的一維週期性陣列,其可沿著相同的水平方向橫向延伸。在一些實施例中,線路空洞的一維周期性陣列的間距可為微影最小間距,比如形成開口131所用的微影曝光與顯影工具所能產生的最小間距。若內連線層介電層30包括通孔層內連線層介電層,則開口131可形成為通孔空洞的陣列,其可包含二維的通孔空洞陣列或一維的通孔空洞陣列。在一些實施例中,通孔空洞的間距可為微影的最小間距。在一些實施例中,開口131沿著至少一水平方向可具有週期性的間距,其可為10 nm至200 nm如20 nm至100 nm。
本發明實施例的圖式中,水平方向與垂直方向的比例不同。本發明實施例的方法與結構可用於大範圍的深寬比。舉例來說,本發明實施例的金屬內連線結構中的開口深寬比可大於1,且可為1至30如2至15。此外,雖然圖3所示的實施例中穿過內連線層介電層30的開口131的寬度小於下方的金屬內連線結構120的寬度,實施例可明確用於開口131的寬度大於下方的金屬內連線結構120的寬度的情況。
如圖4所示,可視情況形成金屬氮化物襯墊層142於穿過內連線層介電層30的每一開口131中,與內連線層介電層30的上表面上。金屬氮化物襯墊層142可為視情況形成的構件,因此可或可不形成金屬氮化物襯墊層142。金屬氮化物襯墊層142可包含導電金屬材料如氮化鈦、氮化鉭、氮化鎢、上述之合金、或上述之堆疊。金屬氮化物襯墊層142的形成方法可為物理氣相沉積、化學氣相沉積、或原子層沉積。金屬氮化物襯墊層142自穿過內連線層介電層30的每一開口131之側壁上量測的厚度可為0.5 nm至5 nm如1 nm至3 nm,但亦可採用較小或較大的厚度。金屬氮化物襯墊層142可接觸下方的金屬內連線結構120之物理露出的上表面、穿過內連線層介電層30的每一開口之側壁、以及內連線層介電層30的上表面。空洞131’存在於每一開口131的未填滿空間中。
圖5A至5D顯示後續製程步驟中,例示性結構的多種設置,其中金屬黏著層144可形成於金屬氮化物襯墊層142上。一般而言,金屬黏著層144包括銅與非銅的至少一過渡金屬的合金,及/或基本上由銅與非銅的至少一過渡金屬的合金組成。至少一過渡金屬可為單一過渡金屬或多個過渡金屬。至少一過渡金屬可包含一或多個過渡金屬,其可與銅的合金形式增進銅對金屬氮化物襯墊層142的材料之黏著性。舉例來說,至少一過渡金屬可包含鈷、釕、鉭、鉬、與鎢的一或多個元素。金屬黏著層144可直接沉積於金屬氮化物襯墊層142的內側側壁上,並直接沉積於金屬氮化物襯墊層142的水平表面上。
如圖5A所示,例示性結構的第一設置可包含金屬黏著層144,其形成方法為沉積至少一過渡金屬層(441,443)與至少一銅層(442)的堆疊。至少一過渡金屬層(441,443)的每一者基本上由至少一過渡金屬組成,比如鈷、釕、鉭、鉬、或鎢。在一實施例中,至少一過渡金屬層(441,443)的每一者基本上可由單一的過渡金屬如鈷、釕、鉭、鉬、或鎢組成。在兩個或多個過渡金屬層(441,443)存在的實施例中,兩個或多個過渡金屬層(441,443)基本上可由相同的過渡金屬組成,或可包含多種過渡金屬。換言之,不同的過渡金屬層(441,443)可包含不同的過渡金屬元素。在另一實施例中,一或多個至少一過渡金屬層(441,443)可包含至少兩個過渡金屬元素的金屬間合金,及/或基本上可由至少兩個過渡金屬元素的金屬間合金組成。舉例來說,金屬間合金可包含鈷、釕、鉭、鉬、及/或鎢的金屬間合金,及/或基本上可由鈷、釕、鉭、鉬、及/或鎢的金屬間合金組成。在一實施例中,至少一過渡金屬層(441,443)的每一者基本上可由鈷組成。至少一銅層442的每一者基本上可由銅組成。至少一銅層442可包含單一銅層或多個銅層。
在一實施例中,可在沉積至少一過渡金屬層(441,443)之一者前,先沉積一或多個至少一銅層442。換言之,可在沉積最遠離金屬氮化物襯墊層142 (比如最後沉積的金屬氮化物襯墊層)的過渡金屬層之前,先沉積一或多個至少一銅層442。在一實施例中,至少一過渡金屬層(441,443)包含至少兩個過渡金屬層(441,443),且至少一銅層442之一者可沉積於至少兩個過渡金屬層之一者(如過渡金屬層441)的沉積步驟之後,以及至少兩個過渡金屬層之另一者(如過渡金屬層443)的沉積步驟之前。
至少一過渡金屬層(441,443)的每一者之形成方法可為物理氣相沉積(濺鍍)、化學氣相沉積、或原子層沉積。至少一銅層442的每一者之形成方法可為物理氣相沉積(濺鍍)、化學氣相沉積、或原子層沉積。金屬黏著層144的總厚度,比如至少一過渡金屬層(441,443)與至少一銅層442的總厚度可為0.5 nm至10 nm,比如1 nm至6 nm,但亦可採用較小或較大的厚度。至少一過渡金屬層(441,443)的每一者之厚度可為約一個單層、小於一個單層、或超過一個單層。至少一銅層442的每一者之厚度可為約一個單層、小於一個單層、或超過一個單層。
在一或多個至少一過渡金屬層(441,443)與至少一銅層442的厚度小於一個單層的實施例中,至少一過渡金屬層(441,443)與至少一銅層442的原子可互相擴散。在額外或其他實施例中,沉積至少一過渡金屬層(441,443)與至少一銅層442時,及/或在後續熱處理步驟時,至少一過渡金屬層(441,443)與至少一銅層442的原子可互相熱擴散。在至少一過渡金屬層(441,443)的過渡金屬原子與至少一銅層442的銅原子未完全互相擴散的實施例中,金屬黏著層144的組成調整與自金屬氮化物襯墊層142的距離相關。換言之,在金屬氮化物襯墊層142的組成未經由相互擴散而完全均質化的實施例中,金屬黏著層144的組成調整與自金屬氮化物襯墊層142的距離相關。
可視情況進行電漿處理製程或熱退火製程,以增進至少一過渡金屬層(441,443)與至少一銅層442的原子互相擴散。在一實施例中,可在層狀物堆疊上進行電漿處理製程,以增進含有至少一過渡金屬層(441,443)與至少一銅層442的層狀物堆疊之組成一致性。舉例來說,可進行氫電漿處理製程以增加至少一過渡金屬層(441,443)與至少一銅層442的原子的互相擴散。在一實施例中,可進行熱退火製程以有效增進含有至少一過渡金屬層(441,443)與至少一銅層442的層狀物堆疊之組成一致性。熱退火所升高的溫度可為150℃至400℃,比如200℃至350℃。
一旦形成金屬黏著層144與視情況進行組成均質化製程之後,金屬黏著層144的組成調整與自金屬氮化物襯墊層142的距離相關,比如與自金屬氮化物襯墊層142的內側側壁的距離相關。在一些實施例中,金屬黏著層144中的至少一過渡金屬的局部原子濃度峰值的位置,可遠離金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)。舉例來說,至少一過渡金屬的局部原子濃度峰值的位置與金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)之間的距離,可為金屬黏著層144的厚度(比如金屬黏著層144接觸內連線層介電層30的側壁之垂直或錐形部分的厚度)之10%至100%。在一些實施例中,金屬黏著層144中的最小銅原子濃度的位置遠離金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)。在一些實施例中,金屬黏著層144中的最小銅原子濃度的位置與金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)之間的距離,可為金屬黏著層144的厚度(比如內連線層介電層30之側壁上的金屬黏著層144的厚度)的10%至100%。
如圖5A所示,沉積兩個過渡金屬層(441,443)與一個銅層442所形成的金屬黏著層144的設置,且此處明確說明兩個或更多個過渡金屬層與兩個或更多個銅層交錯的設置。過渡金屬層(441,443)的總數一般可為1至5,且銅層442的總數一般可為1至5。
如圖5B所示的例示性結構之第二設置,其包含的金屬黏著層144之形成方法,可為沉積銅層442與過渡金屬層443的堆疊。在此設置中,可先沉積銅層442,並可沉積過渡金屬層443於於銅層442上。銅層442基本上由銅組成。過渡金屬層443可與圖5A所示的例示性結構之第一設置中的任何過渡金屬層(441,443)的材料組成相同。舉例來說,過渡金屬層443基本上可由至少一過渡金屬如鈷、釕、鉭、鉬、或鎢組成。在一實施例中,過渡金屬層443基本上由鈷、釕、鉭、鉬、或鎢的單一過渡金屬組成。在另一實施例中,過渡金屬層443基本上可由至少兩種過渡金屬元素的金屬間合金組成。舉例來說,金屬間和金可包含鈷、釕、鉭、鉬、及/或鎢的金屬間合金,或基本上可由鈷、釕、鉭、鉬、及/或鎢的金屬間合金組成。在一實施例中,過渡金屬層443基本上由鈷組成。
銅層442的形成方法可為物理氣相沉積(濺鍍)、化學氣相沉積、或原子層沉積。過渡金屬層443的形成方法可為物理氣相沉積(濺鍍)、化學氣相沉積、或原子層沉積。金屬黏著層144的總厚度,比如過渡金屬層443與銅層442的總厚度可為0.5 nm至10 nm如1 nm至6 nm,但亦可採用較小或較大的厚度。過渡金屬層443的厚度可為約一個單層、小於一個單層、或大於一個單層。銅層442的厚度可為約一個單層、小於一個單層、或大於一個單層。
在一或多個過渡金屬層443與銅層442的厚度小於一個單層的實施例中,過渡金屬層443與銅層442的原子互相擴散。在額外實施例或其他實施例中,沉積過渡金屬層443及/或後續熱處理步驟時,過渡金屬層443與銅層442的原子互相熱擴散。在過渡金屬層443與銅層442的過渡金屬原子與銅原子的互相擴散不完全的實施例中,金屬黏著層144的組成調整可與自金屬氮化物襯墊層142的距離相關。換言之,在金屬氮化物襯墊層142的組成未經由互相擴散而完全均質化的實施例中,金屬黏著層144的組成調整可與自金屬氮化物襯墊層142的距離相關。
可視情況進行電漿處理製成或熱退火製程,以增進過渡金屬層443與銅層442的原子互相擴散。在一實施例中,可在層狀物堆疊上進行電漿處理製程,以有效增進含有過渡金屬層443與銅層442的層狀物堆疊之組成一致性。舉例來說,可進行氫電漿處理製程以增加過渡金屬層443與銅層442的原子之互相擴散。在一實施例中,可進行熱處理製程以有效增進含有過渡金屬層443與銅層442的層狀物堆疊之組成一致性。
一旦形成金屬黏著層144與視情況進行的組成均質化製程(若採用)之後,金屬黏著層144的組成調整與自金屬氮化物襯墊層142的距離相關,比如與自金屬氮化物襯墊層142的內側側壁的距離相關。在一些實施例中,金屬黏著層144中的至少一過渡金屬的局部原子濃度峰值的位置可遠離金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)。在一些實施例中,金屬黏著層144中的至少一過渡金屬之局部原子濃度峰值可在金屬黏著層144之物理露出的內側側壁上。在一些實施例中,金屬黏著層144中的局部銅原子最大濃度可在金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)上。
如圖5C所示,例示性結構的第三設置包括金屬黏著層144,其形成方法可為沉積過渡金屬層441與銅層442的堆疊。在此設置中,可先沉積過渡金屬層441,並可沉積銅層442於過渡金屬層441上。過渡金屬層441的材料組成,可與圖5A所示的例示性結構之第一設置中的任何過渡金屬層(441,443)的材料組成相同。舉例來說,過渡金屬層441的組成基本上由至少一過渡金屬如鈷、釕、鉭、鉬、或鎢組成。在一實施例中,過渡金屬層441的組成基本上由單一的過渡金屬如鈷、釕、鉭、鉬、或鎢組成。在另一實施例中,過渡金屬層441基本上可由至少兩個過渡金屬元素的金屬間合金組成。舉例來說,金屬間合金可包含鈷、釕、鉭、鉬、及/或鎢的金屬間合金及/或基本上可由鈷、釕、鉭、鉬、及/或鎢的金屬間合金組成。在一實施例中,過渡金屬層441基本上由鈷組成。銅層442的組成基本上由銅組成。
過渡金屬層441的形成方法可為物理氣相沉積(濺鍍)、化學氣相沉積、或原子層沉積。銅層442的形成方法可為物理氣相沉積(濺鍍)、化學氣相沉積、或原子層沉積。金屬黏著層144的總厚度(如過渡金屬層441與銅層442的總厚度)可為0.5 nm至10 nm如1 nm至6 nm,但亦可採用較小或較大的厚度。過渡金屬層441的厚度可為約一個單層、小於一個單層、或大於一個單層。銅層442的厚度可為約一個單層、小於一個單層、或大於一個單層。
實施例中的過渡金屬層441與銅層442可自然地互相擴散,其中一或多個過渡金屬層441與銅層442的厚度可小於一個單層。在額外實施例或其他實施例中,沉積過渡金屬層441及/或後續的熱處理步驟時,過渡金屬層441的原子與銅層442的原子可互相熱擴散。在過渡金屬層441與銅層442的過渡金屬原子與銅原子的互相擴散不完全的實施例中,金屬黏著層144的組成調整可與自金屬氮化物襯墊層142的距離相關。換言之,在金屬氮化物襯墊層142的組成未經由互相擴散而完全均質化的實施例中,金屬黏著層144的組成調整可與自金屬氮化物襯墊層142的距離相關。
可視情況進行電漿處理製程或熱退火製程,使過渡金屬層441與銅層442的原子互相擴散。在一實施例中,可進行電漿處理製程於層狀物堆疊上,以有效增進含有過渡金屬層441與銅層442的層狀物堆疊之組成一致性。舉例來說,可進行氫電漿處理製程,以增加過渡金屬層441與銅層442的原子之互相擴散。在一實施例中,可進行熱退火製程以有效增進含有過渡金屬層441與銅層442的層狀物堆疊之組成一致性。
一旦形成金屬黏著層144且在視情況進行組成均質化製程(若採用)之後,金屬黏著層144的組成調整與自金屬氮化物襯墊層142的距離相關,比如與自金屬氮化物襯墊層142的內側側壁的距離相關。在一些實施例中,金屬黏著層144中的至少一過渡金屬的局部原子濃度峰值可在金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)。在一些實施例中,金屬黏著層144的銅原子濃度在金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)具有局部最小值。
在其他實施例中,金屬黏著層144可完全均質化,使整個金屬黏著層的材料組成相同。
如圖5D所示的例示性結構的第四設置,其包含的金屬黏著層144的形成方法可為多金屬沉積製程。多金屬沉積製程中可同時沉積銅原子與至少一過渡金屬的原子,以形成銅與至少一過渡金屬的合金,其具有一致的材料組成。在一實施例中,至少一過渡金屬包括鈷、釕、鉭、鉬、鎢、或上述之組合,及/或基本上可由鈷、釕、鉭、鉬、鎢、或上述之組合組成。至少一過渡金屬可為鈷、釕、鉭、鉬、或鎢的單一金屬,或可包含鈷、釕、鉭、鉬、與鎢中兩者或多者的組合。在一實施例中,至少一過渡金屬可為鈷。
在一些實施例中,多金屬沉積製程可包含化學氣相沉積,其中含銅前驅物氣體與含至少一過渡金屬前驅物氣體可同時流入前驅物腔室,其包含升溫的例示性結構。化學氣相沉積製程可為熱化學氣相沉積製程,其中含銅前驅物氣體與含至少一過渡金屬前驅物氣體可熱分解。在其他實施例中,化學氣相沉積製程可為電漿輔助化學氣相沉積製程,其中電漿能量有助於含銅前驅物氣體與含至少一過渡金屬前驅物氣體分解。一般而言,含銅前驅物氣體與含至少一過渡金屬前驅物氣體的任何組合,可用於提供具有目標組成的銅與至少一過渡金屬元素的合金,其中銅的原子%為10原子%至90原子%如20原子%至80原子%,而至少一過渡金屬元素的原子%為90原子%至10原子%如80原子%至20原子%。銅與至少一過渡金屬元素的合金基本上可由銅與至少一過渡金屬元素所組成。
在另一實施例中,多金屬沉積製程可包含物理氣相沉積,其同時濺鍍銅與至少一過渡金屬元素。在一實施例中,可在多金屬沉積製程時採用含有銅與至少一過渡金屬元素的合金之單一濺鍍靶材。在其他實施例中,在多金屬沉積製程時採用含銅的一濺鍍靶材與含至少一過渡金屬的另一濺鍍靶材作為雙靶材,且可同時或交替濺鍍這兩個靶材。在一實施例中,銅與至少一過渡金屬元素的合金所包含的銅原子%可為10原子%至90原子%如20原子%至80原子%,而至少一過渡金屬元素的原子%可為90%原子%至10原子%如80原子%至20原子%。銅與至少一過渡金屬元素的合金基本上可由銅與至少一過渡金屬元素組成。
在又一實施例中,多金屬沉積製程可包含原子層沉積,其中含銅前驅物氣體與含至少一過渡金屬前驅物氣體交錯流入製程腔室,其含有升溫的例示性結構。原子層沉積製程可為熱活化的沉積製程,其中含銅前驅物氣體與含至少一過渡金屬前驅物氣體熱分解。在其他實施例中,原子層沉積製程可為電漿輔助原子層沉積製程,其中電漿能量有助於分解至少一含銅前驅物氣體與含至少一過渡金屬前驅物氣體。銅原子與至少一過渡金屬的原子可在原子級互相混合,以提供銅與至少一過渡金屬的均質合金。一般而言,可採用含銅前驅物氣體與含至少一過渡金屬前驅物氣體的任何組合以形成銅與至少一過渡金屬元素的合金,其目標組成的銅原子%可為10原子%至90原子%如20原子%至80原子%,而至少一過渡金屬元素的原子%可為90原子%至10原子%如80原子%至20原子%。銅與至少一過渡金屬元素的合金基本上可由銅與至少一過渡金屬元素組成。
金屬氮化物襯墊層142的側壁上所量測的金屬黏著層144的厚度可為0.5 nm至10 nm如1 nm至6 nm,但亦可採用較小或較大的厚度。在銅層與至少一過渡金屬元素的層狀物未均質地互相混合的實施例中,可沿著厚度方向調整金屬黏著層144的組成,且可提供圖5A所示的結構。
如圖6所示,第一銅填充材料層146L基本上由銅組成,其可形成於金屬黏著層144的物理露出表面上。 第一銅填充材料層146L的形成方法可為物理氣相沉積、電鍍、形成銅晶種層的物理氣相沉積製程與電鍍銅於銅晶種層上的電鍍製程的組合。在採用銅晶種層的實施例中,金屬黏著層的側壁上的銅晶種層厚度可為1 nm至20 nm如2 nm至10 nm,但亦可採用較小或較大的厚度。
在一實施例中,可選擇第一銅填充材料層146L的總厚度,使後續再流動製程之後的第一銅填充材料層146L的再流動部分,無法完全填入開口131中的金屬黏著層144所橫向封閉的所有體積。在其他實施例中,可選擇第一銅填充材料層146L的總厚度,使第一銅填充材料層146L的再流動部分可完全填入開口131中的金屬黏著層144所橫向封閉的所有空間。在開口131的深寬比不夠高(比如小於2)的實施例中,第一銅填充材料層146L可完全填入開口131中的金屬黏著層144所封閉的所有空間,且可選擇第一銅填充材料層146L的厚度使第一銅填充材料層146L完全填入開口131中的金屬黏著層144所封閉的所有空間而不需再流動製程。圖6所示的實施例中,後續再流動製程之後的第一銅填充材料層146L的再流動部分,不完全填入開口131中的金屬黏著層144所橫向封閉的所有空間。
如圖7所示,可升高溫度以退火例示性結構,並誘發第一銅填充材料層146L中的銅再流動。此處的退火製程可視作再流動退火製程。第一銅填充材料部分146可形成於開口131中的金屬黏著層144所橫向封閉的空間中,其形成方法可為再流動第一銅填充材料層146L。升高的溫度可為300℃至400℃,比如350℃至400℃。可採用含氫環境以在再流動退火製程時幫助銅的再流動。
在一實施例中,再流動的退火製程可在原子氫的存在下進行,其溫度可為300℃至400℃。在一實施例中,原子氫的產生方法可採用微波激發高密度電漿設備,其可產生惰氣與氫的混合電漿。舉例來說,可採用氪原子與氫原子的混合電漿。原子氫可增進第一銅填充材料部分146中的銅再流動,以填入開口131中的金屬黏著層144的個別垂直延伸部分所橫向封閉的未填滿空間。再流動製程時的氫電漿可使銅再流動的溫度減少約100℃,進而使再流動溫度降低到低於400℃,並避免圖1所示的介電材料層中的低介電常數的介電材料分解。再流動退火製程時施加電漿的時間,可為3秒至600秒如10秒至100秒。再流動退火製程時施加電漿的時間,端視再流動退火製程時升高的溫度而變化。
如圖7所示,再流動退火製程可移除開口131的下側部分之孔洞,就算開口131具有高深寬比(比如深寬比大於3)的實施例中。電漿存在的腔室壓力可為約1 Torr。氫與惰氣的混合物電漿中的氫原子%可為2原子%至50原子%如5原子%至20原子%,但亦可採用較低或較高的原子%。
第一銅填充材料部分146可形成於金屬黏著層144的內側側壁上。第一銅填充材料部分146可為第一銅填充材料層146L的再流動部分,其可流入穿過介電材料層134的所示開口131其未填滿的空間中。在一實施例中,第一銅填充材料部分146的最頂部表面可低於含有介電材料層134的上表面之水平平面。換言之,第一銅填充材料部分146的上表面與含有介電材料層134的上表面之水平平面之間,可存在未填滿的空洞。
如圖8所示,可沉積基本上由銅組成的第二銅填充材料層148L於第一銅填充材料部分146之上與金屬黏著層144的物理露出表面之上。第二銅填充材料層148L的形成方法可為物理氣相沉積、電鍍、或形成銅晶種層的物理氣相沉積製程及電鍍銅於銅晶種層上的電鍍製程之組合。在採用銅晶種層的實施例中,金屬黏著層的側壁上的銅晶種層之厚度可為1 nm至20 nm如2 nm至10 nm,但亦可採用較小或較大的厚度。可選擇第二銅填充材料層148L的厚度,使金屬氮化物襯墊層142、個別的第一銅填充材料部分146、與第二銅填充材料層148L完全填入穿過介電材料層134的每一開口131的所有體積。
如圖9A所示,可進行平坦化製程以移除金屬氮化物襯墊層142、金屬黏著層144、與第二銅填充材料層148L位於含介電材料層134的上表面之水平平面上的多餘部分。舉例來說,可進行化學機械平坦化製程並採用金屬黏著層144及/或金屬氮化物襯墊層142作為停止層,以研磨第二銅填充材料層148L的部分。之後可進行潤飾的研磨製程以移除金屬氮化物襯墊層142與金屬黏著層144的水平部分,並移除第二銅填充材料層148L在含有介電材料層134的上表面之水平平面上的部份。
填入穿過介電材料層134的個別開口131的每一組材料部分,可構成金屬內連線結構140。每一金屬內連線結構140包括金屬氮化物襯墊層142 (其可為圖4的製程步驟所形成的金屬氮化物襯墊層142的圖案化部分)、金屬黏著層144 (其可為圖5A、圖5B、圖5C、或圖5D的製程步驟所形成的金屬黏著層144的圖案化部分)、第一銅填充材料部分146 (由圖7的製程步驟所形成)、與第二銅填充材料部分148,其可為圖案化製程之後的第二銅填充材料層148L的保留部分。每一金屬內連線結構140可為金屬通孔結構、金屬線路結構、或積體線路與通孔結構。
圖9A所示的設置對應的實施例中,金屬內連線結構為金屬通孔結構。在此實施例中,下方的導電材料部分如金屬內連線結構120可為金屬線路結構或積體線路與通孔結構。
圖9B係本發明一實施例中,圖9A的例示性結構的另一設置,其自圖9A衍生的例示性結構可省略金屬氮化物襯墊層142。
如圖10所示,可自圖6的例示性結構衍生例示性結構的第一其他實施例,比如增加第一銅填充材料層146L的厚度。在此實施例中,可選擇第一銅填充材料層146L的總厚度,使第一銅填充材料層146L的再流動部分可完全填入開口131中的金屬黏著層144所橫向封閉的所有空間。在開口131的深寬比不夠高(如小於2)的實施例中,第一銅填充材料層146L可完全填入開口131中的金屬黏著層144所橫向封閉的所有空間,且可選擇第一銅填充材料層146L的厚度,使第一銅填充材料層146L填入開口131中的金屬黏著層144所橫向封閉的所有空間而不需再流動製程。
可視情況進行上述的再流動退火製程,以移除穿過介電材料層134的每一開口131的空間中的任何孔洞。
如圖11A所示,可進行平坦化製程以移除金屬氮化物襯墊層142、金屬黏著層144、與第一銅填充材料層146L位於含有介電材料層134的上表面之水平平面上的多餘部分。舉例來說,可進行化學機械研磨製程並採用金屬黏著層144及/或金屬氮化物襯墊層142作為停止層,以研磨第一銅填充材料層146L的部分。之後可進行潤飾研磨製程以移除金屬氮化物襯墊層142與金屬黏著層144的水平部分,並移除第一銅填充材料層146L在含有介電材料層134的上表面之水平平面上的部分。
填入穿過介電材料層134的個別開口131之每一組材料部分,可構成金屬內連線結構140。每一金屬內連線結構140包含金屬氮化物襯墊層142 (其可為圖4的製程步驟所形成金屬氮化物襯墊層142的圖案化部分)、金屬黏著層144 (其可為圖5A、圖5B、圖5C、或圖5D的製程步驟所形成的金屬黏著層144的圖案化部分)、與第一銅填充材料部分146 (其可為平坦化製程後的第一銅填充材料層146L的保留部分)。每一金屬內連線結構140可為金屬通孔結構、金屬線路結構、或積體線路與通孔結構。
圖11A所示的設置所對應的實施例中,金屬內連線結構為金屬通孔結構。在此實施例中,下方的導電材料部分如金屬內連線結構120可為金屬線路結構或積體線路與通孔結構。
圖11B為本發明一實施例中,圖11A的例示性結構的另一設置,其自圖11A衍生的例示性結構可省略金屬氮化物襯墊層142。
如圖12A所示,例示性結構的第二其他實施例可由圖9A的例示性結構所衍生,其形成開口131如線路空洞。在此實施例中,可形成金屬內連線結構140如金屬線路結構,而下方導電材料部分如金屬內連線結構120可為金屬通孔結構。
圖12B為本發明一實施例中,圖12A的例示性結構的另一設置,其自圖12A衍生的例示性結構可省略金屬氮化物襯墊層142。
如圖13A所示,例示性結構的第三其他實施例可衍生自圖11A的例示性結構的第一其他實施例,其可形成開口131如線路空洞。在此實施例中,可形成金屬內連線結構140如金屬線路結構,且下方導電材料部分如金屬內連線結構120可為金屬通孔結構。
圖13B係本發明一實施例中,圖13A的例示性結構的另一設置,其自圖13A衍生的例示性結構可省略金屬氮化物襯墊層142。
如圖14A所示,可自圖9A的例示性結構衍生例示性結構的第四其他實施例,比如形成開口131如積體線路與通孔的空洞。在此實施例中,可形成金屬內連線結構140如含有金屬線路與至少一金屬通孔結構的積體線路與結構,而下方的導電材料部分如金屬內連線結構120可為金屬線路結構或積體線路與通孔結構。
圖14B為本發明一實施例中,圖14A的例示性結構的另一設置,其自圖14A衍生的例示性結構可省略金屬氮化物襯墊層142。
如圖15A所示,可自圖11A的例示性結構衍生例示性結構的第五其他實施例,比如形成開口131如線路空洞。在此實施例中,可形成金屬內連線結構140如積體線路與結構(其包含金屬線路與至少一金屬通孔結構),且下方的導電材料部分如金屬內連線結構120可為金屬線路結構或積體線路與通孔結構。
圖15B係本發明一實施例中,圖15A的例示性結構的另一設置,其自圖15A衍生的例示性結構可省略金屬氮化物襯墊層142。
在下方導電材料部分如金屬內連線結構120含有金屬內連線結構的實施例中,金屬氮化物襯墊層122的形成方式可與本發明實施例中金屬氮化物襯墊層142的形成方式相同,金屬黏著層124的形成方式可與本發明實施例中金屬黏著層144的形成方式相同,且金屬填充材料部分126的形成方式可與圖9A、9B、12A、12B、14A、及14B所示之第一銅填充材料部分146與第二銅填充材料部分148的形成方式之組合類似,或與圖11A、11B、13A、13B、15A、及15B所示之第一銅填充材料部分146的形成方式類似。
一般而言,圖1所示的任何金屬通孔結構、任何金屬線路結構、及/或任何積體線路與通孔結構可具有本發明實施例的金屬內連線結構140的設置。因此可在圖1所示的例示性結構中實施多層的金屬內連線結構140。
圖16A至16D分別為圖5A、5B、5C、及5D的例示性結構之金屬內連線結構的第一設置、第二設置、第三設置、與第四設置的材料組成圖。金屬氮化物襯墊層142的厚度t_mnl可為0.5 nm至5 nm。金屬氮化物襯墊層142包含導電金屬氮化物如氮化鉭、氮化鈦、及/或氮化鎢。導電金屬氮化物可或可不化學計量。在化學計量的導電金屬氮化物之實施例中,鉭與氮的比例、鈦與氮的比例、或鎢與氮的比例可為1:1。
金屬黏著層144的厚度t_mal可為0.5 nm至10 nm。至少一過渡金屬元素的平均原子%可為10原子%至90原子%,比如20原子%至80原子%。在一實施例中,至少一過渡金屬元素的平均原子%可為30原子%至70原子%,比如40原子%至60原子%。金屬黏著層144基本上可由至少一過渡金屬元素與銅組成。金屬黏著層144與第一銅填充材料部分146之間的界面,可定義為銅原子%為90原子%的平面,其此界面之外的銅原子%大幅下降(比如移動至第一銅填充材料部分146中的位置所量測的銅原子%)。
在一些實施例中,金屬黏著層144中的材料組成調整,可與自金屬黏著層144的外側側壁(比如金屬黏著層144與金屬氮化物襯墊層142之間的界面)的距離相關,如圖16A、16B、及16C所示。可量測自金屬黏著層144的外側側壁(比如金屬黏著層144與金屬氮化物襯墊層142之間的界面)距離,比如在垂直延伸穿過介電材料層134的開口131之側壁上量測上述距離。
在一些實施例中,金屬黏著層144的組成調整與自金屬氮化物襯墊層142的距離相關,比如與自金屬氮化物襯墊層142的內側側壁的距離相關。在一些實施例中,金屬黏著層144中的至少一過渡金屬的局部原子濃度峰值可遠離金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面),如圖16A及16B所示。舉例來說,至少一過渡金屬的局部原子濃度峰值的位置與金屬黏著層144之外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)之間的距離,可為金屬黏著層144的厚度(比如金屬黏著層144接觸內連線層介電層30的側壁之垂直或錐形部分的厚度)的10%至100%,如圖16A及16B所示。
在一些實施例中,金屬黏著層144中的銅原子濃度在遠離金屬黏著層144的外側側壁處(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)最小,如圖16A及16B所示。在一些實施例中,金屬黏著層144中的銅原子濃度最小處與金屬黏著層144的外側側壁(如金屬氮化物襯墊層142與金屬黏著層144之間的界面)之間的距離,可為金屬黏著層144的厚度(比如內連線層介電層30的側壁上的金屬黏著層144的厚度)之10%至100%,如圖16A及16B所示。
金屬黏著層144中的銅的局部原子濃度峰值,可與自金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)的距離相關,如圖16A所示。在其他實施例中,金屬黏著層144中的局部銅原子最大濃度可在金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面),如圖16B所示。
在一些實施例中,金屬黏著層144中的至少一過渡金屬的局部原子濃度峰值的位置可位於金屬黏著層144的外側側壁(如金屬氮化物襯墊層142與金屬黏著層144之間的界面),如圖16C所示。在一些實施例中,金屬黏著層144中的局部銅原子最小濃度在金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面),如圖16C所示。在一些實施例中,金屬黏著層144中的銅原子濃度在與金屬黏著層144的外側表面(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)相隔一段距離處大幅增加,如圖16C所示。
在另一實施例中,金屬黏著層144可完全均質化,使金屬黏著層144沿著金屬黏著層144的所有厚度的材料組成完全相同,或至少80%相同、及/或至少90%相同,如圖16D所示。
如圖17所示,第一流程圖顯示本發明實施例之方法的一般製程步驟。如步驟1710所示,形成介電材料層如內連線層介電層30於基板8之中或之上的導電材料部分如金屬內連線結構120上。如步驟1720所示,形成開口131以穿過介電材料層如內連線層介電層30。開口131的底部物理露出導電材料部分如金屬內連線結構120的上表面。如步驟1730所示,形成金屬氮化物襯墊層142於開口131的側壁上與導電材料部分如金屬內連線結構120的上表面上。如步驟1740所示,形成含銅與非銅的至少一過渡金屬的合金的金屬黏著層144於金屬氮化物襯墊層142的內側側壁上。如步驟1750所示,形成第一銅填充材料部分146於金屬黏著層144的內側側壁上。
如圖18所示,第二流程圖顯示本發明實施例之方法的一般製程步驟。如步驟1810所示,形成開口131以穿過基板8上的介電材料層如內連線層介電層30。如步驟1820所示,可視情況形成金屬氮化物襯墊層142於開口131的側壁上。如步驟1830所示,形成金屬黏著層144於金屬氮化物襯墊層142上,或形成於介電材料層如內連線層介電層30的側壁上(若未採用金屬氮化物襯墊層142)。金屬黏著層144包含銅與非銅的至少一過渡金屬的合金及/或基本上可由銅與非銅的至少一過渡金屬的合金組成。如步驟1840所示,形成第一銅填充材料層146L於金屬黏著層144上。如步驟1850所示,使第一銅填充材料層146L中的銅再流動。第一銅填充材料層146L的再流動部分(如第一銅填充材料部分146)的最頂部表面,低於含有介電材料層如內連線層介電層30的上表面之水平平面。
如本發明實施例的所有圖式與多種實施例所示,結構包含金屬內連線結構140埋置於介電材料層134中並位於基板8上。金屬內連線結構140包括含有銅與非銅的至少一過渡金屬之合金的金屬黏著層144,位於介電材料層134的側壁上;以及第一銅填充材料部分146位於金屬黏著層144的內側側壁上,其中金屬黏著層144中的至少一過渡金屬之局部原子濃度峰值的位置,遠離金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)。
在一實施例中,金屬氮化物襯墊層142可埋置於介電材料層134中,並可接觸介電材料層134的側壁。在一實施例中,至少一過渡金屬的原子濃度局部峰值的位置與金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)之間的距離 ,可為金屬黏著層144的厚度(比如金屬黏著層144的錐形部分垂直延伸穿過介電材料層134的厚度)之10%至100%。
在一實施例中,金屬黏著層144中的銅原子最小濃度在遠離金屬黏著層144的外側側壁處(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)。
在一實施例中,金屬黏著層144中的最小銅原子濃度之位置與金屬黏著層144的外側側壁(比如金屬氮化物襯墊層142與金屬黏著層144之間的界面)之間的距離,可為金屬黏著層144的厚度(比如金屬黏著層144垂直延伸穿過介電材料層134的錐形部分之厚度)的10%至100%。
在一實施例中,可提供第二金屬內連線結構120,其可包含第二銅填充材料部分(其可包含金屬填充材料部分126)並位於介電材料層134之下。金屬氮化物襯墊層142接觸第二銅填充材料部分的上表面,而金屬黏著層144與第二銅填充材料部分及介電材料層134隔有金屬氮化物襯墊層142。
在一實施例中,金屬氮化物襯墊層142的厚度可為0.5 nm至5 nm,而金屬黏著層144的厚度可為0.5 nm至10 nm。在一實施例中,至少一過渡金屬包括鈷、釕、氮、鉬、與鎢的至少一金屬元素,而第一銅填充材料部分146基本上由銅組成。在一實施例中,介電材料層134包括介電常數為1.4至2.7的介電材料(比如孔洞狀的有機矽酸鹽玻璃)及/或基本上可由介電常數為1.4至2.7的介電材料(比如孔洞狀的有機矽酸鹽玻璃)組成。
在一實施例中,半導體裝置位於基板8上,而金屬內連線結構140電性連接至半導體裝置的節點,並包含金屬通孔結構、金屬線路結構、或積體線路與通孔結構。
如本發明所有圖式與多種實施例所示,形成結構的方法包括形成介電材料層如內連線層介電層30於混合層110之中或之上的導電材料部分如金屬內連線結構120上。方法更包括形成開口131以穿過介電材料層如內連線層介電層30,其中開口131的底部物理露出導電材料部分如金屬內連線結構120的上表面。可視情況形成金屬氮化物襯墊層142於開口131的側壁上與導電材料部分如金屬內連線結構120的上表面上。方法更包括形成含有銅與非銅的至少一過渡金屬的合金之金屬黏著層144於金屬氮化物襯墊層142的內側側壁或開口131的側壁上。方法更包括形成銅填充材料部分146於金屬黏著層144的內側側壁上。
如本發明多種實施例的所有圖式所示,形成結構的方法可包含形成開口131以穿過基板8上的介電材料層如內連線層介電層30。金屬氮化物襯墊層142可視情況形成於開口131的側壁上。方法更包括形成含有銅與非銅的至少一過渡金屬的金屬黏著層144於金屬氮化物襯墊層142上或開口131的側壁上。方法進一步包括沉積第一銅填充材料層146L於金屬黏著層144上。方法進一步包括再流動第一銅填充材料層146L中的銅,以形成第一銅填充材料部分146。
本發明的多種實施例可用於提供無孔洞的含銅金屬內連線結構。本發明多種實施例對無孔洞的窄間距金屬內連線結構的形成方法特別有效,其中含銅金屬內連線結構小及/或含銅金屬內連線結構的高寬比高。金屬黏著層144的合金組成中,銅以外的至少一過渡金屬元素可增進黏著性至金屬氮化物襯墊層142。合金組成中存在的銅可抑制金屬黏著層144對金屬氮化物襯墊層142的表面之去濕效果,並在再流動的退火製程時避免形成空洞於金屬黏著層144中。因此含銅金屬內連線結構可不具有孔洞。含有此含銅金屬內連線結構的金屬內連線組裝可增加形成金屬內連線結構時的製程良率,且可增加半導體晶粒的可信度。
本發明一實施例提供之半導體結構的形成方法,包括:形成介電材料層於基板之中或之上的導電材料部分上;形成開口穿過介電材料層,其中開口的底部物理露出導電材料部分的上表面;形成含銅與非銅的至少一過渡金屬的合金的金屬黏著層於開口的側壁與導電材料部分的上表面上;以及形成銅填充材料部分於金屬黏著層的內側側壁上。
在一些實施例中,上述方法更包括形成金屬氮化物襯墊層於開口的側壁與導電材料部分的上表面上,其中金屬黏著層形成於金屬氮化物襯墊層的內側側壁上。
在一些實施例中,形成金屬黏著層的步驟包括:沉積至少一過渡金屬層,其基本上由至少一過渡金屬組成;以及沉積至少一銅層,其基本上由銅組成。
在一些實施例中,在沉積至少一過渡金屬層的一者之前,沉積至少一銅層的一者。
在一些實施例中,至少一過渡金屬層包括至少兩個過渡金屬層;以及在沉積至少兩個過渡金屬層的一者之後與沉積至少兩個過渡金屬層的另一者之前,沉積至少一銅層的一者。
在一些實施例中,上述方法更包括在沉積銅填充材料部分之前,進行電漿處理製程於含有至少一過渡金屬層與至少一銅層的層狀物堆疊上,以增進層狀物堆疊的組成一致性。
在一些實施例中,上述方法更包括在沉積銅填充材料部分之前,進行熱退火製程,以增進含有至少一過渡金屬層與至少一銅層的層狀物堆疊的組成一致性。
在一些實施例中,金屬黏著層的形成方法為多金屬沉積製程,其中同時沉積銅原子與至少一過渡金屬的原子,以形成銅與至少一過渡金屬的合金。
在一些實施例中,至少一過渡金屬包括鈷、釕、鉭、鉬、或鎢;以及銅填充材料部分基本上由銅組成。
本發明一實施例提供之半導體結構的形成方法,包括:形成開口穿過基板上的介電材料層;形成含銅與非銅的至少一過渡金屬的合金之金屬黏著層於開口的側壁上;沉積第一銅填充材料層於金屬黏著層上;以及使第一銅填充材料層中的銅再流動,以形成第一銅填充材料部分。
在一些實施例中,上述方法更包括直接形成第二銅填充材料部分於第一銅填充材料部分與金屬黏著層上。
在一些實施例中,上述方法更包括形成金屬氮化物襯墊層於開口的側壁上,其中金屬黏著層形成於金屬氮化物襯墊層上,且具有一致的材料組成;或者金屬黏著層的組成調整與自金屬氮化物襯墊層的距離相關。
本發明一實施例提供之半導體結構,包括:第一金屬內連線結構埋置於第一介電材料層中並位於基板上,其中第一金屬內連線結構包括:金屬黏著層,包括銅與非銅的至少一過渡金屬之合金,並位於第一介電材料層的側壁上;以及第一銅填充材料部分,位於金屬黏著層的內側側壁上,其中金屬黏著層中的至少一過渡金屬的局部原子濃度峰值的位置遠離金屬黏著層的外側側壁。
在一些實施例中,上述半導體結構,更包括金屬氮化物襯墊層埋置於第一介電材料層的側壁中並接觸第一介電材料層的側壁,其中至少一過渡金屬的局部原子濃度峰值的位置與金屬氮化物襯墊層與金屬黏著層之間的界面之間的距離,為金屬黏著層的厚度的10%至100%。
在一些實施例中,金屬黏著層中的銅原子最小濃度的位置,遠離金屬氮化物襯墊層與金屬黏著層之間的界面。
在一些實施例中,金屬黏著層中銅原子最小濃度的位置與金屬氮化物襯墊層及金屬黏著層之間的界面之間的距離,為金屬黏著層的厚度的10%至100%。
在一些實施例中,上述半導體結構更包括第二金屬內連線結構,其含有第二銅填充材料部分並位於於第一介電材料層之下,其中金屬氮化物襯墊層接觸第二銅填充材料部分的上表面的一部份,且金屬黏著層與第二銅填充材料部分及第一介電材料部分隔有金屬氮化物襯墊層。
在一些實施例中,金屬氮化物襯墊層的厚度為0.5 nm至5 nm,以及金屬黏著層的厚度為0.5 nm至10 nm。
在一些實施例中,至少一過渡金屬包括鈷、釕、鉭、鉬、或鎢;以及第一銅填充材料部分基本上由銅組成。
在一些實施例中,半導體裝置位於基板上;以及第一金屬內連線結構電性連接至半導體裝置的節點,並包含金屬通孔結構、金屬線路結構、或積體線路與通孔結構。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
L0:接點層結構 L1:第一內連線層結構 L2:第二內連線層結構 L3:第三內連線層結構 L4:第四內連線層結構 L5:第五內連線層結構 L6:第六內連線層結構 L7:第七內連線層結構 t_mal, t_mnl:厚度 8:基板 10:半導體材料層 12:淺溝槽隔離結構 14:主動區 15:半導體通道 18:金屬-半導體合金區 20:閘極結構 22:閘極介電層 24:閘極 26:介電閘極間隔物 28:介電閘極蓋 30:內連線層介電層 31A:平坦化介電層 31B:第一內連線層介電層 32:第二內連線層介電層 33:第三內連線層介電層 34:第四內連線層介電層 35:第五內連線層介電層 36:第六內連線層介電層 37:第七內連線層介電層 40,120,140:金屬內連線結構 41B:接點通孔結構 41L:第一金屬線路 41V:接點通孔結構 42L:第二金屬線路 42V:第一金屬通孔結構 43L:第三金屬線路 43V:第二金屬通孔結構 44L:第四金屬線路 44V:第三金屬通孔結構 45L:第五金屬線路 45V:第四金屬通孔結構 46L:第六金屬線路 46V:第五金屬通孔結構 47B:金屬接合墊 47V:第六金屬通孔結構 110:混合層 122,142:金屬氮化物襯墊層 124,144:金屬黏著層 126:金屬填充材料部分 131:開口 132:蝕刻停止介電層 131’:空洞 134:介電材料層 137:光阻層 146:第一銅填充材料部分 146L:第一銅填充材料層 148:第二銅填充材料部分 148L:第二銅填充材料層 330:互補式金氧半電路 441,443:過渡金屬層 442:銅層 1710,1720,1730,1740,1750,1810,1820,1830,1840,1850:步驟
圖1係本發明一實施例中,含有半導體裝置與金屬內連線結構的例示性結構之垂直剖視圖。 圖2係本發明一實施例中,形成下方導電材料部分、蝕刻停止介電層、與介電材料層之後的例示性結構之部分垂直剖視圖。 圖3係本發明一實施例中,形成開口穿過介電材料層之後的例示性結構之部分垂直剖視圖。 圖4係本發明一實施例中,形成金屬氮化物襯墊層之後的例示性結構之部分垂直剖視圖。 圖5A係本發明一實施例中,形成具有第一設置的金屬黏著層之後的例示性結構之部分垂直剖視圖。 圖5B係本發明一實施例中,形成具有第二設置的金屬黏著層之後的例示性結構之部分垂直剖視圖。 圖5C係本發明一實施例中,形成具有第三設置的金屬黏著層之後的例示性結構之部分垂直剖視圖。 圖5D係本發明一實施例中,形成具有第四設置的金屬黏著層之後的例示性結構之部分垂直剖視圖。 圖6係本發明一實施例中,沉積第一銅填充材料層之後的例示性結構之部分垂直剖視圖。 圖7係本發明一實施例中,使第一銅填充材料層再流動以形成第一銅填充材料部分之後的例示性結構之部分垂直剖視圖。 圖8係本發明一實施例中,形成第二銅填充材料層之後的例示性結構之部分垂直剖視圖。 圖9A係本發明一實施例中,移除介電材料層的上表面上的導電材料之多餘部分以形成金屬內連線結構之後的例示性結構之部分垂直剖視圖。 圖9B係本發明一實施例中,圖9A的例示性結構省略金屬氮化物襯墊層的另一設置之垂直剖視圖。 圖10係本發明一實施例中,形成第一銅填充材料層之後的例示性結構的第一其他實施例之部分垂直剖視圖。 圖11A係本發明的一實施例中,移除介電材料的上表面上的導電材料之多餘部分以形成金屬內連線結構之後的例示性結構的第一其他實施例之部分垂直剖視圖。 圖11B係本發明一實施例中,圖11A的例示性結構省略金屬氮化物襯墊層的另一設置之垂直剖視圖。 圖12A係本發明一實施例中,形成金屬內連線結構之後的例示性結構的第二其他實施例之部分垂直剖視圖。 圖12B係本發明一實施例中,圖12A的例示性結構省略金屬氮化物襯墊層的另一設置之垂直剖視圖。 圖13A係本發明一實施例中,形成金屬內連線結構之後的例示性結構的第三其他實施例之部分垂直剖視圖。 圖13B係本發明一實施例中,圖13A的例示性結構省略金屬氮化物襯墊層的另一設置之垂直剖視圖。 圖14A係本發明一實施例中,形成金屬內連線結構之後的例示性結構的第四其他實施例之部分垂直剖視圖。 圖14B係本發明一實施例中,圖14A的例示性結構省略金屬氮化物襯墊層的另一設置之垂直剖視圖。 圖15A係本發明一實施例中,形成金屬內連線結構之後的例示性結構的第五其他實施例之部分垂直剖視圖。 圖15B係本發明一實施例中,圖15A的例示性結構省略金屬氮化物襯墊層的另一設置之垂直剖視圖。 圖16A至16D分別為圖5A、5B、5C、及5D的例示性結構之金屬內連線結構的第一設置、第二設置、第三設置、與第四設置的材料組成圖。 圖17係本發明實施例中,方法的一般製程步驟的第一流程圖。 圖18係本發明實施例中,方法的一般製程步驟的第二流程圖。
1710,1720,1730,1740,1750:步驟

Claims (10)

  1. 一種半導體結構的形成方法,包括:形成一介電材料層於一基板之中或之上的一導電材料部分上;形成一開口穿過該介電材料層,其中該開口的底部物理露出該導電材料部分的上表面;形成含銅與非銅的至少一過渡金屬的一合金的一金屬黏著層於該開口的側壁與該導電材料部分的上表面上,其中該金屬黏著層中的該至少一過渡金屬的局部原子濃度峰值的位置遠離該金屬黏著層的外側側壁;以及形成一銅填充材料部分於該金屬黏著層的內側側壁上。
  2. 如請求項1之半導體結構的形成方法,更包括形成一金屬氮化物襯墊層於該開口的側壁與該導電材料部分的上表面上,其中該金屬黏著層形成於該金屬氮化物襯墊層的內側側壁上。
  3. 如請求項1或2之半導體結構的形成方法,其中形成該金屬黏著層的步驟包括:沉積至少一過渡金屬層,其基本上由至少一過渡金屬組成;以及沉積至少一銅層,其基本上由銅組成。
  4. 如請求項3之半導體結構的形成方法,其中在沉積該至少一過渡金屬層的一者之前,沉積該至少一銅層的一者。
  5. 如請求項3之半導體結構的形成方法,其中:該至少一過渡金屬層包括至少兩個過渡金屬層;以及在沉積該至少兩個過渡金屬層的一者之後與沉積該至少兩個過渡金屬層的另一者之前,沉積該至少一銅層的一者。
  6. 如請求項3之半導體結構的形成方法,更包括在沉積該銅填充材料部分之前,進行一電漿處理製程於含有該至少一過渡金屬層與該至少一銅層的一層狀物堆疊上,以增進該層狀物堆疊的組成一致性。
  7. 如請求項3之半導體結構的形成方法,更包括在沉積該銅填充材料部分之前,進行一熱退火製程,以增進含有該至少一過渡金屬層與該至少一銅層的一層狀物堆疊的組成一致性。
  8. 如請求項1或2之半導體結構的形成方法,其中該金屬黏著層的形成方法為多金屬沉積製程,其中同時沉積銅原子與至少一過渡金屬的原子,以形成該銅與該至少一過渡金屬的該合金。
  9. 一種半導體結構的形成方法,包括:形成一開口穿過一基板上的一介電材料層;形成含銅與非銅的至少一過渡金屬的合金之一金屬黏著層於該開口的側壁上,其中該金屬黏著層中的該至少一過渡金屬的局部原子濃度峰值的位置遠離該金屬黏著層的外側側壁;沉積一第一銅填充材料層於該金屬黏著層上;以及使該第一銅填充材料層中的銅再流動,以形成一第一銅填充材料部分。
  10. 一種半導體結構,包括:一第一金屬內連線結構埋置於一第一介電材料層中並位於一基板上,其中該第一金屬內連線結構包括:一金屬黏著層,包括銅與非銅的至少一過渡金屬之合金,並位於該第一介電材料層的側壁上;以及一第一銅填充材料部分,位於該金屬黏著層的內側側壁上,其中該金屬黏著 層中的該至少一過渡金屬的局部原子濃度峰值的位置遠離該金屬黏著層的外側側壁。
TW110125283A 2020-07-29 2021-07-09 半導體結構與其形成方法 TWI801927B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/941,751 2020-07-29
US16/941,751 US11430692B2 (en) 2020-07-29 2020-07-29 Thermally stable copper-alloy adhesion layer for metal interconnect structures and methods for forming the same

Publications (2)

Publication Number Publication Date
TW202221849A TW202221849A (zh) 2022-06-01
TWI801927B true TWI801927B (zh) 2023-05-11

Family

ID=77126567

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110125283A TWI801927B (zh) 2020-07-29 2021-07-09 半導體結構與其形成方法

Country Status (5)

Country Link
US (2) US11430692B2 (zh)
EP (1) EP3945555A1 (zh)
JP (1) JP2022027606A (zh)
CN (1) CN113764336B (zh)
TW (1) TWI801927B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023239442A1 (en) * 2022-06-10 2023-12-14 Sandisk Technologies Llc Three-dimensional memory device including composite backside metal fill structures and methods for forming the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW383478B (en) * 1998-02-07 2000-03-01 Lg Semicon Co Ltd Method of forming interconnection for semiconductor device
US20060199372A1 (en) * 2005-03-01 2006-09-07 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20110017499A1 (en) * 2009-07-27 2011-01-27 International Business Machines Corporation Formation of alloy liner by reaction of diffusion barrier and seed layer for interconnect application
US20180025969A1 (en) * 2016-07-20 2018-01-25 International Business Machines Corporation Metal cap integration by local alloying

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6395642B1 (en) * 1999-12-28 2002-05-28 Taiwan Semiconductor Manufacturing Company Method to improve copper process integration
US6447933B1 (en) * 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US7070687B2 (en) * 2001-08-14 2006-07-04 Intel Corporation Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
US6727177B1 (en) * 2001-10-18 2004-04-27 Lsi Logic Corporation Multi-step process for forming a barrier film for use in copper layer formation
US7402515B2 (en) * 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
US7215006B2 (en) * 2005-10-07 2007-05-08 International Business Machines Corporation Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
US8372745B2 (en) * 2006-02-28 2013-02-12 Advanced Interconnect Materials, Llc Semiconductor device, its manufacturing method, and sputtering target material for use in the method
US7855147B1 (en) * 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
DE102007009912B4 (de) * 2007-02-28 2009-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
US7843063B2 (en) * 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
DE102008058001A1 (de) * 2008-11-19 2010-05-27 Austriamicrosystems Ag Verfahren zur Herstellung eines Halbleiterbauelementes und Halbleiterbauelement
JP6390404B2 (ja) * 2014-12-15 2018-09-19 富士通株式会社 電子装置及び電子装置の製造方法
US10566232B2 (en) * 2017-05-18 2020-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post-etch treatment of an electrically conductive feature
US11043454B2 (en) * 2019-01-17 2021-06-22 Samsung Electronics Co., Ltd. Low resistivity interconnects with doped barrier layer for integrated circuits
US11664271B2 (en) * 2019-05-02 2023-05-30 International Business Machines Corporation Dual damascene with short liner

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW383478B (en) * 1998-02-07 2000-03-01 Lg Semicon Co Ltd Method of forming interconnection for semiconductor device
US20060199372A1 (en) * 2005-03-01 2006-09-07 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20110017499A1 (en) * 2009-07-27 2011-01-27 International Business Machines Corporation Formation of alloy liner by reaction of diffusion barrier and seed layer for interconnect application
US20180025969A1 (en) * 2016-07-20 2018-01-25 International Business Machines Corporation Metal cap integration by local alloying

Also Published As

Publication number Publication date
CN113764336B (zh) 2024-03-29
US20220367262A1 (en) 2022-11-17
US11430692B2 (en) 2022-08-30
TW202221849A (zh) 2022-06-01
CN113764336A (zh) 2021-12-07
EP3945555A1 (en) 2022-02-02
US20220037203A1 (en) 2022-02-03
JP2022027606A (ja) 2022-02-10

Similar Documents

Publication Publication Date Title
US20210091033A1 (en) Bonding contacts having capping layer and method for forming the same
US11430756B2 (en) Bonded semiconductor structures having bonding contacts made of indiffusible conductive materials and methods for forming the same
TWI694597B (zh) 使用虛設接合接觸和虛設互連的混合接合
US20200343177A1 (en) Method of Forming Metal Interconnection
US8263454B2 (en) Embedded semiconductor device including planarization resistance patterns and method of manufacturing the same
KR20200133796A (ko) 인터포저를 이용하여 장치 칩이 적층된 3차원 메모리 장치
CN114731762A (zh) 包括界面电迁移阻挡层的接合衬垫及其制造方法
US20220352012A1 (en) Via structure and methods for forming the same
TWI801927B (zh) 半導體結構與其形成方法
US9893144B1 (en) Methods for fabricating metal-insulator-metal capacitors
US20220415817A1 (en) Semiconductor structure and manufacturing methods thereof
TWI778657B (zh) 場效電晶體及其製造方法
CN116314024A (zh) 集成电路装置及其制造方法
US11682620B2 (en) Graded metallic liner for metal interconnect structures and methods for forming the same
US20200402916A1 (en) Semiconductor device and method of forming the same
TW202145555A (zh) 具有低單元洩露的高密度記憶體裝置及其形成方法
TW202329368A (zh) 半導體結構及其製造方法