TWI779248B - 濕蝕刻設備、濕蝕刻方法及製作半導體裝置的方法 - Google Patents

濕蝕刻設備、濕蝕刻方法及製作半導體裝置的方法 Download PDF

Info

Publication number
TWI779248B
TWI779248B TW108140866A TW108140866A TWI779248B TW I779248 B TWI779248 B TW I779248B TW 108140866 A TW108140866 A TW 108140866A TW 108140866 A TW108140866 A TW 108140866A TW I779248 B TWI779248 B TW I779248B
Authority
TW
Taiwan
Prior art keywords
gas
wafer
liquid etchant
wet etching
gas injector
Prior art date
Application number
TW108140866A
Other languages
English (en)
Other versions
TW202020956A (zh
Inventor
呂鴻霆
廖漢文
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202020956A publication Critical patent/TW202020956A/zh
Application granted granted Critical
Publication of TWI779248B publication Critical patent/TWI779248B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Weting (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種方法,包含將液體蝕刻劑分配到晶圓上,其 中晶圓在分配液體蝕刻劑期間不旋轉;使用氣流吹動該晶圓上的液體蝕刻劑,其中在分配液體蝕刻劑期間氣流的一方向保持實質恆定;在晶圓上的目標結構被液體蝕刻劑蝕刻掉之後,關閉氣流。

Description

濕蝕刻設備、濕蝕刻方法及製作半 導體裝置的方法
本揭露是關於濕蝕刻設備、濕蝕刻方法及製作半導體裝置的方法。
半導體製程包含各種步驟。舉例而言,該製程包含層體形成的製程,其中在作為半導體基板的晶圓上形成多個層體,例如多晶層、氧化物層、氮化物層、金屬層等。這些步驟大致還包含擴散製程、光刻微影製程、蝕刻製程、清潔製程、離子植入製程等,其在層體形成的多個步驟之間進行。蝕刻是從矽基板或基板表面上的薄膜上移除所選材料的製程。可以使用濕化學物質,通過濕蝕刻進行蝕刻。換句話說,在濕蝕刻製程中,基板藉由暴露於液體蝕刻劑來蝕刻。液體蝕刻劑移除預定量的所選材料,從而在基板中形成圖案。
本揭露之部分實施方式提供一種方法,方法包 含將液體蝕刻劑分配到晶圓上,其中晶圓在分配液體蝕刻劑期間不旋轉;使用氣流吹動該晶圓上的液體蝕刻劑,其中在分配該液體蝕刻劑期間氣流的一方向保持實質恆定;以及在晶圓上的一目標結構被液體蝕刻劑蝕刻掉之後,關閉氣流。
本揭露之部分實施方式提供一種方法,包含於一基板上形成一半導體鰭片,其中該半導體鰭片沿一第一方向延伸的;形成一虛設閘極堆疊,其中該虛設閘極堆疊跨越於該半導體鰭片並沿一第二方向延伸;在該虛設閘極堆疊的相對側上,分別形成複數個閘極間隔物;在該虛設閘極堆疊上施加一第一液體蝕刻劑,並使用一氣流於一第一方向上吹動該第一液體蝕刻劑,以在該些閘極間隔物之間形成一閘極溝槽;以及於該閘極溝槽中形成一金屬閘極結構。
本揭露之部分實施方式提供一種濕蝕刻設備,包含一晶圓座、噴灑頭、液體蝕刻劑容器、氣體噴射器以及氣體抽取器。噴灑頭具有多個分配孔於晶圓座上方。液體蝕刻劑容器流體連通該噴灑頭的該些分配孔。氣體噴射器與氣體抽取器分別設置於該晶圓座的相對側。
110:基板
112:鰭片
112R:凹陷部分
112C:通道部分
120:隔離結構
130:閘極介電層
140:虛設閘極電極
150:閘極間隔物
160:源極/汲極特徵
165:接觸蝕刻停止層
170:層間介電層
180:高介電金屬閘極堆疊
260、260”:氣體抽取器
270:氣體供應系統
272:氣體源
274:第一氣體管線
276:閥門
278:第二氣體管線
280:排氣幫浦
282:導管
290:控制器
300:導軌
302:車輛
304:車輛
182:介電層
184:功函數金屬層
186:填充金屬層
200、200’、200”:濕蝕刻設備
210:蝕刻室
210O:流體排出口
212:殼體
220:晶圓座
230:噴灑頭
230H:分配孔
232:頂板
234:前板
240:蝕刻劑容器
242:管路
244:幫浦
250、250”:氣體噴射器
310:牆壁
DG:虛設閘極堆疊
UP:上部
FP:錐形腳部
VP:垂直部分
IP:傾斜部分
R1:凹槽
B-B:線
CL:覆蓋層
W:晶圓
CS:化學溶液
GF:氣流
X、Y:方向
GT:閘極溝槽
M:方法
S1~S4:步驟
根據以下詳細說明並配合閱讀附圖,使本揭露的態樣獲致較佳的理解。須注意的是,根據業界的標準作法,圖式的各種特徵並未按照比例繪示。事實上,為了進行清楚的討論,特徵的尺寸可以經過任意的縮放。
圖1至圖11繪示根據本揭露之部分實施方式之於製造半導體裝置的多個階段的立體示意圖。
圖12是根據本揭露之部分實施方式之濕蝕刻設備之立體示意圖。
圖13是根據本揭露之部分實施方式之濕蝕刻設備之立體示意圖。
圖14是根據本揭露之部分實施方式之濕蝕刻設備之立體示意圖。
圖15是根據本揭露之部分實施方式之濕蝕刻方法的流程圖。
以下本揭露將提供許多個不同的實施方式或實施例以實現所提供之專利標的之不同特徵。許多元件與設置將以特定實施例在以下說明,以簡化本揭露。當然這些實施例僅用以示例而不應用以限制本揭露。舉例而言,敘述「第一特徵形成於第二特徵上」包含多種實施方式,其中涵蓋第一特徵與第二特徵直接接觸,以及額外的特徵形成於第一特徵與第二特徵之間而使兩者不直接接觸。此外,於各式各樣的實施例中,本揭露可能會重複標號以及/或標註字母。此重複是為了簡化並清楚說明,而非意圖表明這些討論的各種實施方式以及/或配置之間的關係。
更甚者,空間相對的詞彙,例如「下層的」、「低於」、「下方」、「之下」、「上層的」、「上方」等 相關詞彙,於此用以簡單描述元件或特徵與另一元件或特徵的關係,如圖所示。在使用或操作時,除了圖中所繪示的轉向之外,這些空間相對的詞彙涵蓋裝置的不同的轉向。或者,這些裝置可旋轉(旋轉90度或其他角度),且在此使用的空間相對的描述語可作對應的解讀。
本揭露涉及但不限於鰭式場效電晶體(Fin Field-effect transistor;FinFET)裝置。舉例而言,鰭式場效電晶體裝置可以是互補金屬氧化物半導體(Complementary Metal-Oxide-Semiconductor;CMOS)裝置,其包含P型金屬氧化物半導體(P-type metal-oxide-semiconductor;PMOS)鰭式場效電晶體裝置和N型金屬氧化物半導體(N-type metal-oxide-semiconductor;NMOS)鰭式場效電晶體裝置。以下揭露將以鰭式場效電晶體為例來說明本揭露的各種實施方式。然而,應該理解,除了專利申請範圍所具體要求保護,本申請不應限於特定類型的裝置。
濕蝕刻是使用包含液體蝕刻劑的化學溶液從目標(例如晶圓)的表面移除材料的製程。濕蝕刻製程可涉及多種化學反應,其消耗原始反應物並產生新的反應物和副產物。濕蝕刻製程可分為三個步驟:(1)將液體蝕刻劑散佈到目標材料;(2)在液體蝕刻劑與目標材料之間發生反應;(3)使副產物在溶液中散開,以遠離發生反應的表面。濕蝕刻製程用於晶片製作中晶圓製造的各種階段,以選擇性地從晶圓表面去除材料。舉例而言,濕蝕刻製程可以用以移除 犧牲閘極結構中的多晶矽,使得犧牲閘極結構可被金屬閘極取代。
圖1至圖11繪示根據本揭露之部分實施方式之於製造半導體裝置的多個階段的立體示意圖。參照圖1。提供包含多個半導體鰭片112的基板110。基板110可以是一塊狀矽基板。或者,基板110可以包含元素半導體,例如晶體結構的矽(Si)或鍺(Ge);化合物半導體,例如矽鍺(SiGe)、碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)和/或銻化銦(InSb);或其組合。可能的基板110還包含絕緣體上矽(silicon-on-insulator;SOI)基板。通過氧離子直接植入法(separation by implantation oxygen;SIMOX)、晶圓接合和/或其他合適的方法,來製造絕緣體上矽基板。
基板110還可以包含各種摻雜區。摻雜區可以摻雜有p型摻雜劑,例如硼或BF2;n型摻雜劑,例如磷或砷;或其組合。摻雜區可以直接形成在基板110上且位於P型井結構、N型井結構、雙井結構以及/或採用凸起結構。基板110還可以包含各種主動區,例如用於N型金屬氧化物半導體電晶體裝置的區域和用於P型金屬氧化物半導體電晶體裝置的區域。
半導體鰭片112可以通過任何合適的方法形成。舉例而言,半導體鰭片112可以通過使用一個或多個光刻微影製程形成,包含雙重圖案化或多重圖案化製程。大體上,雙重圖案化或多重圖案化製程與光刻微影和自我對準製 程結合,而允許創建的圖案具有較小的間距,例如比使用單個直接光刻微影製程可獲得的間距更小的間距。舉例而言,在部分實施方式中,在基板110上形成犧牲層並使用光刻微影製程圖案化犧牲層。使用自我對準製程,沿著經圖案化的犧牲層旁邊形成間隔物。接著,移除犧牲層,然後可以使用餘留的間隔物來圖案化鰭片。
在基板110上,形成多個與半導體鰭片112相鄰的隔離結構120。隔離結構120作為圍繞半導體鰭片112的淺溝槽隔離(STI),隔離結構120可以由化學氣相沉積(chemical vapor deposition;CVD)技術而形成,其使用四乙氧基矽烷(tetra-ethyl-ortho-silicate;TEOS)和氧氣作為前驅物。在部分其他實施方式中,隔離結構120包含絕緣體上矽(SOI)晶圓的絕緣體層。
參考圖2。在基板110的半導體鰭片112周圍形成多個虛設閘極堆疊DG。在部分實施方式中,每個虛設閘極堆疊DG包含虛設閘極電極140和虛設閘極電極140下面的閘極介電層130。虛設閘極電極140可以包含多晶矽(poly-Si)或多晶矽鍺(poly-SiGe)。此外,虛設閘極電極140可以是均勻摻雜或不均勻摻雜的多晶矽。在部分實施方式中,閘極介電層130是與下面的半導體鰭片112形成介面的氧化矽層,因此在部分實施方式中,閘極介電層130可以互換地稱為介面層。
在部分實施方式中,可以通過例如在基板110上形成閘極介電層和虛設閘極材料層的堆疊,隨後使用一個 或多個蝕刻製程,例如一或多個乾式電漿蝕刻製程或一個或多個濕蝕刻製程,來圖案化閘極介電層和虛設閘極材料層,進而形成虛設閘極堆疊DG。在閘極介電層和虛設閘極材料層的堆疊上形成經圖案化的遮罩,以作為圖案化製程的蝕刻遮罩。可以調整圖案化(或蝕刻)製程配方的至少一種製程條件,例如蝕刻劑、蝕刻溫度、蝕刻溶液濃度、蝕刻壓力、源功率、射頻(radio frequency;RF)偏壓和/或蝕刻劑流速。舉例而言,可以使用諸如電漿蝕刻的乾蝕刻製程來蝕刻虛設閘極材料層和閘極介電層,直到露出半導體鰭片112。由於蝕刻製程的性質,虛設閘極堆疊DG各自具有上部UP和錐形腳部FP,上部UP具有實質上筆直的側壁,錐形腳部FP在遠離淺溝槽隔離結構120的方向上(即,於往上方向上)逐漸變細。換句話說,錐形腳部FP的寬度隨著離淺溝槽隔離結構120的距離增加而減小。
參考圖3。在虛設閘極堆疊DG的相對側壁上,分別形成閘極間隔物150。在部分實施方式中,至少一個閘極間隔物150包含單層或多層。可以通過在圖2所示的結構上毯覆地沉積一或多個共形介電層,隨後進行各向異性蝕刻以移除一或多個介電層的水平部分,來形成閘極間隔物150,其中該一或多個介電層的餘留部分被稱為閘極間隔物150。該一或多個介電層可包含氮化矽(SiN)、氮氧化物、氮氧化矽(SiON)、氧化物以及類似物。由於共形沉積,閘極間隔物150各包含實質垂直部分VP以及傾斜部分IP,其中實質垂直部分VP沿著虛設閘極堆疊DG的上部UP旁邊 延伸,傾斜部分IP沿著虛設閘極堆疊DG的錐形腳部FP旁邊延伸。因此,傾斜部分IP相對於垂直部分VP傾斜。
參考圖4。移除未被虛設閘極堆疊DG和閘極間隔物150覆蓋的半導體鰭片112的部分,使得所得到的半導體鰭片112包含凹陷部分112R和通道部分112C,其中凹陷部分112R未被虛設閘極堆疊DG與閘極間隔物150覆蓋,通道部分112C被各虛設閘極堆疊DG覆蓋。
凹陷半導體鰭片112的方法可包含乾蝕刻製程、濕蝕刻製程或乾蝕刻和濕蝕刻製程的組合。凹陷製程還可包含選擇性濕蝕刻或選擇性乾蝕刻。舉例而言,濕蝕刻溶液可包含NH4OH、氫氧化鉀(KOH)、氫氟酸(HF)、氫氧化四甲基銨(tetramethylammonium hydroxide;TMAH)、其他合適的濕蝕刻溶液或其組合。乾蝕刻製程包含使用氯基化學物質的偏壓電漿蝕刻製程。其他乾蝕刻劑氣體包含CF4、NF3、SF6和He。也可以使用諸如深反應離子蝕刻(deep reactive-ion etching;DRIE)的機制,以各向異性地進行乾蝕刻。在部分實施方式中,可以使用包含乾蝕刻和濕蝕刻製程的蝕刻製程,形成凹槽R1,其中其蝕刻參數(例如使用的蝕刻劑、蝕刻溫度、蝕刻溶液濃度、蝕刻壓力、源功率、射頻偏壓、射頻偏置功率、蝕刻劑流速和其他合適的參數)被調整以達到預定的凹槽形狀。在蝕刻製程之後,在部分實施方式中,可以進行預清洗製程,其以氫氟酸(HF)或其他合適的溶液清洗凹槽R1。
參考圖5。在基板110的半導體鰭片112的凹槽 R1中,分別形成多個源極/汲極特徵160。源極/汲極特徵160中的至少一個可以形成在虛設閘極堆疊DG之間。源極/汲極特徵160可以使用一個或多個磊晶製程形成,使得在半導體鰭片112上可以以晶態形成矽特徵、矽鍺特徵和/或其他合適的特徵。源極/汲極特徵160可以互換地稱為磊晶特徵。在部分實施方式中,源極/汲極特徵160的晶格常數不同於半導體鰭片112的晶格常數,使得半導體鰭片112的通道部分112C(如圖4所示)受到應變或應力,而增強半導體裝置的載子遷移率,進而提高了裝置性能。在部分實施方式中,源極/汲極特徵160可以包含半導體材料,例如鍺(Ge)或矽(Si);或化合物半導體材料,例如砷化鎵(GaAs)、砷化鋁鎵(AlGaAs)、矽鍺(SiGe)、碳化矽(SiC)或磷砷化鎵(GaAsP)。
磊晶製程包含化學氣相沉積技術(例如氣相磊晶(vapor-phase epitaxy;VPE)和/或超高真空化學氣相沉積(ultra-high vacuum CVD;UHV-CVD)、分子束磊晶和/或其他合適的製程。磊晶製程可以使用氣態和/或液態前驅物,其與半導體鰭片112的成分(例如矽)相互作用。源極/汲極特徵160可以是原位摻雜的。摻雜物包含P型摻雜劑,例如硼或BF2;N型摻雜劑,如磷或砷;和/或包含其組合的其他合適的摻雜劑。如果源極/汲極特徵160未被原位摻雜,則進行第二植入製程(即接面植入製程)以摻雜源極/汲極特徵160。可進行一個或多個退火製程以活化摻雜源極/汲極特徵160。此退火製程包含快速熱退火 (rapid thermal annealing;RTA)和/或雷射退火製程。
參考圖6A和6B。圖6B是從圖6A中具有線B-B的垂直平面獲得的剖面立體圖。除非另有說明,否則後續圖中的剖面立體圖也可以從與圖6A中具有線B-B的垂直平面相同的平面獲得。在形成源極/汲極特徵160之後,在基板110上依序沉積接觸蝕刻停止層165和層間介電層170,然後在接觸蝕刻停止層165和層間介電層170上進行化學機械研磨(chemical mechanical polishing;CMP)製程,直到露出虛設閘極堆疊DG。接觸蝕刻停止層165包含氮基材料,例如SiN、SiON或其類似物。層間介電層170可以包含與接觸蝕刻停止層165不同的材料。舉例而言,層間介電層170包含氧基材料,例如氧化矽或其他合適的氧化物材料。層間介電層170包含單層或多層。在部分實施方式中,層間介電層170可以被覆蓋層CL覆蓋。覆蓋層CL可以由氮化矽(SiN)、碳氧化矽(SiOC)、碳氮氧化矽(SiOCN)或其組合製成。舉例而言,移除層間介電層170的一部分和接觸蝕刻停止層165的一部分以形成凹陷,並且於剩餘的層間介電層170上形成覆蓋層CL,覆蓋層CL填充凹陷。
隨後,進行一或多個蝕刻製程,以移除虛設閘極電極140,以在隨後的步驟(即閘極取代製程)中以金屬取代虛設閘極電極140。此一或多個蝕刻製程可以包含濕蝕刻或濕蝕刻和選擇性乾蝕刻的組合。此蝕刻製程包含將含有液體蝕刻劑的化學溶液分配到含有基板110的晶圓上。在部分實施方式中,閘極間隔物150對此液體蝕刻劑的蝕刻抗性 高於虛設閘極電極140對此液體蝕刻劑的蝕刻抗性,因此閘極間隔物150可以保護源極/汲極特徵160的側壁不被蝕刻。在部分濕蝕刻製程期間,基板110會被旋轉,以通過朝向基板110周邊的離心力,使化學溶液向基板110的周邊展開。如果液體蝕刻劑有意或無意地移除閘極介電層130,則半導體鰭片112將不可避免地浸泡在液體蝕刻劑中(如圖9所示)。然而,在濕蝕刻期間,旋轉基板110將導致液體蝕刻劑的離心運動,這會使液體蝕刻劑撞擊浸泡的鰭片112的側壁,從而以不理想的方式蝕刻浸泡的鰭片112。再者,如果液體蝕刻劑蝕刻進入鰭片112然後到達源極/汲極特徵160,則可能損壞源極/汲極特徵160,而可能降低晶圓良率。
圖7至圖10繪示根據部分實施方式的虛設閘極移除製程,其使用的蝕刻製程具有改進的蝕刻方向控制效果。進行蝕刻製程以移除虛設閘極電極140(參見圖6A和6B),從而在相應的閘極間隔物150之間形成多個閘極溝槽GT。在部分實施方式中,如圖12所示的濕蝕刻設備200、如圖13所示的濕蝕刻設備200’或圖14所示的濕蝕刻設備200”可用於進行此改進的虛設閘極移除製程。為了清楚說明,應注意圖12至圖14中的晶圓W可包含圖7至圖10所示的基板110,且濕蝕刻的目標結構是晶圓W上的多晶矽閘極電極。
參見圖7和圖12,在濕蝕刻製程期間,包含基板110的晶圓W保持靜止,並且通過噴灑頭230將化學溶液CS分散到晶圓W上。換句話說,在濕蝕刻製程中,晶圓W 沒有旋轉運動(即免於旋轉),而使得晶圓W上的化學溶液CS不會經歷離心運動,從而減少其對半導體鰭片112的影響。再者,雖然缺乏晶圓W的旋轉運動可能增加將化學溶液CS均勻地分散在晶圓W上的難度,但可以通過使用噴灑頭230將化學溶液CS均勻地分散到晶圓W的整個表面上。舉例而言,噴灑頭230具有均勻地佈置(例如等距佈置)的多個分配孔230H,以在不同區域上均勻地分配化學溶液CS。藉由這種方式,可以在不旋轉晶圓W的情況下,將化學溶液CS均勻地分散在晶圓W上。
在部分實施方式中,用於蝕刻多晶矽(例如虛設閘極電極140)的化學溶液CS中的液體蝕刻劑可包含氫氟酸、含氫氧化物的溶液(例如氫氧化銨)、乙醯胺(acetamide)、四亞甲基碸(tetramethylene sulfone)和/或其他合適的蝕刻劑溶液。舉例而言,氫氟酸、氫氧化銨(NH4OH)以及乙醯胺和四亞甲基碸的混合物可以按順序施加在基板110上以移除多晶矽。化學溶液CS中的液體蝕刻劑可以與虛設閘極電極140反應,並在化學溶液CS中產生新的反應物和副產物。在部分實施方式中,覆蓋層CL對液體蝕刻劑的蝕刻抗性高於虛設閘極電極140對液體蝕刻劑的蝕刻抗性,使得在蝕刻製程之後,覆蓋層CL下方的層間介電層170保持實質完整的。在部分實施方式中,閘極間隔物150對液體蝕刻劑的蝕刻抗性高於虛設閘極電極140對液體蝕刻劑的抗蝕刻性。
參見圖8和圖12,在分配化學溶液CS期間,氣 流GF從氣體噴射器250噴出至氣體抽取器260,以在實質平行於半導體鰭片112的長軸且垂直於虛設閘極電極140的長軸的方向(即如圖8所示的Y方向)上,吹動晶圓W上的化學溶液CS,使得化學溶液CS(包含液體蝕刻劑和副產物)沿氣流GF的方向(即在與半導體鰭片112的長軸實質平行並且垂直於虛設閘極電極140的長軸的方向上)流動。隨著時間的經過,經由虛設閘極電極140與化學溶液CS中的液體蝕刻劑的反應,移除虛設閘極電極140。在部分實施方式中,可以依序使用不同的化學溶液CS以移除虛設閘極電極140。舉例而言,可以先在虛設閘極電極140上施加氫氟酸溶液CS,氣流GF於Y方向上吹動氫氟酸溶液CS,而形成閘極溝槽GT。隨後,可以在虛設閘極電極140上施加氫氧化銨溶液CS,氣流GF在Y方向上吹動氫氧化銨溶液CS,以加深閘極溝槽GT,其中氫氟酸溶液CS可以被氫氧化銨溶液CS沖洗掉。然後,可以將含有乙醯胺和四亞甲基碸的混合物的化學溶液CS施加在虛設閘極電極140上,氣流GF在Y方向上吹動此含有乙醯胺和四亞甲基碸的混合物的化學溶液CS,以加深閘極溝槽GT,其中氫氧化銨溶液CS可以被含有乙醯胺和四亞甲基碸的混合物的化學溶液CS沖洗掉。在該製程中,在從吹動氫氟酸溶液CS至吹動含有乙醯胺和四亞甲基碸的混合物的化學溶液CS的時間範圍內,氣流GF是連續噴出。所得到的結構如圖9所示。在蝕刻虛設閘極電極140期間,氣流GF的方向保持實質恆定,從而控制化學溶液CS在預定的方向上流動。在藉由液體蝕刻劑蝕刻掉虛 設閘極電極140之後,關閉氣流GF。
由於氣流GF在Y方向上吹動化學溶液CS,化學溶液CS的流動可導致Y方向上的蝕刻速率高於X方向(即實質垂直於半導體鰭片112的長軸的方向)的蝕刻速率。再者,與不在鰭片112上使用氣流GF進行的濕蝕刻製程相比,因為半導體鰭片112沿著Y方向延伸,Y方向具有比X方向更高的蝕刻速率,所以半導體鰭片112的側壁將經歷更低的蝕刻速率。通過這種方式,可以減少化學溶液CS在半導體鰭片112的側壁上的撞擊,這將防止源極/汲極特徵160受到化學溶液CS的原因而損壞。在部分實施方式中,氣流GF的流速為大約40L/min(公升/分鐘)至大約290L/min。如果氣流GF的流速大於290L/min,則氣流GF的力可能損壞基板110中的圖案(例如半導體鰭片112),並且可能進一步導致晶圓破裂。如果氣流GF的流速小於40L/min,則化學溶液CS中的反應物和副產物可能殘留在基板110上,蝕刻結果可能不均勻,這可能導致蝕刻不足(under-etching)或過蝕刻(over-etching)問題。
在所描繪的實施方式中,化學溶液CS也移除閘極介電層130。在部分其他實施方式中,在移除閘極介電層130之前,停止濕蝕刻製程,使得閘極介電層130保留在閘極溝槽GT的底部。濕蝕刻製程的持續時間(例如噴灑化學溶液CS的持續時間和/或吹動化學溶液CS的持續時間)可以使用時間模式進行,並且可以在進行蝕刻一段預先設定的時間之後停止。然而,也可以考慮其他停止點偵測技術。
參照圖10和圖12,在濕蝕刻製程之後,可以將清洗劑施加到晶圓W上,以從晶圓W上移除化學溶液CS,然後進行乾燥製程以移除清洗劑,而露出閘極溝槽GT。隨後將參考圖12描述濕蝕刻設備200的細節。
參考圖11。高介電金屬閘極(high-k metal gate;HKMG)堆疊180分別形成在閘極溝槽GT中。每個高介電金屬閘極堆疊180包含高介電常數的介電層182、由高介電常數的介電層182環繞的功函數金屬層184以及由功函數金屬層184環繞的填充金屬層186。在部分實施方式中,高介電常數的介電層182包含具有高介電常數的介電材料,例如大於熱氧化矽的介電常數(~3.9)。高介電常數的介電層182可包含例如HfO2、TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、其組合或其他合適的材料。可以通過原子層沉積、物理氣相沉積、化學氣相沉積、氧化法和/或其他合適的方法形成高介電常數的介電層182。
在部分實施方式中,功函數金屬層184可包含n型和/或p型功函數金屬層。示例性的p型功函數金屬包含TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他合適的p型功函數材料或其組合。示例性的n型功函數金屬包含Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的n型功函數材 料或其組合。功函數層可以通過化學氣相沉積、物理氣相沉積、電鍍和/或其他合適的製程沉積。填充金屬層186可以示例性地包含但不限於鎢、鋁、銅、鎳、鈷、鈦、鉭、氮化鈦、氮化鉭、矽化鎳、矽化鈷、TaC、TaSiN、TaCN、TiAl、TiAlN或其他合適的材料。
高介電金屬閘極堆疊180的示例性方法可包括:沉積毯覆的高介電常數介電層、在毯覆的高介電常數介電層上沉積一或多個功函數金屬層、在功函數金屬層上形成填充金屬層,進行化學機械研磨製程以移除填充金屬層,功函數金屬層和高介電常數介電層在閘極溝槽GT之外的過多個材料。
圖12是根據本揭露的部分實施方式的濕蝕刻設備200的示意性立體圖。濕蝕刻設備200包括蝕刻室210、晶圓座220、噴灑頭230、液體蝕刻劑容器240、一或多個氣體噴射器250、氣體抽取器260、氣體供應系統270、排氣幫浦280和控制器290。
可以使用液體蝕刻劑容器240中的幫浦244將液體蝕刻劑容器240所容納的化學溶液CS抽送到蝕刻室210。舉例而言,藉由控制器290,將化學溶液CS從液體蝕刻劑容器240經由管路242抽送到噴灑頭230。然後,噴灑頭230將化學溶液CS分配到蝕刻室210中的晶圓W上。在部分實施方式中,噴灑頭230具有頂板232以及前板234,頂板232具有液體入口,其與管路242流體連通,前板234具有均勻設置(例如等距設置)在其上的多個分配孔230H, 以便將化學溶液CS均勻地分配到晶圓W的不同區域上。為清楚起見,噴灑頭230以分解立體圖示出。在濕蝕刻製程中,前板234固定到頂板232的底部。在部分實施方式中,蝕刻室210具有流體排出口210O,用於排出從晶圓W流下的化學溶液CS。
在部分實施方式中,蝕刻室210可以由殼體212圍繞,殼體212由對化學溶液CS中的蝕刻劑呈惰性的材料製成。因此,根據部分實施方式,殼體212可以是能夠承受半導體裝置的製造方法的過程中涉及的化學物質的任何合適的材料。在部分實施方式中,殼體212可以是鋼、不銹鋼、鎳、鋁、其合金、其組合或相似物。
在蝕刻製程中,在蝕刻室210內,晶圓W可以放置在晶圓座220上。晶圓座220可以使用真空吸力握持晶圓W,並且可以可選擇性地包含加熱機構(未示出),以便在蝕刻製程中加熱晶圓W。在部分實施方式中,通過使用晶圓座220的真空吸力使晶圓W保持靜止。更具體地,在濕蝕刻製程中,握持晶圓W的晶圓座220保持不旋轉。藉此,分配在晶圓W上的化學溶液CS可以免受離心運動影響。晶圓座220由對化學溶液CS中的蝕刻劑呈惰性的材料製成。如此一來,根據部分實施方式,晶圓座220可以是能夠承受半導體裝置的製造方法的過程中涉及的化學物質的任何合適的材料。在部分實施方式中,晶圓座220可以是鋼、不銹鋼、鎳、鋁、其合金、其組合或相似物。
氣體噴射器250用於將氣流GF引入蝕刻室 210。舉例而言,於此,氣體噴射器250與氣體供應系統270連接。氣體供應系統270可以供應氣體,該氣體可為惰性氣體或其他氣體,其中化學溶液CS中的蝕刻劑、晶圓W上的材料(例如半導體鰭片112、虛設閘極電極140的多晶矽或層間介電層170的氧化矽)或者蝕刻劑與晶圓W上的特徵(例如多晶矽)反應後副產物不會與該其他氣體發生反應。在部分實施方式中,氣體不含二氧化碳,二氧化碳可能與化學溶液CS反應並在基板110上產生不需要的殘留物。舉例而言,氣體可以是氮氣(N2)、惰性氣體(例如氦氣(He)、氬(Ar))、其組合或相似物,但也可以使用其他合適的氣體。在部分實施方式中,氣體可以是具有單一元素的純氣體,例如N2、He或Ar。在部分實施方式中,氣體可以是具有多種元素的氣體混合物,例如N2氣體、He氣體和Ar氣體的組合。在部分實施方式中,氣體噴射器250可包含噴嘴。
在部分實施方式中,氣體供應系統270包含氣體源272、第一氣體管線274、閥門276和第二氣體管線278。氣體源272可以是容器,例如儲氣筒,其位於蝕刻室210的本身或遠離蝕刻室210。任何合適的氣體源可用作氣體源272。氣體源272可通過第一氣體管線274將氣體供應到閥門276。閥門276可以用於控制經由第二氣體管線278到氣體噴射器250的氣體的流速。於部分實施方式中,閥門276還可以開啟/關閉從第一氣體管線274到第二氣體管線278的氣流。閥門276可以是例如比例閥、調變閥、針閥、壓力調節器、質量流量控制器、其組合或相似物。
氣體抽取器260用於排出氣流GF。舉例而言,氣體抽取器260與蝕刻室210外部的排氣幫浦280連接。排氣幫浦280可包含與蝕刻室210中的氣體抽取器260氣體連通的導管282。在部分實施方式中,氣體噴射器250和氣體抽取器260分別位於第一和第二位置,其中第一和第二位置分別位於晶圓W的相對側且不垂直重疊於晶圓W,使得氣流GF吹過晶圓W。氣體噴射器250的第一位置可以沿著實質垂直於目標結構(例如如圖8中所示的虛設閘極電極140)的長軸的方向與氣體抽取器260的第二位置分隔開來,使得氣流GF實質上垂直於目標結構的長軸。控制器290可以電性連接到氣體供應系統270的閥門276、排氣幫浦280和液體蝕刻劑容器240,以便控制分配化學溶液CS和產生氣流GF的步驟。
圖13是根據本揭露的部分實施方式的濕蝕刻設備200’的示意性透視圖。濕蝕刻設備200’與如圖12所示的濕蝕刻設備200相似,濕蝕刻設備200’與圖12所示的濕蝕刻設備200之間的至少一個差別在於:氣體噴射器250和氣體抽取器260是可移動的。舉例而言,濕蝕刻設備200’還包含車輛302和304,其可移動地連接到導軌300,並且氣體噴射器250和氣體抽取器260分別設置在車輛302和304上。導軌300可以具有圓形的形狀。車輛302和304電性連接控制器290。藉由移動車輛302和304,可以調整氣體噴射器250和氣體抽取器260的位置。藉由這樣的配置,在部分實施方式中,在產生穿過晶圓的氣流(例如開啟閥門276) 之前,可以根據半導體鰭片112與虛設閘極電極140(如圖8和9所示)的方向來調整氣體噴射器250和氣體抽取器260的位置。舉例而言,在與半導體鰭片112的長軸實質平行並且垂直於虛設閘極電極140的長軸的方向上,氣體噴射器250與氣體抽取器260分隔開來。在部分實施方式中,氣體噴射器250和氣體抽取器260移動到不與晶圓W垂直重疊的位置,使得吹動的氣流GF橫越過晶圓W。濕蝕刻設備200’的其他細節類似於如圖12所示的濕蝕刻設備200的細節,因此在此不再重複。
圖14是根據本揭露的部分實施方式的濕蝕刻設備200”的示意性立體圖。濕蝕刻設備200”與圖12中所示的濕蝕刻設備200相似,濕蝕刻設備200”和圖12所示的濕蝕刻設備200之間的至少一個差別在於:濕蝕刻設備200”包含氣體噴射器250”和氣體抽取器260”,其設置在圍繞晶圓W的牆壁310上。牆壁310可以由晶圓座220支撐。牆壁310由對化學溶液CS中的蝕刻劑呈惰性的材料製成。因此,根據部分實施方式,牆壁310可以是能夠承受半導體裝置的製造方法的過程中涉及的化學物質的任何合適的材料。在部分實施方式中,牆壁310可以是鋼、不銹鋼、鎳、鋁、其合金、其組合或相似物。控制器290電性連接氣體噴射器250”和氣體抽取器260”,以控制各個氣體噴射器250”和各個氣體抽取器260”,以便在所需方向上產生氣流。舉例而言,開啟離半導體鰭片112(如圖9所示)之第一端最近的第一組氣體噴射器250,關閉離半導體鰭片112 之第一端最近的第一組氣體抽取器260,關閉離半導體鰭片112之第一端最遠的第二組氣體噴射器250(即離半導體鰭片112之第二端最近者,其中第二端相對第一端),並且開啟離半導體鰭片112之第一端最遠的第二組氣體抽取器260,使得氣體可以從鰭片112的第一端流動到鰭片112的第二端(即沿著與半導體鰭片112的長軸平行的方向流動)。
在部分實施方式中,氣體噴射器250和氣體抽取器260在牆壁310上具有六邊形輪廓,以增加氣體噴射器250和氣體抽取器260的數量。在部分實施方式中,牆壁310是環形牆,其環繞晶圓W且可以同心地設置在晶圓座220上。更詳細地,牆壁310可以具有與圓形晶圓W實質相同的上視輪廓。於部分實施方式中,在分配化學溶液期間,晶圓座220不旋轉,牆壁310也不旋轉。濕蝕刻設備200”的其他細節類似於圖12中所示的濕蝕刻設備200的細節,因此這裡不再重複。
圖15是根據本揭露之部分實施方式的濕蝕刻方法M的流程圖。方法M包括步驟S1至步驟S4,其中圖13中的濕法蝕刻設備200’在此示例性地使用。該圖示僅僅是示例性的,並不意圖限制超出所附權利要求中具體敘述的內容。應當理解,可以在圖15所示的步驟之前、期間和之後可有額外的操作,並且對於該方法的其他實施方式,下面描述的部分的步驟可以替換或消除。操作/製程的順序可以是可互換的。
參考圖13和圖15。在步驟S1,控制器290可以 根據晶圓上的半導體鰭片的方向,控制車輛302和304,以沿著導軌300移動氣體噴射器250和氣體抽取器260至期望的位置。舉例而言,移動車輛302和304,使得氣體噴射器250沿著與半導體鰭片的長軸實質平行的方向與氣體抽取器260分隔開來。在氣體噴射器250和氣體抽取器260不可移動的部分實施方式(如圖12所示)中,可以跳過步驟S1。
在步驟S2,控制器290可以打開液體蝕刻劑容器240中的幫浦244,以將化學溶液CS抽送到噴灑頭230,使得噴灑頭230將化學溶液CS分配到晶圓W上。
在步驟S3,產生橫跨晶圓W上的氣流,以吹動化學溶液CS,並且通過被吹動的化學溶液CS中的被吹動的液體蝕刻劑,蝕刻且移除虛設閘極電極。舉例而言,控制器290可以開啟閥門276,從而使氣體噴射器250將氣流噴射到晶圓W的第一側(例如晶圓W的右側)。此外,控制器290可以打開排氣幫浦280,從而允許氣體抽取器260從晶圓W的第二側(例如晶圓W的左側)抽出氣流。在部分實施方式中,在將氣體噴射器250和氣體抽取器260移動到期望位置並且開啟氣體噴射器250和氣體抽取器260之後,在分配化學溶液CS期間,氣體噴射器250和氣體抽取器260保持靜止。因此,在分配化學溶液CS期間,氣流固定於相同的方向。也就是說,在分配化學溶液CS期間,氣流的方向保持實質恆定。於部分實施方式中,當化學溶液CS被分配到晶圓W上(例如通過打開幫浦244)時,產生氣流(例如通過打開閥門276)。換句話說,閥門276的開啟操作與幫浦244 的開啟操作同步地進行。在部分其他實施方式中,在將化學溶液CS分配到晶圓W上之後,產生氣流。換句話說,閥門276的開啟操作與幫浦244的開啟操作非同步地進行,更具體地,閥門276的開啟操作是在幫浦244的開啟操作之後執行。然後,終止分配化學溶液CS(例如通過關閉幫浦244),以停止蝕刻製程。在部分實施方式中,在停止將化學溶液CS分配到晶圓W上之後,停止氣流(例如通過關閉閥門276)。換句話說,閥門276的關閉操作與幫浦244的關閉操作不同步地執行,更具體地,閥門276的關閉操作在幫浦244的關閉操作之後進行。
在蝕刻製程之後,在步驟S4,藉由清洗製程,從蝕刻室210中通過流體排出口210O移除化學溶液CS。在清洗製程中,可以將清洗劑施加到晶圓W上,以從晶圓W和蝕刻室210中移除化學溶液CS。在部分實施方式中,氣體噴射器250可以在清洗製程中保持噴射氣流,並且氣體抽取器260可以在清洗製程中保持抽出氣體。藉此,可以減少由清洗劑引起的對半導體鰭片112的潛在損壞。在此種實施方式中,在停止將清洗劑施加到晶圓W上之後,停止氣流(例如藉由關閉閥門276)。在部分其他實施方式中,在清洗製程之前,氣體噴射器250可以停止噴射氣流且氣體抽取器260可以停止抽取氣體,因此可以在沒有氣流的情況下進行清洗製程。在此種實施方式中,在停止將清洗劑施加到晶圓W上之前,停止氣流(例如藉由關閉閥門276)。在清洗製程之後,可以進行乾燥製程。
基於以上討論,可以看出本揭露提供了多個優點。然而,應該理解,其他實施方式可以提供額外的優點,並且並非所有優點都在此揭露,且並非所有實施方式都須有特定的優點。優點之一是通過在濕蝕刻期間產生穿過晶圓的氣流,可以控制化學溶液的流動方向。另一優點是在濕蝕刻期間,即使晶圓沒有旋轉,化學溶液也可以均勻地散佈在晶圓上。再一優點是因為虛設閘極移除製程中使用的化學溶液以平行於半導體鰭片的長軸的方向上被吹動,因此可減少虛設閘極移除製程對半導體鰭片的影響。
本揭露之部分實施方式提供一種方法,方法包含將液體蝕刻劑分配到晶圓上,其中晶圓在分配液體蝕刻劑期間不旋轉;使用氣流吹動該晶圓上的液體蝕刻劑,其中在分配該液體蝕刻劑期間氣流的一方向保持實質恆定;以及在晶圓上的一目標結構被液體蝕刻劑蝕刻掉之後,關閉氣流。
於部分實施方式中,吹動液體蝕刻劑包含從氣體噴射器噴出該氣流,其中氣體噴射器位於第一位置,第一位置不垂直重疊於晶圓。
於部分實施方式中,方法更包含在吹動液體蝕刻劑之前,移動氣體噴射器至不垂直重疊於晶圓的第一位置。
於部分實施方式中,方法更包含經由氣體抽取器,抽取氣流,其中氣體抽取器位於第二位置,第二位置不垂直重疊於晶圓。
於部分實施方式中,第一位置沿方向分隔於二 位置,方向實質垂直於目標結構的長軸。
於部分實施方式中,在抽取氣流之前,移動氣體抽取器至不垂直重疊於晶圓的第二位置。
於部分實施方式中,氣流的方向實質垂直於目標結構的長軸。
於部分實施方式中,液體蝕刻劑經由噴灑頭上的複數個分配孔而分配。
於部分實施方式中,分配孔實質等距地設置於該噴灑頭上。
本揭露之部分實施方式提供一種方法,包含於一基板上形成一半導體鰭片,其中該半導體鰭片沿一第一方向延伸的;形成一虛設閘極堆疊,其中該虛設閘極堆疊跨越於該半導體鰭片並沿一第二方向延伸;在該虛設閘極堆疊的相對側上,分別形成複數個閘極間隔物;在該虛設閘極堆疊上施加一第一液體蝕刻劑,並使用一氣流於一第一方向上吹動該第一液體蝕刻劑,以在該些閘極間隔物之間形成一閘極溝槽;以及於該閘極溝槽中形成一金屬閘極結構。
於部分實施方式中,氣流是純氣體。
於部分實施方式中,方法更包含在於該閘極溝槽中形成該金屬閘極結構之前,在該虛設閘極堆疊上施加一第二液體蝕刻劑,並使用該氣流於該第一方向上吹動該第二液體蝕刻劑,以加深該閘極溝槽。
於部分實施方式中,在從吹動該第一液體蝕刻劑至吹動該第二液體蝕刻劑的一時間範圍內,該氣流是連續 噴出。
於部分實施方式中,在吹動該第一液體蝕刻劑的期間,該氣流是固定於該第一方向。
於部分實施方式中,方法更包含分別移動一氣體噴射器以及一氣體抽取器至一第一位置以及一第二位置,其中該第一位置沿一第一方向分隔於該二位置,且吹動該第一液體蝕刻劑包含:在移動該氣體噴射器以及該氣體抽取器之後,從該氣體噴射器噴出該氣流至該氣體抽取器。
於部分實施方式中,吹動該第一液體蝕刻劑包含開啟離該半導體鰭片之一端最近的一第一氣體噴射器;關閉離該半導體鰭片之該端最近的一第一氣體抽取器;關閉離該半導體鰭片之該端最遠的一第二氣體噴射器;以及開啟離該半導體鰭片之該端最遠的一第二氣體抽取器。
本揭露之部分實施方式提供一種濕蝕刻設備,包含一晶圓座、噴灑頭、液體蝕刻劑容器、氣體噴射器以及氣體抽取器。噴灑頭具有多個分配孔於晶圓座上方。液體蝕刻劑容器流體連通噴灑頭的該些分配孔。氣體噴射器與氣體抽取器分別設置於晶圓座的相對側。
於部分實施方式中,濕蝕刻設備更包含導軌,其中氣體噴射器以及氣體抽取器可移動地耦合至該導軌。
於部分實施方式中,導軌具有圓形形狀。
於部分實施方式中,濕蝕刻設備更包含環型牆,同心地設置於晶圓座上,其中氣體噴射器和氣體抽取器設置在該環形牆上。
以上概述多個實施方式之特徵,該技術領域具有通常知識者可較佳地了解本揭露之多個態樣。該技術領域具有通常知識者應了解,可將本揭露作為設計或修飾其他製程或結構的基礎,以實行實施方式中提到的相同的目的以及/或達到相同的好處。該技術領域具有通常知識者也應了解,這些相等的結構並未超出本揭露之精神與範圍,且可以進行各種改變、替換、轉化,在此,本揭露精神與範圍涵蓋這些改變、替換、轉化。
200:濕蝕刻設備
210:蝕刻室
210O:流體排出口
212:殼體
220:晶圓座
230:噴灑頭
230H:分配孔
232:頂板
234:前板
240:蝕刻劑容器
242:管路
244:幫浦
250:氣體噴射器
260:氣體抽取器
270:氣體供應系統
272:氣體源
274:第一氣體管線
276:閥門
278:第二氣體管線
280:排氣幫浦
282:導管
290:控制器
W:晶圓
CS:化學溶液
GF:氣流

Claims (10)

  1. 一種濕蝕刻方法,包含:透過將一液體蝕刻劑分配到一晶圓上,蝕刻該晶圓上的一目標結構,其中該晶圓在分配該液體蝕刻劑的期間不旋轉;使用一氣流吹動該晶圓上的該液體蝕刻劑,其中在自分配該液體蝕刻劑的期間至蝕刻該晶圓上的該目標結構的期間之間,該氣流的一方向保持實質恆定;以及在該晶圓上的該目標結構被該液體蝕刻劑蝕刻掉之後,關閉該氣流。
  2. 如請求項1所述之濕蝕刻方法,其中吹動該液體蝕刻劑包含從一氣體噴射器噴出該氣流,其中該氣體噴射器位於一第一位置,該第一位置不垂直重疊於該晶圓。
  3. 如請求項1所述之濕蝕刻方法,其中該氣流的該方向實質垂直於該目標結構的一長軸。
  4. 如請求項1所述之濕蝕刻方法,其中該液體蝕刻劑經由一噴灑頭上的複數個分配孔而分配。
  5. 一種製作半導體裝置的方法,包含:於一基板上形成一半導體鰭片,其中該半導體鰭片沿一第一方向延伸,該第一方向平行於該基板的一上表面; 形成一虛設閘極堆疊,其中該虛設閘極堆疊跨越於該半導體鰭片並沿一第二方向延伸;在該虛設閘極堆疊的相對側上,分別形成複數個閘極間隔物;在該虛設閘極堆疊上施加一第一液體蝕刻劑,並使用一氣流沿一氣流方向吹動該第一液體蝕刻劑,以在該些閘極間隔物之間蝕刻一閘極溝槽,其中該氣流方向平行於該第一方向;以及於該閘極溝槽中形成一金屬閘極結構。
  6. 如請求項5所述之方法,更包含:分別移動一氣體噴射器以及一氣體抽取器至一第一位置以及一第二位置,其中該第一位置沿一第一方向分隔於該二位置,且吹動該第一液體蝕刻劑包含:在移動該氣體噴射器以及該氣體抽取器之後,從該氣體噴射器噴出該氣流至該氣體抽取器。
  7. 如請求項5所述之方法,其中吹動該第一液體蝕刻劑包含:開啟離該半導體鰭片之一端最近的一第一氣體噴射器;關閉離該半導體鰭片之該端最近的一第一氣體抽取器;關閉離該半導體鰭片之該端最遠的一第二氣體噴射器;以及 開啟離該半導體鰭片之該端最遠的一第二氣體抽取器。
  8. 一種濕蝕刻設備,包含:一晶圓座;一噴灑頭,位於該晶圓座上方,其中該噴灑頭包含一板體,該板體實質平行於該晶圓座的一上表面,且該板體具有複數個分配孔,至少部分該些分配孔偏離該板體的中心設置,用以噴灑一液體蝕刻劑;一液體蝕刻劑容器,流體連通該噴灑頭的該些分配孔;一氣體噴射器;以及一氣體抽取器,其中該氣體噴射器與該氣體抽取器分別設置於該晶圓座的相對側。
  9. 如請求項8所述之濕蝕刻設備,更包含:一導軌,其中該氣體噴射器以及該氣體抽取器可移動地耦合至該導軌。
  10. 如請求項8所述之濕蝕刻設備,更包含一環型牆,同心地設置於該晶圓座上,其中該氣體噴射器和該氣體抽取器設置在該環形牆上。
TW108140866A 2018-11-26 2019-11-11 濕蝕刻設備、濕蝕刻方法及製作半導體裝置的方法 TWI779248B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862771492P 2018-11-26 2018-11-26
US62/771,492 2018-11-26
US16/542,119 2019-08-15
US16/542,119 US10872788B2 (en) 2018-11-26 2019-08-15 Wet etch apparatus and method for using the same

Publications (2)

Publication Number Publication Date
TW202020956A TW202020956A (zh) 2020-06-01
TWI779248B true TWI779248B (zh) 2022-10-01

Family

ID=70770996

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108140866A TWI779248B (zh) 2018-11-26 2019-11-11 濕蝕刻設備、濕蝕刻方法及製作半導體裝置的方法

Country Status (3)

Country Link
US (1) US10872788B2 (zh)
CN (2) CN116936415A (zh)
TW (1) TWI779248B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111696852A (zh) * 2020-06-22 2020-09-22 徐文凯 第三代半导体的清洗方法
CN111668096A (zh) * 2020-06-22 2020-09-15 徐文凯 第三代半导体的刻蚀方法和装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN202830170U (zh) * 2012-07-25 2013-03-27 浙江贝盛光伏股份有限公司 一种具有水膜铺开功能的湿法刻蚀机
US20130200468A1 (en) * 2012-02-06 2013-08-08 International Business Machines Corporation Integration of SMT in Replacement Gate FINFET Process Flow

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2894658B2 (ja) * 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
US6841483B2 (en) * 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
JP4701776B2 (ja) * 2005-03-25 2011-06-15 東京エレクトロン株式会社 エッチング方法及びエッチング装置
RU2296417C2 (ru) * 2005-05-30 2007-03-27 Федеральное государственное унитарное предприятие Омский научно-исследовательский институт приборостроения Способ изготовления кристаллических элементов и устройство для его осуществления
JP4438709B2 (ja) * 2005-07-19 2010-03-24 株式会社Sumco ウェーハの枚葉式エッチング方法
JP5565735B2 (ja) * 2010-11-12 2014-08-06 国立大学法人東北大学 Soi基板のエッチング方法及びsoi基板上の裏面照射型光電変換モジュールの作製方法
US8809170B2 (en) * 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8716136B1 (en) * 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
JP6583081B2 (ja) * 2016-03-22 2019-10-02 東京エレクトロン株式会社 半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130200468A1 (en) * 2012-02-06 2013-08-08 International Business Machines Corporation Integration of SMT in Replacement Gate FINFET Process Flow
CN202830170U (zh) * 2012-07-25 2013-03-27 浙江贝盛光伏股份有限公司 一种具有水膜铺开功能的湿法刻蚀机

Also Published As

Publication number Publication date
CN116936415A (zh) 2023-10-24
CN111223797B (zh) 2023-08-18
US20200168480A1 (en) 2020-05-28
CN111223797A (zh) 2020-06-02
US10872788B2 (en) 2020-12-22
TW202020956A (zh) 2020-06-01

Similar Documents

Publication Publication Date Title
US11075125B2 (en) Semiconductor device and manufacturing method thereof
US11462534B2 (en) Semiconductor device and manufacturing method thereof
US10720503B2 (en) Method for manufacturing semiconductor device
US10515849B2 (en) Semiconductor device, interconnection structure and method for forming the same
US20220320086A1 (en) Semiconductor device and manufacturing method thereof
TWI779248B (zh) 濕蝕刻設備、濕蝕刻方法及製作半導體裝置的方法
US20210257361A1 (en) Semiconductor device and manufacturing method thereof
US20220352345A1 (en) Semiconductor device and manufacturing method thereof
JP4738194B2 (ja) エッチング方法及び半導体装置の製造方法
TW202025231A (zh) 半導體裝置的形成方法及用於進行電漿清潔製程的系統
TWI725609B (zh) 濕蝕刻設備及其使用之方法
US20240030317A1 (en) Semiconductor device and manufacturing method thereof
CN116884844A (zh) 半导体装置的制造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent