TWI777717B - Hydrogenation and nitridization processes for modifying effective oxide thickness of a film - Google Patents

Hydrogenation and nitridization processes for modifying effective oxide thickness of a film Download PDF

Info

Publication number
TWI777717B
TWI777717B TW110129378A TW110129378A TWI777717B TW I777717 B TWI777717 B TW I777717B TW 110129378 A TW110129378 A TW 110129378A TW 110129378 A TW110129378 A TW 110129378A TW I777717 B TWI777717 B TW I777717B
Authority
TW
Taiwan
Prior art keywords
layer
plasma
metal nitride
metal
exposed surface
Prior art date
Application number
TW110129378A
Other languages
Chinese (zh)
Other versions
TW202145372A (en
Inventor
候達 葛勞伊
喬哈那斯S 史文保
煒 劉
史蒂芬Ch 洪
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/244,051 external-priority patent/US10510545B2/en
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202145372A publication Critical patent/TW202145372A/en
Application granted granted Critical
Publication of TWI777717B publication Critical patent/TWI777717B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer

Abstract

Embodiments described herein generally relate to enable the formation of a metal gate structure with a reduced effective oxide thickness over a similar structure formed via conventional methods. A plasma hydrogenation process followed by a plasma nitridization process, or a single-step plasma hydrogenation and nitridization process, is performed on a metal nitride layer in a film stack, thereby, according to some embodiments, removing oxygen atoms disposed within layers of the film stack and, in some embodiments, adding nitrogen atoms to the layers of the film stack. As a result, an effective oxide thickness of the metal gate structure is reduced with little or no accompanying flatband voltage shift.

Description

用於改良膜的有效氧化物厚度之氫化與氮化製程Hydrogenation and Nitriding Processes for Improving Effective Oxide Thickness of Films

本文描述的實施例通常係關於一種用於處理半導體基板的方法及設備,並且更特定而言,關於改良膜的有效氧化物厚度之氫化與氮化製程。Embodiments described herein relate generally to a method and apparatus for processing semiconductor substrates, and more particularly, to hydrogenation and nitridation processes that improve the effective oxide thickness of films.

在積體電路中,非常需要諸如金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor; MOSFET)的較小電晶體。首先,較小電晶體賦能在給定晶片區域中形成更多電晶體,由此減小晶片尺寸。其次,較小電晶體通常可比較大電晶體更快地切換,由此改良晶片效能。In integrated circuits, smaller transistors such as metal oxide semiconductor field effect transistors (MOSFETs) are highly desirable. First, smaller transistors enable the formation of more transistors in a given wafer area, thereby reducing wafer size. Second, smaller transistors can generally switch faster than larger transistors, thereby improving chip performance.

一用於減小MOSFET的尺寸的方法係按比例縮放,其中按比例減小重要的元件尺寸,諸如電晶體長度、電晶體寬度、及氧化物(或介電質)厚度。在此方法中,電晶體通道電阻不隨著電晶體大小減小而改變,而電晶體的閘極電容及RC延遲與尺寸減小成比例地降低。One method for reducing the size of MOSFETs is scaling, where important component dimensions such as transistor length, transistor width, and oxide (or dielectric) thickness are scaled down. In this method, the transistor channel resistance does not change as the size of the transistor decreases, while the gate capacitance and RC delay of the transistor decrease in proportion to the size reduction.

然而,儘管在MOSFET中的介電質厚度減小對於將MOSFET按比例縮小為未來技術節點所需的尺寸而言係關鍵的,但亦存在重要折衷。具體而言,隨著MOSFET中的習知氧化物/氮氧化物介電層的厚度的線性減小,閘極洩漏存在指數增加,從而導致增加的功率消耗。此外,介電層的厚度現接近少數個原子層,從而產生了可靠性問題。因此,使電晶體中的氧化物厚度或有效氧化物厚度(effective oxide thickness; EOT)可在閘極洩漏不存在指數增加的情況下減小的任何方式都係非常需要的。此需要及其他需要在本揭示案中解決。However, while dielectric thickness reduction in MOSFETs is critical to scaling MOSFETs to the size required for future technology nodes, there are important tradeoffs. Specifically, as the thickness of conventional oxide/oxynitride dielectric layers in MOSFETs decreases linearly, there is an exponential increase in gate leakage, resulting in increased power consumption. In addition, the thickness of the dielectric layer is now approaching a few atomic layers, creating reliability problems. Therefore, any way in which the oxide thickness or effective oxide thickness (EOT) in the transistor can be reduced without an exponential increase in gate leakage is highly desirable. This need and others are addressed in this disclosure.

本文所描述的實施例通常係關於用於減少半導體元件中的導電結構中的界面及主體O原子的連續氫化及氮化製程。在一個實施例中,提供了一種在半導體元件中形成結構的方法,該方法包括:在半導體基板上沉積高介電常數介電層;在高介電常數介電層上沉積覆蓋層以形成結構的一部分,所沉積的覆蓋層具有已暴露表面;及將已暴露表面暴露於電漿激發的氫物種及電漿激發的氮物種。基板的部分包括覆蓋層及高介電常數介電質。Embodiments described herein relate generally to sequential hydrogenation and nitridation processes for reducing interfacial and host O atoms in conductive structures in semiconductor devices. In one embodiment, a method of forming a structure in a semiconductor element is provided, the method comprising: depositing a high-k dielectric layer on a semiconductor substrate; depositing a capping layer on the high-k dielectric layer to form the structure In part, the deposited cap layer has an exposed surface; and the exposed surface is exposed to plasma excited hydrogen species and plasma excited nitrogen species. A portion of the substrate includes a capping layer and a high-k dielectric.

在一個實施例中,一種在半導體元件中形成結構的方法包括:在半導體基板上沉積高介電常數介電層;在高介電常數金屬介電層上沉積金屬氮化物層以形成結構的一部分,其中該部分包括金屬氮化物層及高介電常數金屬介電層並且具有第一有效氧化物厚度,並且其中所沉積的金屬氮化物層具有已暴露表面;將已暴露表面連續地暴露於非氧化的電漿激發的氫物種,隨後暴露於電漿激發的氮物種以將第一有效氧化物厚度減小到第二有效氧化物厚度。In one embodiment, a method of forming a structure in a semiconductor device includes: depositing a high-k dielectric layer on a semiconductor substrate; depositing a metal nitride layer on the high-k metal dielectric layer to form a portion of the structure , wherein the portion includes a metal nitride layer and a high-k metal dielectric layer and has a first effective oxide thickness, and wherein the deposited metal nitride layer has an exposed surface; the exposed surface is continuously exposed to non- The oxidized plasma excited hydrogen species is then exposed to plasma excited nitrogen species to reduce the first effective oxide thickness to the second effective oxide thickness.

在另一實施例中,一種在半導體元件中形成結構的方法包括:在半導體基板上沉積高介電常數介電層;在高介電常數金屬介電層上沉積金屬氮化物層;將已暴露表面連續地暴露於電漿激發的氫物種,隨後暴露於電漿激發的氮物種;在將已暴露表面連續地暴露於電漿激發的氫物種,隨後暴露於電漿激發的氮物種之後,將已暴露表面暴露於空氣;及在將已暴露表面暴露於空氣之後,在高介電常數介電層及金屬氮化物層上在特定溫度下執行熱退火製程達特定時間。In another embodiment, a method of forming a structure in a semiconductor device includes: depositing a high-k dielectric layer on a semiconductor substrate; depositing a metal nitride layer on the high-k metal dielectric layer; The surface was continuously exposed to plasmonic excited hydrogen species, followed by plasmonic excited nitrogen species; after the exposed surface was continuously exposed to plasmonic excited hydrogen species, followed by plasmonic excited nitrogen species, the The exposed surface is exposed to air; and after exposing the exposed surface to air, a thermal annealing process is performed on the high-k dielectric layer and the metal nitride layer at a specified temperature for a specified time.

在另一實施例中,一種在半導體元件中形成結構的方法包括:在半導體基板上沉積高介電常數介電層;在高介電常數金屬介電層上沉積金屬氮化物層以形成基板的一部分,其中該部分包括金屬氮化物層及高介電常數金屬介電層並且具有第一有效氧化物厚度,並且其中所沉積的金屬氮化物層具有已暴露表面;藉由將已暴露表面連續地暴露於非氧化的電漿激發的氫物種,隨後暴露於電漿激發的氮物種,將第一有效氧化物厚度減小至第二有效氧化物厚度。In another embodiment, a method of forming a structure in a semiconductor device includes: depositing a high-k dielectric layer on a semiconductor substrate; depositing a metal nitride layer on the high-k metal dielectric layer to form a a portion, wherein the portion includes a metal nitride layer and a high-k metal dielectric layer and has a first effective oxide thickness, and wherein the deposited metal nitride layer has an exposed surface; by connecting the exposed surface continuously Exposure to non-oxidizing plasma-excited hydrogen species, followed by exposure to plasma-excited nitrogen species, reduces the first effective oxide thickness to a second effective oxide thickness.

在另一實施例中,提供了一種在半導體元件中形成結構的方法,該方法包括:在半導體基板上沉積高介電常數介電層;在高介電常數金屬介電層上沉積覆蓋層;將覆蓋層的已暴露表面暴露於電漿激發的氫物種及電漿激發的氮物種;將已暴露表面暴露於空氣;及在高介電常數介電層及覆蓋層上在特定溫度下執行熱退火製程達特定時間。In another embodiment, a method of forming a structure in a semiconductor element is provided, the method comprising: depositing a high-k dielectric layer on a semiconductor substrate; depositing a capping layer on the high-k metal dielectric layer; exposing the exposed surface of the capping layer to plasmonic excited hydrogen species and plasma excited nitrogen species; exposing the exposed surface to air; and performing heat on the high-k dielectric layer and capping layer at a specified temperature The annealing process is for a specific time.

在另一實施例中,提供了一種在半導體元件中形成結構的方法,該方法包括:在半導體基板上沉積高介電常數介電層;在高介電常數介電層上沉積覆蓋層以形成結構的一部分,其中所沉積的覆蓋層具有已暴露表面;及將已暴露表面暴露於電漿激發的氫物種及電漿激發的氮物種,其中電漿激發的氫物種包括氨,並且電漿激發的氮物種包括氮氣(N2 )。結構的部分包括覆蓋層及高介電常數介電質。In another embodiment, a method of forming a structure in a semiconductor element is provided, the method comprising: depositing a high-k dielectric layer on a semiconductor substrate; depositing a capping layer on the high-k dielectric layer to form a portion of a structure wherein the deposited cap layer has an exposed surface; and the exposed surface is exposed to a plasma excited hydrogen species and a plasma excited nitrogen species, wherein the plasma excited hydrogen species includes ammonia and the plasma excited The nitrogen species include nitrogen (N 2 ). Portions of the structure include capping layers and high-k dielectrics.

在另一實施例中,提供了一種在半導體元件中形成結構的方法,包括:在基板表面上方形成的高介電常數介電層上沉積金屬氮化物覆蓋層;及將所沉積的金屬氮化物覆蓋層的已暴露表面暴露於包含第一氣體及第二氣體的電漿,第一氣體包括含氫物種,第二氣體包括含氮物種,其中在第一氣體中的含氫物種包括氮。In another embodiment, a method of forming a structure in a semiconductor device is provided, comprising: depositing a metal nitride capping layer on a high-k dielectric layer formed over a surface of a substrate; and depositing the deposited metal nitride The exposed surface of the capping layer is exposed to a plasma including a first gas including a hydrogen-containing species and a second gas including a nitrogen-containing species, wherein the hydrogen-containing species in the first gas includes nitrogen.

在另一實施例中,提供了一種在半導體元件中形成結構的方法,包括:在半導體基板上沉積高介電常數介電層;在高介電常數介電層上沉積覆蓋層;將覆蓋層的已暴露表面暴露於電漿激發的氫物種及電漿激發的氮物種;將已暴露表面暴露於空氣;及在高介電常數介電層及覆蓋層上在特定溫度下執行熱退火製程達特定時間。In another embodiment, a method of forming a structure in a semiconductor element is provided, comprising: depositing a high-k dielectric layer on a semiconductor substrate; depositing a capping layer on the high-k dielectric layer; depositing the capping layer exposure of the exposed surface to plasma-excited hydrogen species and plasma-excited nitrogen species; exposing the exposed surface to air; and performing a thermal annealing process at a specified temperature on the high-k dielectric layer and capping layer for specific time.

在另一實施例中,提供了一種在半導體元件中形成結構的方法,包括:在半導體基板上沉積高介電常數介電層;在高介電常數介電層上沉積覆蓋層以形成結構的一部分,其中該部分包括覆蓋層及高介電常數介電層,並且其中所沉積的覆蓋層具有已暴露表面;及將已暴露表面暴露於電漿激發的氫物種及電漿激發的氮物種,其中電漿激發的氫物種包括氨,並且電漿激發的氮物種包括氮氣(N2 )。In another embodiment, a method of forming a structure in a semiconductor element is provided, comprising: depositing a high-k dielectric layer on a semiconductor substrate; depositing a capping layer on the high-k dielectric layer to form a structure a portion, wherein the portion includes a capping layer and a high-k dielectric layer, and wherein the deposited capping layer has an exposed surface; and exposing the exposed surface to plasma-excited hydrogen species and plasma-excited nitrogen species, Wherein the plasma excited hydrogen species includes ammonia, and the plasma excited nitrogen species includes nitrogen gas (N 2 ).

本文所描述的實施例通常係關於用於在基板上形成的半導體元件內的結構中氮化層的方法及設備。單步電漿氫化及氮化製程可在金屬層或導電結構中包括的金屬層堆疊上執行,該等金屬層例如在沉積金屬覆蓋層之前熱退火的金屬層。在各個實施例中,在熱退火製程之前、在熱退火製程之後、或在熱退火製程之前及之後,可執行單步電漿氫化及氮化製程。在各個實施例中,在導電結構中的氮原子濃度有利地增加,由此減小在導電結構中的電阻。在第1圖中示出一個此種導電結構。具有減少的界面及主體氧的導電結構 Embodiments described herein generally relate to methods and apparatus for nitriding layers in structures within semiconductor elements formed on substrates. The single-step plasma hydrogenation and nitridation process can be performed on metal layers or stacks of metal layers included in conductive structures, such as metal layers that are thermally annealed prior to deposition of a metal capping layer. In various embodiments, a single-step plasma hydrogenation and nitridation process may be performed before the thermal annealing process, after the thermal annealing process, or both before and after the thermal annealing process. In various embodiments, the concentration of nitrogen atoms in the conductive structure is advantageously increased, thereby reducing the resistance in the conductive structure. One such conductive structure is shown in Figure 1 . Conductive structures with reduced interfacial and host oxygen

第1圖示出了根據本揭示案的一實施例的在半導體基板110上形成作為半導體元件的部分的導電結構100或接觸結構的橫截面圖。導電結構100可係經配置為傳導電流的半導體元件的任何部分,並且由此獲益於減小的電阻。在第1圖中示出的實施例中,將導電結構100繪示為用於向源極或汲極結構101提供電氣接觸的接觸結構,且在已經形成導電結構100之後圖示,並且諸如化學機械拋光(chemical-mechanical polishing; CMP)的平坦化製程已經在半導體基板110上完成。例如,導電結構100可係用於場效電晶體(field-effect transistor; FET)的接觸結構。FIG. 1 shows a cross-sectional view of a conductive structure 100 or a contact structure formed as part of a semiconductor element on a semiconductor substrate 110 according to an embodiment of the present disclosure. The conductive structure 100 may be any portion of a semiconductor element that is configured to conduct electrical current and thereby benefit from reduced electrical resistance. In the embodiment shown in Figure 1, the conductive structure 100 is shown as a contact structure for providing electrical contact to the source or drain structure 101, and is shown after the conductive structure 100 has been formed, and such as chemical The planarization process of chemical-mechanical polishing (CMP) has been completed on the semiconductor substrate 110 . For example, the conductive structure 100 may be a contact structure for a field-effect transistor (FET).

導電結構100在接觸阱109或孔中設置,該孔係在絕緣材料120中形成的空腔。絕緣材料120(或者被稱為淺溝槽隔離(shallow trench isolation; STI))可包括一或更多種介電材料,如二氧化矽(SiO2 )、氮化矽(Si3 N4 )、或上述各者之多個層。絕緣材料120可藉由高密度電漿(high-density plasma; HDP)、可流動化學氣相沉積(flowable chemical vapor deposition; FCVD)、正矽酸四乙酯(tetraethyl orthosilicate; TEOS)、或類似者形成。導電結構100可包括多個金屬層的堆疊,例如,第一金屬層102、金屬氮化物層103、及在第一金屬層102及金屬氮化物層103上方設置的至少一導電部分。導電部分可包括覆蓋層104及/或導電層106。Conductive structures 100 are provided in contact wells 109 or holes, which are cavities formed in insulating material 120 . The insulating material 120 (or referred to as shallow trench isolation (STI)) may include one or more dielectric materials, such as silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ), or multiple layers of each of the above. The insulating material 120 can be formed by high-density plasma (HDP), flowable chemical vapor deposition (FCVD), tetraethyl orthosilicate (TEOS), or the like form. The conductive structure 100 may include a stack of multiple metal layers, eg, a first metal layer 102 , a metal nitride layer 103 , and at least one conductive portion disposed over the first metal layer 102 and the metal nitride layer 103 . The conductive portion may include capping layer 104 and/or conductive layer 106 .

源極或汲極結構101可由半導體基板110形成或由在半導體基板110上沉積的不同半導體材料形成。在後者情況下,不同的半導體材料可包括鍺矽、第III-V族化合物半導體材料、或類似者。例如,在一些實施例中,可執行磊晶製程以生長源極或汲極結構101。The source or drain structure 101 may be formed from the semiconductor substrate 110 or from different semiconductor materials deposited on the semiconductor substrate 110 . In the latter case, the different semiconductor materials may include germanium silicon, group III-V compound semiconductor materials, or the like. For example, in some embodiments, an epitaxial process may be performed to grow the source or drain structure 101 .

第一金屬層102在源極或汲極結構101上形成,並且包括一或更多種金屬,該等金屬經選擇為在適宜的熱退火製程之後在與源極或汲極結構101的界面處形成矽化物105。例如,在一些實施例中,第一金屬層102包括鈦(Ti)或整體由Ti構成,並且可具有約40 Å至約50 Å的厚度。金屬氮化物層103在第一金屬層102上形成,並且包括金屬氮化物,例如,用作導電結構100中的擴散阻障層。在一些實施例中,金屬氮化物層103包括氮化鈦(TiN)、氮化鉭(TaN)及/或氮化鎢(W3 N2 ),並且可具有約10 Å至20 Å的厚度。覆蓋層104通常在熱退火製程之後在金屬氮化物層103上形成,矽化物105藉由該熱退火製程在導電結構100中形成,並且包括一或更多種金屬。在一些實施例中,導電結構100可包括單獨形成的導電層106,該導電層可包括金屬,如鈷、銅、釕、鎳、鎢、鋁、或其他可用金屬、或其組合。在一些實施例中,覆蓋層104包括Co,並且可具有約10 Å至20 Å的厚度。在其他實施例中,覆蓋層104包括金屬(例如,鈷),該金屬完全填充接觸阱109的剩餘部分。A first metal layer 102 is formed on the source or drain structure 101 and includes one or more metals selected to be at the interface with the source or drain structure 101 after a suitable thermal annealing process Silicide 105 is formed. For example, in some embodiments, the first metal layer 102 includes titanium (Ti) or consists entirely of Ti, and may have a thickness of about 40 Å to about 50 Å. A metal nitride layer 103 is formed on the first metal layer 102 and includes a metal nitride, eg, for use as a diffusion barrier layer in the conductive structure 100 . In some embodiments, the metal nitride layer 103 includes titanium nitride (TiN), tantalum nitride (TaN), and/or tungsten nitride (W 3 N 2 ), and may have a thickness of about 10 Å to 20 Å. Cap layer 104 is typically formed on metal nitride layer 103 after a thermal annealing process by which silicide 105 is formed in conductive structure 100 and includes one or more metals. In some embodiments, conductive structure 100 may include a separately formed conductive layer 106, which may include a metal such as cobalt, copper, ruthenium, nickel, tungsten, aluminum, or other useful metals, or combinations thereof. In some embodiments, the capping layer 104 includes Co and can have a thickness of about 10 Å to 20 Å. In other embodiments, capping layer 104 includes a metal (eg, cobalt) that completely fills the remainder of contact well 109 .

如先前提及,在第一金屬層102及/或金屬氮化物層103中存在O原子不利地影響導電結構100的有效導電性。首先,在任何金屬層中的氧化物增加所形成的金屬層的主體導電性。其次,界面氧化物(亦即,在金屬氮化物層103與覆蓋層104之間的界面處形成的金屬氧化物)加劇了在金屬氮化物層103與覆蓋層104之間的不良黏著力,潛在地導致顯著減小導電結構100的有效橫截面積的空隙。遺憾的是,在導電結構100的金屬層的主體部分中幾乎總是存在一定程度的低濃度的O原子。此外,在眾多情況下,氧化物可以較高濃度在金屬表面上形成,該等金屬表面在製造步驟之間暴露於空氣。根據本揭示案的實施例,在導電結構100中存在主體及界面O原子可經由連續氫化及電漿氮化製程來減少。在第2A圖至第2E圖及第3A圖至第3D圖中示出針對連續製程將導電結構100中的主體及界面O原子減少程度的實體模型。減少界面及主體氧的實體模型 As previously mentioned, the presence of O atoms in the first metal layer 102 and/or the metal nitride layer 103 adversely affects the effective conductivity of the conductive structure 100 . First, oxides in any metal layer increase the bulk conductivity of the formed metal layer. Second, the interfacial oxide (ie, the metal oxide formed at the interface between the metal nitride layer 103 and the capping layer 104) exacerbates poor adhesion between the metal nitride layer 103 and the capping layer 104, potentially The ground results in voids that significantly reduce the effective cross-sectional area of the conductive structure 100 . Unfortunately, there is almost always some low concentration of O atoms in the bulk portion of the metal layer of the conductive structure 100 . Furthermore, in many cases, oxides may form at relatively high concentrations on metal surfaces that are exposed to air between fabrication steps. According to embodiments of the present disclosure, the presence of bulk and interfacial O atoms in conductive structure 100 can be reduced through sequential hydrogenation and plasma nitridation processes. Figures 2A to 2E and 3A to 3D illustrate physical models of the extent to which the bulk and interface O atoms in the conductive structure 100 are reduced for a continuous process. Solid model for reducing interface and bulk oxygen

第2A圖至第2E圖係根據本揭示案的一實施例的在製造接觸結構100的各個階段處的接觸結構100內的金屬氮化物層103的示意圖。應注意到,第2A圖至第2E圖僅示出了金屬氮化物層103的一個可能的表面末端,並且僅僅表示常見的TiN結構。在一些實施例中,金屬氮化物層103可具有任何其他可能的表面末端或與TiN層相關聯的晶體結構。FIGS. 2A-2E are schematic diagrams of the metal nitride layer 103 within the contact structure 100 at various stages of fabricating the contact structure 100 according to an embodiment of the present disclosure. It should be noted that Figures 2A to 2E show only one possible surface end of the metal nitride layer 103 and only represent the common TiN structure. In some embodiments, the metal nitride layer 103 may have any other possible surface termination or crystal structure associated with the TiN layer.

在第2A圖中,示意性地示出緊接在金屬氮化物層103已經在第一金屬層102上沉積之後並且在將部分200暴露於空氣之前的金屬氮化物層103的部分200。部分200包括其上將最終沉積有覆蓋層104的部分200的表面201。如圖所示,部分200具有NACl立方結構,並且主要由Ti及N原子構成。此外,部分200包括通常在表面201下方的部分200的主體區域中設置的低濃度的主體O原子211(交叉影線)。主體O原子211可由污染帶入,該污染在用於形成部分200的沉積製程期間在處理環境中發現。另外,部分200通常包括空位213,該等空位係其中正失去原子的部分200的晶格內的位點。空位213係當將氮化物層103暴露於空氣時可在部分200內發生額外氧化的位置。注意到,當金屬氮化物層103藉由原子層沉積(atomic layer deposition; ALD)製程形成時,歸因於在ALD製程中發現的膜成核及生長機制,相對於傳統化學氣相沉積(chemical vapor deposition; CVD)或物理氣相沉積(physical vapor deposition; PVD)製程,空位213相對常見。因此,相對於習知PVD或CVD類型的製程,本文提供的揭示內容的一或更多個實施例可當在藉由ALD製程形成的膜上使用時提供顯著益處。In Figure 2A, the portion 200 of the metal nitride layer 103 is schematically shown immediately after the metal nitride layer 103 has been deposited on the first metal layer 102 and before the portion 200 is exposed to air. Portion 200 includes a surface 201 of portion 200 on which capping layer 104 will ultimately be deposited. As shown, the portion 200 has a NACl cubic structure and is mainly composed of Ti and N atoms. In addition, portion 200 includes a low concentration of bulk O atoms 211 (cross-hatched) disposed generally in the bulk region of portion 200 below surface 201 . The bulk O atoms 211 may be brought in by contamination found in the processing environment during the deposition process used to form the portion 200 . Additionally, portion 200 typically includes vacancies 213, which are sites within the crystal lattice of portion 200 where atoms are being lost. Vacancies 213 are locations where additional oxidation can occur within portion 200 when nitride layer 103 is exposed to air. It is noted that when the metal nitride layer 103 is formed by an atomic layer deposition (ALD) process, due to the film nucleation and growth mechanisms found in the ALD process, compared to conventional chemical vapor deposition (chemical vapor deposition) Vapor deposition; CVD) or physical vapor deposition (physical vapor deposition; PVD) process, the vacancies 213 are relatively common. Accordingly, one or more embodiments of the disclosure provided herein may provide significant benefits when used on films formed by ALD processes relative to conventional PVD or CVD type processes.

在第2B圖中,示出在從沉積金屬氮化物層103的處理系統去除之後的部分200。例如,在準備熱退火製程時,可將其上形成部分200的半導體基板110暴露於空氣。通常,歸因於形成目前的大部分先進元件節點應用所需的要求清潔度、熱管理控制及真空位準要求的差異,習知熱處理腔室(如退火處理腔室)在與用於形成第一金屬層102及金屬氮化物層103的處理系統不同的處理系統中執行。因此,在第2B圖中,示出了在暴露於空氣之後的部分200。如圖所示,已經部分氧化表面201,其中表面O原子212佔據大部分或所有在表面201上設置的空位213。在一些情況下,由於將部分200暴露於空氣,在部分200內設置的一些空位213由主體O原子211佔據。In Figure 2B, portion 200 is shown after removal from the processing system in which metal nitride layer 103 is deposited. For example, in preparation for the thermal annealing process, the semiconductor substrate 110 on which the portion 200 is formed may be exposed to air. Typically, conventional thermal processing chambers (eg, annealing process chambers) are not as common as those used to form the first thermal processing chamber due to differences in the required cleanliness, thermal management control, and vacuum level requirements required to form most of today's advanced device node applications. A metal layer 102 and a metal nitride layer 103 are processed in different processing systems. Thus, in Figure 2B, portion 200 is shown after exposure to air. As shown, surface 201 has been partially oxidized, with surface O atoms 212 occupying most or all of the vacancies 213 provided on surface 201 . In some cases, some of the vacancies 213 provided within the portion 200 are occupied by host O atoms 211 as a result of exposing the portion 200 to air.

在第2C圖中,示出了在經歷熱退火製程以形成矽化物105(如第1圖所示)之後的部分200。一些或所有剩餘空位213用主體O原子211或表面O原子212填充。在一些實施例中,主體O原子211亦可移位在部分200內設置的N原子的一部分。因此,退火製程通常增加部分200中的主體O原子211及表面O原子212二者的數量。甚至當表面201上的表面O原子212的深度係僅一或兩個單層時,對導電結構100的電阻率的影響可係顯著的,特定係針對較小元件結構,如與先進元件節點(例如,65 nm技術節點及以下的技術節點)相關聯的彼等結構。In Figure 2C, portion 200 is shown after undergoing a thermal anneal process to form silicide 105 (shown in Figure 1). Some or all of the remaining vacancies 213 are filled with bulk O atoms 211 or surface O atoms 212 . In some embodiments, host O atoms 211 may also displace a portion of the N atoms disposed within portion 200 . Therefore, the annealing process typically increases the number of both bulk O atoms 211 and surface O atoms 212 in portion 200 . Even when the depth of the surface O atoms 212 on the surface 201 is only one or two monolayers, the effect on the resistivity of the conductive structure 100 can be significant, especially for smaller device structures, such as with advanced device nodes ( For example, the 65 nm technology node and below) associated structures.

在第2D圖中,示出了根據本揭示案的各個實施例的在暴露於氫原子之後的部分200,該等氫原子與包括在部分200中的主體O原子211及/或表面O原子212反應。在一些實施例中,作為熱氫化製程的部分,主體O原子211及/或表面O原子212與來自熱解離的氫氣(H2 )的氫原子反應,而在其他實施例中,作為電漿氫化製程的部分,主體O原子211及/或表面O原子212與來自含氫電漿的氫原子反應。In Figure 2D, portion 200 is shown after exposure to hydrogen atoms with bulk O atoms 211 and/or surface O atoms 212 included in portion 200 in accordance with various embodiments of the present disclosure reaction. In some embodiments, bulk O atoms 211 and/or surface O atoms 212 react with hydrogen atoms from thermally dissociated hydrogen gas (H 2 ) as part of the thermal hydrogenation process, and in other embodiments, as a plasma hydrogenation process As part of the process, bulk O atoms 211 and/or surface O atoms 212 react with hydrogen atoms from the hydrogen-containing plasma.

熱氫化製程可在某些處理條件下在適宜的快速熱處理腔室中執行,包括將部分200加熱至至少約550℃至約650℃。電漿氫化製程可在某些處理條件下在適宜的電漿處理腔室中執行。示例性電漿處理腔室及電漿處理條件各者在下文針對電漿氫化製程描述。如圖所示,氫化製程減少或另外從表面201去除所有或實質上所有的表面O原子212,從而留下空位213。此外,電漿氫化製程亦可去除在表面201下方設置的一些或所有主體O原子211。The thermal hydrogenation process may be performed in a suitable rapid thermal processing chamber under certain processing conditions, including heating portion 200 to at least about 550°C to about 650°C. The plasma hydrogenation process can be performed in a suitable plasma processing chamber under certain processing conditions. Exemplary plasma processing chambers and plasma processing conditions are each described below for a plasma hydrogenation process. As shown, the hydrogenation process reduces or otherwise removes all or substantially all surface O atoms 212 from surface 201 , leaving vacancies 213 . In addition, the plasma hydrogenation process may also remove some or all of the host O atoms 211 disposed below the surface 201 .

在第2E圖中,示出了根據本揭示案的各個實施例在經歷電漿氮化製程之後的部分200。電漿氮化製程可在某些處理條件下在適宜的電漿處理腔室中執行,並且示例性電漿處理腔室及電漿處理條件各者在下文針對電漿氮化製程描述。在一些實施例中,電漿氮化製程可在相同的執行電漿氫化製程的電漿處理腔室中執行。此外,在電漿或熱氫化製程與電漿氮化製程之間不發生空斷。亦即,在電漿或熱氫化製程之後並且在電漿氮化製程之前,不將部分200暴露於空氣。In Figure 2E, portion 200 is shown after undergoing a plasma nitridation process in accordance with various embodiments of the present disclosure. The plasma nitridation process can be performed in a suitable plasma processing chamber under certain processing conditions, and exemplary plasma processing chambers and plasma processing conditions are each described below for the plasma nitridation process. In some embodiments, the plasma nitridation process may be performed in the same plasma processing chamber that performs the plasma hydrogenation process. In addition, no air gap occurs between the plasma or thermal hydrogenation process and the plasma nitridation process. That is, the portion 200 is not exposed to air after the plasma or thermal hydrogenation process and before the plasma nitridation process.

如圖所示,氮化製程導致用N原子填充空位213,使得表面201上設置的表面O原子212非常少或沒有。因此,表面201可達到N原子飽和,並且因此,表面201的後續氧化大幅度減少或消除,甚至當在沉積覆蓋層104之前再次將表面201暴露於空氣時。由此,改良在金屬氮化物層103的表面201與覆蓋層104之間的黏著力。此外,在表面201下方的一些或所有空位可用N原子替代主體O原子211來填充,從而進一步整體改進金屬氮化物層103、第一金屬層102、及導電結構100的導電性。As shown, the nitridation process results in filling of vacancies 213 with N atoms such that there are very few or no surface O atoms 212 disposed on surface 201 . Consequently, the surface 201 can reach saturation of N atoms, and thus, subsequent oxidation of the surface 201 is greatly reduced or eliminated, even when the surface 201 is again exposed to air before the capping layer 104 is deposited. Thus, the adhesion between the surface 201 of the metal nitride layer 103 and the capping layer 104 is improved. Additionally, some or all of the vacancies below surface 201 may be filled with N atoms in place of host O atoms 211 , thereby further improving the conductivity of metal nitride layer 103 , first metal layer 102 , and conductive structure 100 as a whole.

第3圖係根據本揭示案的一實施例的用於在處理之前沉積及熱退火的TiN膜的X射線光電子光譜學(X-ray Photoelectron Spectroscopy; XPS)光譜310及用於在處理之後的相同沉積及熱退火的TiN膜的XPS光譜320。處理包括電漿或熱氫化製程,及隨後的電漿氮化製程。熱退火製程係在約550℃與600℃之間的溫度下在氮氣(N2 )或氨(NH3 )環境中的快速熱製程。在約340℃與500℃之間的溫度下、在約10 mTorr與150 mTorr之間的處理壓力下、在約250 W與2000 W之間的電漿功率下、在約5 sccm與100 sccm之間的H2 流率下、及在約250 sccm與2000 sccm之間的氬(Ar)流率下,電漿氫化製程在基板底座上的感應耦合電漿(inductively coupled plasma; ICP)腔室中執行達約30秒與約200秒之間的持續時間。在約350℃與500℃之間的溫度下的基板底座上、在約10 mTorr與100 mTorr之間的處理壓力下、在約250 W與2000 W之間的電漿功率下、在約5 sccm與100 sccm之間的NH3 流率下、在約300 sccm與500 sccm之間的氮氣(N2 )流率下、及在約20 sccm與500 sccm之間的氬(Ar)流率下,電漿氮化製程可在相同ICP腔室中執行達約30秒與約200秒之間的持續時間。Figure 3 is an X-ray Photoelectron Spectroscopy (XPS) spectrum 310 for a TiN film deposited and thermally annealed prior to processing and for the same after processing, according to an embodiment of the present disclosure XPS spectrum 320 of a deposited and thermally annealed TiN film. The treatment includes a plasma or thermal hydrogenation process followed by a plasma nitridation process. The thermal annealing process is a rapid thermal process in a nitrogen ( N2 ) or ammonia ( NH3 ) environment at a temperature between about 550°C and 600°C. At a temperature between about 340°C and 500°C, at a process pressure between about 10 mTorr and 150 mTorr, at a plasma power between about 250 W and 2000 W, at between about 5 sccm and 100 sccm The plasma hydrogenation process was performed in an inductively coupled plasma (ICP) chamber on the substrate pedestal at a H flow rate of between about 250 sccm and 2000 sccm and an argon (Ar) flow rate between about 250 sccm and 2000 sccm. Execute for a duration between about 30 seconds and about 200 seconds. On a substrate pedestal at a temperature between about 350°C and 500°C, at a process pressure between about 10 mTorr and 100 mTorr, at a plasma power between about 250 W and 2000 W, at about 5 sccm At NH 3 flow rates between about 300 sccm and 500 sccm, nitrogen (N 2 ) flow rates between about 300 sccm and 500 sccm, and argon (Ar) flow rates between about 20 sccm and 500 sccm, The plasma nitridation process can be performed in the same ICP chamber for durations between about 30 seconds and about 200 seconds.

如在本領域中熟知,TiN膜的XPS光譜可包括多個峰,各者指示不同含鈦材料的不同相對濃度。例如,具有約458.5 eV的結合能的Ti-O峰通常指示存在Ti-O鍵,並且由此,指示在含鈦材料中存在O原子;具有約457 eV的結合能的Ti-O-N峰通常指示存在Ti-O-N鍵,並且由此,指示在含鈦材料中存在N原子及O原子;並且具有約454.9 eV的結合能的Ti-N峰通常指示存在Ti-N鍵,並且由此,指示在含鈦材料中存在氮(N)原子。As is well known in the art, the XPS spectra of TiN films can include multiple peaks, each indicating different relative concentrations of different titanium-containing materials. For example, a Ti-O peak with a binding energy of about 458.5 eV generally indicates the presence of Ti-O bonds, and thus, O atoms in the titanium-containing material; a Ti-O-N peak with a binding energy of about 457 eV generally indicates Ti-O-N bonds are present, and thus, indicate the presence of N atoms and O atoms in the titanium-containing material; and a Ti-N peak with a binding energy of about 454.9 eV generally indicates the presence of Ti-N bonds, and thus, indicates the presence of Ti-N bonds in the titanium-containing material. Nitrogen (N) atoms are present in the titanium-containing material.

針對在其上執行上文描述的熱退火製程之後的TiN沉積膜,XPS光譜310與Ti 2p殼相關聯,且針對在經歷上文描述的電漿氫化製程,隨後經歷上文描述的電漿氮化製程之後的TiN沉積及熱退火膜,XPS光譜320與Ti 2p殼相關聯。如圖所示,與在XPS光譜310中相比,在XPS光譜320中指示存在Ti-O鍵的峰及指示存在Ti-O-N鍵的峰顯著較低,從而清楚地指示在TiN膜中存在的O原子減少。此外,與在XPS光譜310中相比,在XPS光譜320中指示存在Ti-N鍵的峰顯著較高,從而清楚地指示N原子在TiN膜中的濃度的增加。因此,藉由在退火製程之後執行氫化及氮化製程,O原子在金屬氮化物膜103中的濃度可顯著減小,並且N原子在金屬氮化物膜103中的濃度可顯著增加。XPS spectrum 310 is associated with the Ti 2p shell for the TiN deposited film after the thermal annealing process described above was performed thereon, and for the TiN deposited film after undergoing the above-described plasma hydrogenation process followed by the above-described plasma nitrogen TiN deposition and thermally annealed film after the chemical process, the XPS spectrum 320 correlates with the Ti 2p shell. As shown, the peaks indicating the presence of Ti-O bonds and the peaks indicating the presence of Ti-O-N bonds are significantly lower in the XPS spectrum 320 compared to the XPS spectrum 310, clearly indicating the presence of Ti-O bonds in the TiN film. O atoms decrease. Furthermore, the peak indicating the presence of Ti-N bonds is significantly higher in the XPS spectrum 320 than in the XPS spectrum 310, clearly indicating an increase in the concentration of N atoms in the TiN film. Therefore, by performing the hydrogenation and nitridation processes after the annealing process, the concentration of O atoms in the metal nitride film 103 can be significantly reduced, and the concentration of N atoms in the metal nitride film 103 can be significantly increased.

第2A圖至第2E圖及第3圖示出了退火後連續氫化及氮化製程對金屬氮化物層103的效應。在一些實施例中,在熱退火製程之前在部分200上採用電漿或熱氫化製程,隨後採用電漿氮化製程可具有類似的有益效應。具體而言,因為歸因於電漿氮化製程(如第2E圖所示),表面201可大部分或全部達到N原子飽和,後續的空氣暴露及熱退火表面201導致極少氧化或沒有氧化。因此,在部分200中發現的主體O原子211的濃度及表面O原子212在表面201上的濃度不顯著增加。針對連續氫化及氮化的系統概述 Figures 2A to 2E and Figure 3 show the effect of the continuous hydrogenation and nitridation process on the metal nitride layer 103 after annealing. In some embodiments, employing a plasma or thermal hydrogenation process on portion 200 prior to the thermal annealing process, followed by a plasma nitridation process may have similar beneficial effects. Specifically, since surface 201 may be mostly or fully saturated with N atoms due to the plasma nitridation process (shown in Figure 2E), subsequent air exposure and thermal annealing of surface 201 results in little or no oxidation. Consequently, the concentration of bulk O atoms 211 found in portion 200 and the concentration of surface O atoms 212 on surface 201 do not increase significantly. System overview for continuous hydrogenation and nitridation

第4圖係經配置為實施本揭示案的一或更多個態樣的電漿處理腔室400的示意性橫截面。電漿處理腔室400可係任何適宜的電漿處理腔室,如感應耦合電漿(inductively coupled plasma; ICP)處理腔室。如第4圖所示,處理腔室400可包括腔室壁406、腔室蓋408及在腔室壁406內設置的基板支撐底座404。通常,腔室壁406耦合到電氣接地416。腔室蓋408可由任何適宜介電質(如石英)構成。針對一些實施例,介電蓋408可假設不同形狀(例如,圓頂形狀)。在一些實施例中,腔室蓋408可用陶瓷塗層(如含釔氧化物)塗覆,用於抵禦電漿物種。在一個實施例中,陶瓷塗層係高效能材料(high performance material; HPM),該高效能材料由Y4 Al2 O9 化合物及固溶液Y2-x Zrx O3 (Y2 O3 -ZrO2 固溶液)構成。陶瓷塗層可具有從約100微米至約300微米變化的厚度,如約200微米。4 is a schematic cross-section of a plasma processing chamber 400 configured to implement one or more aspects of the present disclosure. Plasma processing chamber 400 may be any suitable plasma processing chamber, such as an inductively coupled plasma (ICP) processing chamber. As shown in FIG. 4 , the processing chamber 400 may include a chamber wall 406 , a chamber cover 408 , and a substrate support base 404 disposed within the chamber wall 406 . Typically, chamber wall 406 is coupled to electrical ground 416 . The chamber cover 408 may be constructed of any suitable dielectric, such as quartz. For some embodiments, the dielectric cover 408 may assume a different shape (eg, a dome shape). In some embodiments, the chamber cover 408 may be coated with a ceramic coating (eg, yttrium-containing oxide) for protection against plasma species. In one embodiment, the ceramic coating is a high performance material (HPM), the high performance material is composed of Y 4 Al 2 O 9 compound and solid solution Y 2-x Zr x O 3 (Y 2 O 3 - ZrO 2 solid solution). The ceramic coating can have a thickness ranging from about 100 microns to about 300 microns, such as about 200 microns.

在腔室蓋408之上,可設置包括至少一個感應線圈元件410的射頻(radio frequency; RF)天線(圖示了兩個同軸線圈元件)。在一些實施例中,感應線圈元件410可在腔室壁406的至少一部分周圍設置。感應線圈元件410的一端可經由第一阻抗匹配網路412耦合到RF電源414,並且另一端可連接到如圖所示的電氣接地417。在範圍從2至160 MHz的可調諧頻率下,電源414通常能夠產生多達10千瓦(kW)電力,其中13.56 MHz係常見操作頻率。供應到感應線圈元件410的RF功率可係脈衝的(亦即,在開啟與關閉狀態之間切換)或功率循環(亦即,使功率輸入從高位準到低位準變化),其頻率在從1至100 kHz變化的範圍中。Above the chamber cover 408, a radio frequency (RF) antenna including at least one inductive coil element 410 may be positioned (two coaxial coil elements are shown). In some embodiments, the induction coil element 410 may be disposed around at least a portion of the chamber wall 406 . One end of the induction coil element 410 may be coupled to an RF power source 414 via a first impedance matching network 412, and the other end may be connected to an electrical ground 417 as shown. Power supply 414 is typically capable of producing up to 10 kilowatts (kW) of power at tunable frequencies ranging from 2 to 160 MHz, with 13.56 MHz being a common operating frequency. The RF power supplied to the induction coil element 410 may be pulsed (ie, switching between on and off states) or power cycling (ie, changing the power input from a high level to a low level) with a frequency ranging from 1 to 100 kHz variation range.

屏蔽電極418可插入RF天線的感應線圈元件410與腔室壁408之間。屏蔽電極418可經由任何適宜構件交替地電氣浮動或耦合到電氣接地419,該構件用於構成及斷開電氣連接,如第4圖中示出的開關420。A shield electrode 418 may be inserted between the induction coil element 410 of the RF antenna and the chamber wall 408 . The shield electrode 418 may alternatively be electrically floating or coupled to electrical ground 419 via any suitable means for making and breaking electrical connections, such as switch 420 shown in FIG. 4 .

針對一些實施例,偵測器422可附接到腔室壁406以促進決定何時將腔室400內的氣體混合物激勵為電漿。例如,偵測器422可偵測由激發的氣體發射的輻射或使用光學發射光譜學(optical emission spectroscopy; OES)以量測與所產生的電漿相關聯的光的一或更多個波長的強度。For some embodiments, a detector 422 may be attached to the chamber wall 406 to facilitate determining when to excite the gas mixture within the chamber 400 into plasma. For example, detector 422 may detect radiation emitted by the excited gas or use optical emission spectroscopy (OES) to measure one or more wavelengths of light associated with the generated plasma. strength.

底座404可經由第二阻抗匹配網路424耦合到偏置電源426。類似於RF電源414,偏置電源426通常能夠產生具有從2至160 MHz變化及在0與10 kW之間的功率的可調諧頻率的RF訊號。可選地,偏置電源426可係直流(direct current; DC)或脈衝DC源。The base 404 may be coupled to a bias power supply 426 via a second impedance matching network 424 . Similar to RF power supply 414, bias power supply 426 is typically capable of generating RF signals with tunable frequencies ranging from 2 to 160 MHz and powers between 0 and 10 kW. Alternatively, bias power supply 426 may be a direct current (DC) or pulsed DC source.

在操作中,基板428(如半導體基板)可放置在底座404上,並且處理氣體可從氣體面板430經由入口埠432供應以致力於形成氣體混合物434。下文描述了可在本文描述的一或更多個製程中使用的常見處理氣體。入口埠432可用陶瓷塗層(如HPM)塗佈。氣體混合物434可在處理腔室400中藉由施加來自RF電源414的功率而激勵為電漿436。在處理腔室400的內部容積內的壓力可使用節流閥438及真空泵440控制。在一些實施例中,腔室壁406的溫度可使用行進穿過腔室壁406的含液體管道(未圖示)或者嵌入腔室壁406中(例如,加熱筒或線圈)或纏繞在處理腔室400周圍(例如,加熱器包或帶)的加熱元件控制。In operation, a substrate 428 , such as a semiconductor substrate, may be placed on the pedestal 404 and process gases may be supplied from the gas panel 430 via the inlet port 432 in an effort to form the gas mixture 434 . Common process gases that can be used in one or more of the processes described herein are described below. The inlet port 432 may be coated with a ceramic coating such as HPM. Gas mixture 434 can be excited into plasma 436 in process chamber 400 by applying power from RF power source 414 . The pressure within the interior volume of processing chamber 400 may be controlled using throttle valve 438 and vacuum pump 440 . In some embodiments, the temperature of the chamber wall 406 may be determined using liquid-containing tubing (not shown) running through the chamber wall 406 or embedded in the chamber wall 406 (eg, a heating cartridge or coil) or wrapped around the processing chamber Heating element controls around the chamber 400 (eg, heater packs or strips).

基板428的溫度可藉由穩定底座404的溫度來控制。在一些實施例中,可將來自氣體來源442的氦(He)氣體經由氣體管道444提供到在基板428下方的底座表面中形成的通道(未圖示)。氦氣可促進在底座404與基板428之間的熱傳遞。在處理期間,可將底座404加熱至穩態溫度,並且隨後氦氣可促進基板428的均勻加熱。底座可藉由加熱元件(未圖示)如此加熱,該加熱元件諸如嵌入底座404內的電阻式加熱器、或通常瞄準其上的底座404或基板428的燈。使用此種熱控制,可將基板428維持在約攝氏20度至攝氏350度(℃)之間的溫度下。The temperature of the substrate 428 can be controlled by stabilizing the temperature of the base 404 . In some embodiments, helium (He) gas from gas source 442 may be provided via gas conduit 444 to a channel (not shown) formed in the base surface below substrate 428 . Helium can facilitate heat transfer between the base 404 and the substrate 428 . During processing, the pedestal 404 can be heated to a steady state temperature, and then the helium gas can promote uniform heating of the substrate 428 . The base may be so heated by a heating element (not shown), such as a resistive heater embedded within base 404, or a lamp typically aimed at base 404 or substrate 428 thereon. Using such thermal control, the substrate 428 can be maintained at a temperature between about 20 degrees Celsius and 350 degrees Celsius (°C).

為了允許控制如本文描述的處理腔室400的部件,可提供控制器446。控制器446可包含中央處理單元(central processing unit; CPU) 448、記憶體450、及用於CPU 448的支援電路452。控制器446可與RF電源414、開關420、偵測器422、及偏置電源426對接。To allow control of the components of processing chamber 400 as described herein, a controller 446 may be provided. The controller 446 may include a central processing unit (CPU) 448 , memory 450 , and support circuits 452 for the CPU 448 . Controller 446 may interface with RF power supply 414 , switch 420 , detector 422 , and bias power supply 426 .

控制器446可係任何適宜類型的通用電腦處理器,該通用電腦處理器可在用於控制各個腔室及子處理器的工業設置中使用。記憶體450、或用於CPU 448的其他電腦可讀取媒體可係任何容易獲得的記憶體形式的一或更多個,如隨機存取記憶體(random access memory; RAM)、唯讀記憶體(read only memory; ROM)、軟碟、硬碟、或任何其他形式的數位儲存器(本端或遠端)。支援電路452可耦合到CPU 448,以致力於以習知方式支援處理器。此等電路可包括快取記憶體、電源供應器、時鐘電路、輸入/輸出(I/O)電路系統及子系統、及類似者。針對一些實施例,本文揭示的用於激勵及維持電漿的技術可儲存在記憶體450中作為軟體常式。軟體常式亦可由第二CPU(未圖示)儲存及/或執行,該第二CPU位於由CPU 448控制的硬體遠端。Controller 446 may be any suitable type of general-purpose computer processor that can be used in an industrial setting for controlling the various chambers and sub-processors. Memory 450, or other computer-readable media for CPU 448, may be one or more of any readily available form of memory, such as random access memory (RAM), read only memory (read only memory; ROM), floppy disk, hard disk, or any other form of digital storage (local or remote). Support circuitry 452 may be coupled to CPU 448 in an effort to support the processor in a conventional manner. Such circuits may include cache memory, power supplies, clock circuits, input/output (I/O) circuitry and subsystems, and the like. For some embodiments, the techniques disclosed herein for energizing and maintaining plasma may be stored in memory 450 as software routines. Software routines may also be stored and/or executed by a second CPU (not shown) remotely located in hardware controlled by CPU 448 .

根據本揭示案的一些實施例,熱或電漿氫化製程隨後係電漿氮化製程,後文稱為「連續氫化/氮化製程」,在基板上執行熱退火之前及/或之後在基板上執行。連續氫化/氮化製程可包括電容耦合電漿製程或感應耦合電漿製程。在一些實施例中,用於氫化/氮化製程的電漿可在處理腔室400外部的遠端電漿源中形成,並且在其他實施例中,用於電漿製程的電漿可原位形成,亦即,在處理腔室400中形成。氫化及氮化可在相同步驟中執行,後文稱為「單步電漿氫化及氮化製程」。在一些實施例中,用於單步電漿氫化及氮化製程的電漿可在處理腔室400外部的遠端電漿源中形成,並且在其他實施例中,用於電漿製程的電漿可原位形成,亦即,在處理腔室400中形成。According to some embodiments of the present disclosure, the thermal or plasma hydrogenation process is followed by a plasma nitridation process, hereinafter referred to as a "continuous hydrogenation/nitridation process," on the substrate before and/or after thermal annealing is performed on the substrate implement. The continuous hydrogenation/nitridation process may include a capacitively coupled plasma process or an inductively coupled plasma process. In some embodiments, the plasma for the hydrogenation/nitridation process can be formed in a remote plasma source external to the processing chamber 400, and in other embodiments, the plasma for the plasma process can be formed in situ formed, that is, formed in the processing chamber 400 . Hydrogenation and nitridation may be performed in the same step, hereinafter referred to as "single-step plasma hydrogenation and nitridation process". In some embodiments, the plasma used for the single-step plasma hydrogenation and nitridation process can be formed in a remote plasma source outside the processing chamber 400, and in other embodiments, the plasma used for the plasma process The slurry may be formed in situ, that is, in the processing chamber 400 .

在電漿氫化製程中,電漿激發的H自由基及/或離子與主體O原子211及/或表面O原子212反應以產生空位213。在熱氫化製程的情況下,解離的H原子與主體O原子211及/或表面O原子212反應以產生空位213。在氮化製程中,N自由基及/或離子佔據空位213。In the plasma hydrogenation process, plasma excited H radicals and/or ions react with host O atoms 211 and/or surface O atoms 212 to generate vacancies 213 . In the case of the thermal hydrogenation process, the dissociated H atoms react with host O atoms 211 and/or surface O atoms 212 to generate vacancies 213 . During the nitridation process, N radicals and/or ions occupy the vacancies 213 .

注意到,在電漿氫化製程期間,歸因於存在H原子,如解離的H原子、H自由基、及/或H離子,在處理腔室400內的處理環境通常包括相對低的較低濃度的O原子。因此,與在氮化製程期間在處理腔室400內的處理環境或在沉積金屬氮化物層期間在處理腔室內的處理環境相比,在電漿氫化製程期間在處理腔室400內的處理環境可包括較低濃度的O原子。然而,對於氫化或氮化二者,較低濃度的O原子通常係有利的。因此,在一些實施例中,在電漿氫化製程及/或氮化製程之前,處理腔室可用電漿製程(如H2 製程)調節,以去除任何痕量的O物種。Note that during the plasma hydrogenation process, the processing environment within processing chamber 400 typically includes relatively low lower concentrations due to the presence of H atoms, such as dissociated H atoms, H radicals, and/or H ions the O atom. Thus, the processing environment within the processing chamber 400 during the plasma hydrogenation process is compared to the processing environment within the processing chamber 400 during the nitridation process or within the processing chamber during deposition of the metal nitride layer Lower concentrations of O atoms may be included. However, for both hydrogenation or nitridation, lower concentrations of O atoms are generally advantageous. Thus, in some embodiments, the processing chamber may be conditioned with a plasma process (eg, H2 process) to remove any traces of O species prior to the plasma hydrogenation process and/or the nitridation process.

當待用本文描述的氫化/氮化製程或單步電漿氫化及氮化製程處理的金屬氮化物層係具有約200 Å或更小的厚度的薄膜時,ICP製程通常較不易於在氫化或氮化期間破壞金屬氮化物層。具體地,在ICP製程中,電漿鞘通常小於在CCP腔室中的電漿鞘,並且由此進行穿過其中的離子通常具有成比例較小的能量,例如,在10倍ev的數量級上,諸如10至20 eV。相比之下,在CCP腔室中的離子通常具有在100倍eV的數量級上的能量(例如,>200-400 eV),並且隨後可產生對金屬氮化物層的顯著破壞。此外,歸因於較高密度的離子、自由基、及通常在ICP處理腔室中並且相對於CCP及在其他類型的處理腔室中使用的遠端電漿源靠近基板形成的其他電漿激發物種,與藉由使用CCP或遠端電漿製程相比,ICP製程可提供從金屬氮化物層的更多氧去除。相比之下,來自CCP及遠端電漿源的自由基的濃度係相對低的。When the metal nitride layer to be treated with the hydrogenation/nitridation process described herein or the single-step plasma hydrogenation and nitridation process is a thin film with a thickness of about 200 Å or less, the ICP process is generally less prone to hydrogenation or nitridation. The metal nitride layer is destroyed during nitridation. Specifically, in ICP processes, the plasmonic sheath is typically smaller than that in a CCP chamber, and thus the ions passing through it typically have proportionally smaller energies, eg, on the order of 10 times eV , such as 10 to 20 eV. In contrast, ions in a CCP chamber typically have energies on the order of 100 times eV (eg, >200-400 eV) and can subsequently cause significant damage to the metal nitride layer. Additionally, due to higher densities of ions, radicals, and other plasma excitations typically formed in ICP processing chambers and close to the substrate relative to remote plasma sources used in CCP and other types of processing chambers Species, the ICP process can provide more oxygen removal from the metal nitride layer than by using CCP or remote plasma processes. In contrast, the concentrations of free radicals from the CCP and remote plasma sources were relatively low.

在其中原位形成用於電漿製程的電漿的實施例中,電漿可經由感應線圈元件410、第一阻抗匹配網路412、RF電源414形成,並且在一些實施例中,經由第二阻抗匹配網路424及偏置電源426形成。在此種實施例中,電漿製程可包括將一或更多種處理氣體引入處理腔室400中,該處理氣體經選擇為產生某些電漿物種(亦即,離子、中性原子、及/或自由基)。更具體地,在電漿氫化製程的情況下,一或更多種處理氣體經選擇為產生電漿激發的氫物種,而在電漿氮化製程的情況下,一或更多種處理氣體經選擇為產生電漿激發的氮物種。因此,針對電漿氫化製程,一或更多種處理氣體可包括氫(H2 )、及/或D2 ,並且針對電漿氮化製程,一或更多種處理氣體可包括氮(N2 )或氨(NH3 )。替代或額外地,電漿製程可包括將一或更多種載體及/或惰性氣體(如氬(Ar))引入處理腔室400中。針對單步電漿氫化及氮化製程,一或更多種處理氣體可包括氫(H2 )、D2 、氮(N2 )、氨(NH3 )、或肼(N2 H4 )。In embodiments in which the plasma for the plasma process is formed in situ, the plasma may be formed via the induction coil element 410, the first impedance matching network 412, the RF power supply 414, and in some embodiments, via the second An impedance matching network 424 and a bias power supply 426 are formed. In such an embodiment, the plasma process may include introducing into the process chamber 400 one or more process gases selected to generate certain plasma species (ie, ions, neutral atoms, and / or free radicals). More specifically, in the case of plasma hydrogenation processes, one or more process gases are selected to produce plasma excited hydrogen species, while in the case of plasma nitridation processes, one or more process gases are selected to produce plasma excited hydrogen species. Nitrogen species selected to generate plasmonic excitation. Thus, for plasma hydrogenation processes, one or more process gases may include hydrogen ( H2 ), and/or D2, and for plasma nitridation processes, one or more process gases may include nitrogen ( N2 ) ) or ammonia (NH 3 ). Alternatively or additionally, the plasma process may include introducing one or more carriers and/or inert gases, such as argon (Ar), into the processing chamber 400 . For single-step plasma hydrogenation and nitridation processes, the one or more process gases may include hydrogen ( H2 ), D2, nitrogen ( N2 ) , ammonia ( NH3 ), or hydrazine ( N2H4 ).

在一些實施例中,電漿氫化製程主要包括形成電漿,該電漿包括基本上由氫(H2 )組成的處理氣體,該處理氣體形成由電漿提供的反應物種。將注意到,與使用含H2 的處理氣體的熱氫化製程相比,使用電漿(例如,感應耦合電漿)(該電漿使用H2 形成)形成含氫物種將具有顯著更多的含氫自由基及離子,因此改良電漿氫化製程的有效性,並且減少當使用不純含氫反應氣體時發現的不期望反應。In some embodiments, the plasma hydrogenation process consists essentially of forming a plasma including a process gas consisting essentially of hydrogen (H 2 ) that forms reactive species provided by the plasma. It will be noted that the formation of hydrogen-containing species using a plasma (eg, inductively coupled plasma ) formed using H2 will have significantly more hydrogen radicals and ions, thus improving the effectiveness of the plasma hydrogenation process and reducing undesired reactions found when impure hydrogen-containing reaction gases are used.

在一些實施例中,一或更多種處理氣體藉由RF電源(如RF電源414)激勵。RF功率可在2%至70%的工作循環下脈衝,並且可從約100 W至約2500 W變化。RF功率可係從約100 W至約2500 W變化的連續波。處理腔室可具有在電漿製程期間從約10 mTorr至約200 mTorr變化的腔室壓力,而處理溫度(例如,底座404的溫度)可從20℃至約500℃變化。In some embodiments, the one or more process gases are energized by an RF power source, such as RF power source 414 . The RF power can be pulsed at 2% to 70% duty cycle and can vary from about 100 W to about 2500 W. The RF power may be a continuous wave varying from about 100 W to about 2500 W. The processing chamber can have a chamber pressure that varies from about 10 mTorr to about 200 mTorr during the plasma process, while the processing temperature (eg, the temperature of the pedestal 404 ) can vary from 20°C to about 500°C.

在示例性實施例中,在約400℃與約500℃之間的處理溫度下、在約5 mTorr與約20 mTorr之間的腔室壓力下、在約1000 W與約2000 W之間的RF功率下、及在約175 V與約250 V之間的偏置電壓下,其中H2 流量在約20 sccm與約40 sccm之間及Ar流量在約400 sccm與約500 sccm之間,執行電漿氫化製程達約50秒與約300秒之間的持續時間。由處理腔室400內部的電漿產生的電漿激發的氫物種可減少在部分形成的導電結構(例如,導電結構100)的金屬氮化物層(例如,金屬氮化物層103)的已暴露表面上存在的一些或所有氧化物。在一些實施例中,電漿激發的氫物種亦可減少在導電結構的金屬氮化物層(如導電結構100的第一金屬層102)或其他金屬層的主體材料中存在的一些或所有O原子。上文結合第2D圖及第3B圖描述了O原子的此種減少。In an exemplary embodiment, at a process temperature between about 400°C and about 500°C, at a chamber pressure between about 5 mTorr and about 20 mTorr, at an RF between about 1000 W and about 2000 W At power, and at a bias voltage of between about 175 V and about 250 V, with a H flow between about 20 sccm and about 40 sccm and an Ar flow between about 400 sccm and about 500 sccm, electroporation was performed. The slurry hydrogenation process is for a duration of between about 50 seconds and about 300 seconds. Plasma-excited hydrogen species generated by the plasma inside processing chamber 400 may reduce exposed surfaces of metal nitride layers (eg, metal nitride layer 103 ) in partially formed conductive structures (eg, conductive structure 100 ) some or all oxides present on it. In some embodiments, the plasma excited hydrogen species may also reduce some or all O atoms present in the host material of the metal nitride layer of the conductive structure (eg, the first metal layer 102 of the conductive structure 100 ) or other metal layers . This reduction of O atoms is described above in connection with Figures 2D and 3B.

在另一示例性實施例中,在約400℃與約500℃之間的處理溫度下、在約5 mTorr與約25 mTorr之間的腔室壓力下、在約1000 W與約2000 W之間的RF功率下、及在約175 V與約250 V之間的偏置壓力下,其中NH3 流量在約20 sccm與約40 sccm之間、N2 流量在約400 sccm與約600 sccm之間,並且Ar流量在約400 sccm與約500 sccm之間,執行電漿氮化製程達約50秒與約300秒之間的持續時間。由處理腔室400內部的電漿產生的電漿激發的氮物種可使部分形成的導電結構的金屬氮化物層的已暴露表面(例如,金屬氮化物層103的表面201)飽和。在一些實施例中,電漿激發的氮物種亦可填充在導電結構的金屬氮化物層或其他金屬層的主體材料中存在的空位。上文結合第2E圖及第3C圖描述了此種氮化。In another exemplary embodiment, at a process temperature between about 400°C and about 500°C, at a chamber pressure between about 5 mTorr and about 25 mTorr, between about 1000 W and about 2000 W At a RF power of about 175 V and about 250 V at a bias pressure of between about 175 V and about 250 V, with a flow rate of NH between about 20 sccm and about 40 sccm and a flow of N between about 400 sccm and about 600 sccm , and the Ar flow is between about 400 sccm and about 500 sccm, and the plasma nitridation process is performed for a duration of between about 50 seconds and about 300 seconds. Plasma-excited nitrogen species generated by the plasma inside processing chamber 400 can saturate exposed surfaces of the metal nitride layer (eg, surface 201 of metal nitride layer 103 ) of the partially formed conductive structure. In some embodiments, plasma excited nitrogen species may also fill vacancies present in the host material of the metal nitride layer or other metal layer of the conductive structure. Such nitridation is described above in connection with Figures 2E and 3C.

在一些實施例中,在約10 mTorr與約100 mTorr之間的腔室壓力下、在約350℃與約500℃之間的處理溫度(如基板底座溫度)下,其中RF功率在約300 W與約2000 W之間,NH3 的流率在約5 sccm與約100 sccm之間,N2 的流率在約50 sccm與約1000 sccm之間,氦(He)流率在約1至約1000 sccm之間,執行單步電漿氫化及氮化製程達約30秒與約150秒的持續時間,並且施加基板偏壓,其中頻率從約2 MHz至約160 MHz,並且偏壓功率在約0 kW與約10 kW之間。In some embodiments, the RF power is at about 300 W at a chamber pressure between about 10 mTorr and about 100 mTorr, at a processing temperature (eg, substrate pedestal temperature) between about 350°C and about 500°C and about 2000 W, the flow rate of NH3 is between about 5 sccm and about 100 sccm, the flow rate of N2 is between about 50 sccm and about 1000 sccm, and the flow rate of helium (He) is between about 1 and about 1000 sccm. Between 1000 sccm, a single-step plasma hydrogenation and nitridation process was performed for a duration of about 30 seconds and about 150 seconds, and a substrate bias was applied with a frequency from about 2 MHz to about 160 MHz and a bias power at about Between 0 kW and about 10 kW.

在一些實施例中,在約15 mTorr與約25 mTorr之間的腔室壓力下、在約350℃與約500℃之間的處理溫度下,其中RF功率在約300 W與約1600 W之間、NH3 的流率在約10 sccm與約40 sccm之間、N2 的流率在約200 sccm至約550 sccm之間、Ar的流率從約200 sccm至約550 sccm,執行單步電漿氫化及氮化製程達約85秒與約95秒之間的持續時間,並且不施加基板偏壓功率。In some embodiments, at a chamber pressure between about 15 mTorr and about 25 mTorr, at a process temperature between about 350°C and about 500°C, wherein the RF power is between about 300 W and about 1600 W , a flow rate of NH 3 between about 10 sccm and about 40 sccm, a flow rate of N 2 between about 200 sccm and about 550 sccm, and a flow rate of Ar from about 200 sccm to about 550 sccm. The slurry hydrogenation and nitridation process was performed for a duration of between about 85 seconds and about 95 seconds, and no substrate bias power was applied.

在其中遠端地形成用於電漿製程的電漿的實施例中,電漿可經由任何技術上可行的遠端電漿源來形成。在此種實施例中,電漿製程可包括將一或更多種處理氣體引入遠端電漿源中,該處理氣體經選擇為產生電漿激發的氫物種或電漿激發的氮物種。替代或額外地,遠端電漿製程可包括將一或更多種載體及/或惰性氣體(如氬(Ar))引入遠端電漿源中。遠端產生的電漿物種隨後流入處理腔室400中,並且處理在處理腔室400中設置的基板上形成的導電結構的金屬氮化物層。如上文描述,取決於電漿物種是電漿激發的氫物種還是電漿激發的氮物種,減少在金屬氮化物層中的界面及主體O原子,或者增強金屬氮化物層的氮化。In embodiments in which the plasma for the plasma process is formed remotely, the plasma may be formed via any technically feasible remote plasma source. In such an embodiment, the plasma process may include introducing one or more process gases into a remote plasma source, the process gases being selected to produce a plasma-excited hydrogen species or a plasma-excited nitrogen species. Alternatively or additionally, the remote plasma process may include introducing one or more carriers and/or inert gases, such as argon (Ar), into the remote plasma source. The remotely generated plasma species then flow into the processing chamber 400 and process the metal nitride layer of the conductive structure formed on the substrate disposed in the processing chamber 400 . As described above, depending on whether the plasmonic species is a plasmonic excited hydrogen species or a plasma excited nitrogen species, interfacial and host O atoms in the metal nitride layer are reduced or nitridation of the metal nitride layer is enhanced.

在一些實施例中,與電漿氫化製程不同,可採用熱氫化製程以將金屬氮化物層暴露於氫原子。在此種實施例中,熱氫化製程通常在高溫(例如在約500℃與約650℃之間)下發生。在此種高溫下,H2 氣體解離為單獨的原子,該等原子可隨後與金屬氮化物層103中的O原子反應,並且產生空位213。此外,在此種實施例中,熱氫化製程通常在與處理腔室400不同的處理腔室中執行。例如,在一些實施例中,熱氫化製程在快速熱處理腔室中執行。在此種實施例中,矽化製程可與熱氫化製程同時執行,由此消除後續退火製程。In some embodiments, as opposed to a plasma hydrogenation process, a thermal hydrogenation process may be employed to expose the metal nitride layer to hydrogen atoms. In such embodiments, the thermal hydrogenation process typically occurs at elevated temperatures (eg, between about 500°C and about 650°C). At such high temperatures, the H 2 gas dissociates into individual atoms, which can then react with O atoms in the metal nitride layer 103 and create vacancies 213 . Furthermore, in such embodiments, the thermal hydrogenation process is typically performed in a different processing chamber than processing chamber 400 . For example, in some embodiments, the thermal hydrogenation process is performed in a rapid thermal processing chamber. In such an embodiment, the silicidation process can be performed concurrently with the thermal hydrogenation process, thereby eliminating the subsequent annealing process.

在其中採用熱退火製程以將金屬氮化物層暴露於氫原子的實施例中,在沒有空斷的情況下執行電漿氮化製程,該空斷將金屬氮化物層103暴露於空氣。例如,在此種實施例中,多腔室處理系統的一個腔室可經配置為執行熱氫化製程,並且同一多腔室處理系統的另一腔室可經配置為執行電漿氮化製程。因此,其上形成金屬氮化物層103的基板可經歷熱氫化製程,隨後在不暴露於空氣的情況下直接傳遞到電漿氮化腔室。In embodiments in which a thermal annealing process is employed to expose the metal nitride layer to hydrogen atoms, the plasma nitridation process is performed without air breaks that expose the metal nitride layer 103 to air. For example, in such an embodiment, one chamber of a multi-chamber processing system may be configured to perform a thermal hydrogenation process, and another chamber of the same multi-chamber processing system may be configured to perform a plasma nitridation process . Therefore, the substrate on which the metal nitride layer 103 is formed can undergo a thermal hydrogenation process and then directly transfer to a plasma nitridation chamber without exposure to air.

第5圖係經配置為實施本揭示案的一或更多個態樣的多腔室處理系統500的俯視平面圖。多腔室處理系統500經配置為在獨立基板(如矽晶圓)上執行一或更多個製造製程,用於形成半導體元件。多腔室處理系統500包括一些或所有傳遞腔室506、緩衝腔室508、單晶圓裝載閘510及512、處理腔室514、516、518、520、522、及524、預熱腔室523及525、及機器人526及528。單晶圓裝載閘510及512可包括加熱元件513並且附接到緩衝腔室508。處理腔室514、516、518、及520附接到傳遞腔室506。處理腔室522及524附接到緩衝腔室508。多腔室處理系統500的操作由電腦系統530控制。電腦系統530可係經配置為實施本文提供的本發明操作的任何元件或元件組合。因此,電腦系統530可係控制器或控制器陣列及/或經配置有軟體的通用電腦,當執行該軟體時,該軟體執行本發明的操作。適宜的多腔室處理系統500的一個實例係由美國加州聖克拉拉市應用材料公司製造的Endura® RTM CL系統。5 is a top plan view of a multi-chamber processing system 500 configured to implement one or more aspects of the present disclosure. The multi-chamber processing system 500 is configured to perform one or more fabrication processes on separate substrates, such as silicon wafers, for forming semiconductor devices. Multi-chamber processing system 500 includes some or all of transfer chamber 506 , buffer chamber 508 , single wafer load gates 510 and 512 , processing chambers 514 , 516 , 518 , 520 , 522 , and 524 , preheat chamber 523 and 525, and robots 526 and 528. Single wafer load gates 510 and 512 may include heating elements 513 and be attached to buffer chamber 508 . Process chambers 514 , 516 , 518 , and 520 are attached to transfer chamber 506 . Process chambers 522 and 524 are attached to buffer chamber 508 . The operation of multi-chamber processing system 500 is controlled by computer system 530 . Computer system 530 may be configured to implement any element or combination of elements of the operations of the invention provided herein. Thus, computer system 530 may be a controller or array of controllers and/or a general-purpose computer configured with software that, when executed, performs the operations of the present invention. An example of a suitable multi-chamber processing system 500 is the Endura® RTM CL system manufactured by Applied Materials, Inc. of Santa Clara, California, USA.

處理腔室514、516、518、520、522、及524的每一者可經配置為在半導體元件中製造導電結構(如用於場效電晶體(field-effect transistor; FET)的接觸結構)時執行一或更多個處理步驟。更具體地,處理腔室514、516、518、520、522、及524可包括一或更多個金屬沉積腔室、表面清潔及製備腔室、熱退火及/或熱氫化腔室、及電漿氫化/氮化腔室。Each of the processing chambers 514, 516, 518, 520, 522, and 524 may be configured to fabricate conductive structures in semiconductor devices (eg, contact structures for field-effect transistors (FETs)) to perform one or more processing steps. More specifically, processing chambers 514, 516, 518, 520, 522, and 524 may include one or more metal deposition chambers, surface cleaning and preparation chambers, thermal annealing and/or thermal hydrogenation chambers, and electrical Slurry hydrogenation/nitridation chamber.

例如,針對包括在矽源極或汲極結構上形成的Ti-TiN-Co堆疊的接觸結構,在一些實施例中,多腔室處理系統500可經配置為在此種導電結構的製造製程中連續執行數個處理步驟。在此種實施例中,處理腔室514可經配置為在矽源極或汲極結構的已暴露表面上執行表面清潔及製備製程,處理腔室516可經配置為在製備的矽源極或汲極結構上連續沉積Ti及TiN層,處理腔室522及/或524可經配置為藉由在Ti/TiN層及源極/或汲極結構上執行快速熱處理(rapid thermal processing; RTP)或其他熱退火製程來形成矽化物,處理腔室518可經配置為在退火的Ti/TiN層上沉積Co覆蓋層,並且處理腔室520可經配置為在熱退火製程之前或之後執行氫化製程及隨後的氮化製程。因此,在此種實施例中,在不發生空斷及所得的一或更多層接觸結構的不期望氧化的情況下,可形成完整的接觸結構。For example, for contact structures including Ti-TiN-Co stacks formed on silicon source or drain structures, in some embodiments, the multi-chamber processing system 500 may be configured to perform the fabrication process of such conductive structures Several processing steps are performed consecutively. In such an embodiment, the processing chamber 514 may be configured to perform surface cleaning and fabrication processes on the exposed surfaces of the silicon source or drain structures, and the processing chamber 516 may be configured to perform a surface cleaning and fabrication process on the fabricated silicon source or drain structures. With successive deposition of Ti and TiN layers on the drain structure, processing chambers 522 and/or 524 may be configured by performing rapid thermal processing (RTP) or Other thermal annealing processes to form silicides, processing chamber 518 can be configured to deposit a Co capping layer on the annealed Ti/TiN layer, and processing chamber 520 can be configured to perform a hydrogenation process before or after the thermal annealing process and subsequent nitridation process. Thus, in such embodiments, complete contact structures may be formed without void breaks and undesired oxidation of the resulting one or more layers of contact structures.

在替代實施例中,並非用於形成完整接觸結構的所有處理步驟皆在單個多腔室處理系統500上執行。例如,在一些實施例中,多腔室處理系統500可包括金屬沉積處理腔室,而熱退火矽化製程可在不同的基板處理系統上執行。在此種實施例中,在熱退火製程之前發生空斷,並且已知此種空斷可增加O原子在金屬氮化物層的界面表面及在接觸結構的金屬氮化物層的主體材料中的存在。然而,在空斷之前,由於多腔室處理系統500可配置有金屬沉積腔室及一或更多個電漿處理腔室二者,可執行連續電漿(或熱)氫化/電漿氮化製程或單步電漿氫化及氮化製程。因此,多腔室處理系統500可經配置為在沉積第一金屬層102及金屬氮化物層103之後但在從多腔室處理系統500移除基板並且將基板暴露於空氣之前在基板上執行連續氫化/氮化製程或單步電漿氫化及氮化製程。如上文論述,在空斷之前的金屬氮化物層103的已暴露表面的氮化可大幅度減少已暴露表面在空斷期間並且在後續熱退火製程期間的氧化。In alternative embodiments, not all processing steps for forming a complete contact structure are performed on a single multi-chamber processing system 500 . For example, in some embodiments, multi-chamber processing system 500 may include metal deposition processing chambers, while thermal annealing silicidation processes may be performed on different substrate processing systems. In such embodiments, void breaks occur prior to the thermal annealing process and are known to increase the presence of O atoms at the interface surface of the metal nitride layer and in the bulk material of the metal nitride layer of the contact structure . However, since the multi-chamber processing system 500 may be configured with both a metal deposition chamber and one or more plasma processing chambers, continuous plasma (or thermal) hydrogenation/plasma nitridation may be performed prior to air break process or single-step plasma hydrogenation and nitridation process. Thus, the multi-chamber processing system 500 can be configured to perform continuous sequential operations on the substrate after depositing the first metal layer 102 and the metal nitride layer 103 but before removing the substrate from the multi-chamber processing system 500 and exposing the substrate to air Hydrogenation/nitridation process or single-step plasma hydrogenation and nitridation process. As discussed above, nitridation of the exposed surface of the metal nitride layer 103 prior to air-break can substantially reduce oxidation of the exposed surface during air-break and during subsequent thermal annealing processes.

在一些實施例中,多腔室處理系統500可包括一或更多個熱退火及電漿處理腔室。在此種實施例中,連續氫化及氮化製程或單步電漿氫化及氮化製程可在熱退火製程之後執行,由此去除藉由預退火空斷並且藉由熱退火製程自身而引入的O原子。通常,歸因於在熱處理期間處理部件(例如,密封件、處理套組部件、泵等)達到的高溫,熱退火製程不能維持大部分先進元件節點所需的期望低氧位準。In some embodiments, the multi-chamber processing system 500 may include one or more thermal annealing and plasma processing chambers. In such an embodiment, a continuous hydrogenation and nitridation process or a single-step plasma hydrogenation and nitridation process may be performed after the thermal annealing process, thereby removing the voids introduced by the pre-annealing process and by the thermal annealing process itself O atom. Typically, thermal annealing processes cannot maintain the desired low oxygen levels required for most advanced device nodes due to the high temperatures reached during thermal processing of process components (eg, seals, process kit components, pumps, etc.).

替代或額外地,連續氫化/氮化製程或單步電漿氫化及氮化製程可在熱退火製程之前執行。因此,在此種實施例中,即使在沉積金屬氮化物層103之後並且在熱退火製程之前不發生空斷,界面O原子及在金屬氮化物層的主體部分中存在的O原子亦可在執行熱退火製程之前減少或消除。由此,在一些配置中,連續氫化及電漿氮化製程或單步電漿氫化及氮化製程可在熱退火製程之前並且亦在熱退火製程之後但在空斷發生之前執行。Alternatively or additionally, a continuous hydrogenation/nitridation process or a single-step plasma hydrogenation and nitridation process may be performed before the thermal annealing process. Therefore, in such an embodiment, even if void breaks do not occur after the deposition of the metal nitride layer 103 and before the thermal annealing process, the interfacial O atoms and the O atoms present in the bulk portion of the metal nitride layer can be performed Reduced or eliminated before the thermal annealing process. Thus, in some configurations, a continuous hydrogenation and plasma nitridation process or a single-step plasma hydrogenation and nitridation process may be performed before the thermal annealing process and also after the thermal annealing process but before voids occur.

在一些實施例中,多腔室處理系統500可包括經配置為沉積覆蓋層104及/或導電層106的一或更多個金屬沉積腔室及一或更多個電漿處理腔室以執行連續氫化及氮化製程或單步電漿氫化及氮化製程。在此種實施例中,連續氫化及氮化製程或單步電漿氫化及氮化製程可在導電結構中沉積覆蓋層之前執行,由此去除由空斷及由用於形成矽化物105的熱退火製程引入的界面及主體O原子。注意到,在此種實施例中,在連續氫化及氮化製程與沉積覆蓋層104及/或導電層106之間不發生空斷。因此,在此種實施例中,當在熱退火製程與沉積覆蓋層104之間發生空斷時,可減少或消除界面O原子及在金屬氮化物層的主體部分中存在的O原子。減少接觸結構中的主體及界面氧 In some embodiments, multi-chamber processing system 500 may include one or more metal deposition chambers and one or more plasma processing chambers configured to deposit capping layer 104 and/or conductive layer 106 to perform Continuous hydrogenation and nitridation process or single-step plasma hydrogenation and nitridation process. In such an embodiment, a continuous hydrogenation and nitridation process or a single-step plasma hydrogenation and nitridation process may be performed prior to depositing a capping layer in the conductive structure, thereby removing the heat from the air gap and the heat used to form the silicide 105 Interface and host O atoms introduced by the annealing process. Note that in such embodiments, no voids occur between the continuous hydrogenation and nitridation process and the deposition of capping layer 104 and/or conductive layer 106 . Thus, in such embodiments, interfacial O atoms and O atoms present in the bulk portion of the metal nitride layer may be reduced or eliminated when void breaks occur between the thermal annealing process and the deposition of capping layer 104 . Reduces bulk and interfacial oxygen in contact structures

第6圖闡明了根據本揭示案的一些實施例的用於減少接觸結構中的主體及界面氧的處理步驟的流程圖。第7A圖至第7E圖係根據本揭示案的各個實施例的對應於第6圖的製程的不同階段的半導體元件的示意性橫截面圖。儘管第7A圖至第7E圖示出了如選擇性沉積(例如,如第1圖所示,層不在孔109上方保形地形成)的填充孔109的第一金屬層102、金屬氮化物層103及覆蓋層104,此不意欲限制為本文所描述的揭示內容的範疇,並且因此第一金屬層102、金屬氮化物層103及覆蓋層104可選擇性形成或非選擇性形成,並且包括一或更多個額外層。Figure 6 illustrates a flow diagram of process steps for reducing bulk and interfacial oxygen in contact structures in accordance with some embodiments of the present disclosure. FIGS. 7A-7E are schematic cross-sectional views of a semiconductor device corresponding to different stages of the process of FIG. 6 according to various embodiments of the present disclosure. Although FIGS. 7A-7E show the first metal layer 102 , the metal nitride layer filling the hole 109 as selectively deposited (eg, the layer is not formed conformally over the hole 109 as shown in FIG. 1 ) 103 and capping layer 104, which are not intended to limit the scope of the disclosure described herein, and thus the first metal layer 102, metal nitride layer 103, and capping layer 104 may be selectively formed or non-selectively formed, and include a or more additional layers.

在步驟601之前,清潔製程或其他表面製備製程可在半導體基板的表面上執行,在該表面上將形成觸點,如在第7A圖中的源極或汲極結構101的已暴露表面701。在一些實施例中,可執行乾式蝕刻製程以去除基板701的原始氧化物。例如,可執行習知電漿蝕刻、或遠端電漿輔助的乾式蝕刻製程,如可獲自位於美國聖克拉拉市的應用材料公司的SiCoNiTM 蝕刻製程。在SiCoNiTM 蝕刻製程中,將其上待形成觸點的半導體基板的表面暴露於H2 、NF3 、及/或NH3 電漿物種,例如,電漿激發的氫及氟物種。例如,在一些實施例中,此種表面可經歷同時暴露於H2 、NF3 、及NH3 電漿。SiCoNiTM 蝕刻製程可在SiCoNiTM 預清潔腔室中執行,該預清潔腔室可整合到各種多處理平臺的一個中,包括可獲自應用材料公司的ProducerTM GT、CenturaTM AP及Endura平臺。Prior to step 601, a cleaning process or other surface preparation process may be performed on the surface of the semiconductor substrate on which contacts will be formed, such as the exposed surface 701 of the source or drain structure 101 in Figure 7A. In some embodiments, a dry etch process may be performed to remove the original oxide of the substrate 701 . For example, conventional plasma etch, or remote plasma assisted dry etch processes, such as the SiCoNi etch process available from Applied Materials, Inc., Santa Clara, USA, may be performed. In the SiCoNi etch process, the surface of the semiconductor substrate on which contacts are to be formed is exposed to H2 , NF3, and / or NH3 plasma species, eg, plasma excited hydrogen and fluorine species. For example, in some embodiments, such surfaces may undergo simultaneous exposure to H2 , NF3, and NH3 plasmas . The SiCoNi etch process can be performed in a SiCoNi pre-clean chamber, which can be integrated into one of a variety of multiprocessing platforms, including the Producer GT, Centura AP, and Endura platforms available from Applied Materials.

如第7B圖所示,方法600開始於步驟601,其中第一金屬層102及金屬氮化物層103在半導體基板上沉積。例如,在一些實施例中,沉積Ti層,隨後沉積TiN阻障層。可採用任何適宜的PVD、CVD、或ALD製程以執行此種沉積。因此,沉積製程可係選擇性製程或非選擇性沉積製程。在選擇性沉積製程中,第一金屬層102及金屬氮化物層103在表面701上沉積,但不在半導體基板110的其他表面上沉積,而在非選擇性製程中,第一金屬層102及金屬氮化物層103可在半導體基板110的所有未遮蔽的表面上沉積。在一些實施例中,在上文描述的表面製備製程之後,在無空斷的情況下執行步驟601的沉積。亦即,半導體基板不暴露於表面製備製程與步驟601的沉積之間的大氣。在此種實施例中,步驟601的沉積及表面製備製程可各自藉由同一多腔室處理系統(如多腔室處理系統500)上的不同腔室執行。As shown in FIG. 7B, method 600 begins at step 601, wherein a first metal layer 102 and a metal nitride layer 103 are deposited on a semiconductor substrate. For example, in some embodiments, a Ti layer is deposited, followed by a TiN barrier layer. Any suitable PVD, CVD, or ALD process may be employed to perform such deposition. Thus, the deposition process can be a selective process or a non-selective deposition process. In the selective deposition process, the first metal layer 102 and the metal nitride layer 103 are deposited on the surface 701, but not on other surfaces of the semiconductor substrate 110, while in the non-selective process, the first metal layer 102 and the metal The nitride layer 103 may be deposited on all unmasked surfaces of the semiconductor substrate 110 . In some embodiments, the deposition of step 601 is performed without voids after the surface preparation process described above. That is, the semiconductor substrate is not exposed to the atmosphere between the surface preparation process and the deposition of step 601 . In such an embodiment, the deposition and surface preparation processes of step 601 may each be performed by different chambers on the same multi-chamber processing system (eg, multi-chamber processing system 500).

在步驟603中,熱退火製程在半導體基板110上執行,該半導體基板包括第一金屬層102、金屬氮化物層103、及源極或汲極結構101。如第7C圖所示,熱退火製程形成矽化物105。例如,在一些實施例中,達到在約500℃與約600℃之間的峰值溫度的尖端退火製程可在步驟603中執行。或者,可替代地執行任何其他適宜的退火製程以在源極或汲極結構101與步驟601中沉積的第一金屬層102之間形成矽化物105。In step 603 , a thermal annealing process is performed on the semiconductor substrate 110 , and the semiconductor substrate includes the first metal layer 102 , the metal nitride layer 103 , and the source or drain structure 101 . As shown in Figure 7C, the thermal annealing process forms silicide 105. For example, in some embodiments, a tip annealing process to reach a peak temperature between about 500°C and about 600°C may be performed in step 603 . Alternatively, any other suitable annealing process may alternatively be performed to form silicide 105 between source or drain structure 101 and first metal layer 102 deposited in step 601 .

在一些實施例中,用於執行步驟603的腔室可經配置為同一多腔室處理系統的執行步驟601的金屬沉積的腔室。因此,在此種實施例中,在步驟601的金屬沉積之後,在沒有空斷的情況下執行步驟603的熱退火製程,由此進一步減少界面O在金屬氮化物層103的表面702上的存在。然而,出於上文論述的原因,多腔室處理系統的此種構造係不常見的,並且步驟601與步驟603之間通常發生空斷。In some embodiments, the chamber used to perform step 603 may be configured as the chamber of the same multi-chamber processing system where the metal deposition of step 601 is performed. Therefore, in such an embodiment, after the metal deposition in step 601 , the thermal annealing process in step 603 is performed without void breaks, thereby further reducing the presence of interface O on the surface 702 of the metal nitride layer 103 . However, for the reasons discussed above, such configurations of multi-chamber processing systems are uncommon, and a gap between steps 601 and 603 typically occurs.

在步驟604中,連續氫化/電漿氮化製程在金屬氮化物層103的表面702上執行。亦即,如第7D圖所示,將表面702暴露於氫原子並且暴露於電漿激發的氮物種703。在一些實施例中,在步驟604中執行電漿氫化製程,隨後執行電漿氮化製程。在氫化製程係電漿氫化製程的實施例中,電漿氫化製程及電漿氮化製程均可在處理腔室400中執行並且使用上文結合第4圖所描述的處理參數。或者,電漿氫化製程可在多腔室處理系統500的處理腔室514、516、518、520、522、及524的一個中執行,而電漿氮化製程可在處理腔室514、516、518、520、522、及524的另一個中執行。In step 604 , a continuous hydrogenation/plasma nitridation process is performed on the surface 702 of the metal nitride layer 103 . That is, as shown in Figure 7D, surface 702 is exposed to hydrogen atoms and exposed to plasmonic excited nitrogen species 703. In some embodiments, a plasma hydrogenation process is performed in step 604, followed by a plasma nitridation process. In embodiments where the hydrogenation process is a plasma hydrogenation process, both the plasma hydrogenation process and the plasma nitridation process may be performed in the processing chamber 400 and using the processing parameters described above in connection with FIG. 4 . Alternatively, the plasma hydrogenation process may be performed in one of the processing chambers 514, 516, 518, 520, 522, and 524 of the multi-chamber processing system 500, and the plasma nitridation process may be performed in the processing chambers 514, 516, 518, 520, 522, and 524 are performed in the other.

如先前提及,在一些實施例中,經由熱氫化製程將金屬氮化物層103的表面702暴露於氫原子。在此種實施例中,步驟604的熱氫化製程在多腔室處理系統500的處理腔室514、516、518、520、522、及524的一個中執行,例如,經配置為使用H2 氣體作為處理氣體的快速熱處理腔室。此外,在此種實施例中,電漿氮化製程在處理腔室514、516、518、520、522、及524的另一個中執行,如與第4圖中的電漿處理腔室400類似的處理腔室。因此,儘管熱氫化製程及電漿氮化製程各自在不同的處理腔室中執行,此等兩個製程之間不發生空斷。As mentioned previously, in some embodiments, the surface 702 of the metal nitride layer 103 is exposed to hydrogen atoms via a thermal hydrogenation process. In such an embodiment, the thermal hydrogenation process of step 604 is performed in one of the processing chambers 514 , 516 , 518 , 520 , 522 , and 524 of the multi-chamber processing system 500 , eg, configured to use H 2 gas Rapid thermal processing chamber as process gas. Furthermore, in such an embodiment, the plasma nitridation process is performed in another one of the processing chambers 514, 516, 518, 520, 522, and 524, as similar to the plasma processing chamber 400 in FIG. processing chamber. Therefore, although the thermal hydrogenation process and the plasma nitridation process are each performed in different processing chambers, no air gap occurs between these two processes.

在步驟605中,如第7E圖所示,覆蓋層104在退火的第一金屬層102及金屬氮化物層103上沉積。例如,在一個實施例中,金屬覆蓋層係Co層或含鈷合金層。因為在步驟604中去除了可在金屬氮化物層103的表面702上存在的界面O原子,因此改良在覆蓋層104與金屬氮化物層103之間的黏著力,優於在經由習知技術形成的接觸結構中的黏著力。此外,去除金屬氮化物層103內的O原子減小導電結構100的電阻率。In step 605 , as shown in FIG. 7E , a capping layer 104 is deposited on the annealed first metal layer 102 and metal nitride layer 103 . For example, in one embodiment, the metal capping layer is a Co layer or a cobalt-containing alloy layer. Because the interfacial O atoms that may exist on the surface 702 of the metal nitride layer 103 are removed in step 604, the adhesion between the capping layer 104 and the metal nitride layer 103 is improved over that formed by conventional techniques adhesion in the contact structure. Furthermore, removing O atoms within the metal nitride layer 103 reduces the resistivity of the conductive structure 100 .

在一些實施例中,步驟604及605在同一多腔室處理系統上執行,使得在步驟604的連續氫化及氮化製程之後不發生空斷。隨後,避免可在暴露於大氣期間發生的金屬氮化物層103的氧化。在其他實施例中,用於執行步驟604的連續氫化及氮化處理的處理腔室可配置在與用於執行步驟605的處理腔室不同的多腔室處理系統上。注意到,在此種實施例中,步驟604的氮化製程徹底地氮化金屬氮化物層103的表面,由此最小化或以其他方式防止在步驟604與605之間的空斷期間可能發生的氧化。In some embodiments, steps 604 and 605 are performed on the same multi-chamber processing system so that no air breaks occur after the sequential hydrogenation and nitridation processes of step 604 . Subsequently, oxidation of the metal nitride layer 103, which may occur during exposure to the atmosphere, is avoided. In other embodiments, the processing chamber used to perform the continuous hydrogenation and nitridation processing of step 604 may be configured on a different multi-chamber processing system than the processing chamber used to perform step 605 . Note that in such an embodiment, the nitridation process of step 604 completely nitrides the surface of the metal nitride layer 103, thereby minimizing or otherwise preventing the voids that may occur during the voids between steps 604 and 605 Oxidation.

第8圖闡明了根據本揭示案的一些實施例的用於減少接觸結構中的主體及界面氧的處理步驟的流程圖。在步驟801之前,如上文結合第7圖所描述,可執行清潔製程或其他表面製備製程。8 illustrates a flow diagram of process steps for reducing bulk and interfacial oxygen in contact structures in accordance with some embodiments of the present disclosure. Before step 801, a cleaning process or other surface preparation process may be performed as described above in conjunction with FIG. 7 .

方法800開始於步驟801,其中金屬層102及金屬氮化物層103在源極或汲極結構101上沉積。步驟801可實質上類似於方法600中的步驟601。The method 800 begins at step 801 in which a metal layer 102 and a metal nitride layer 103 are deposited on the source or drain structure 101 . Step 801 may be substantially similar to step 601 in method 600 .

在步驟802中,連續氫化/電漿氮化製程在金屬氮化物層103的表面702上執行。亦即,將表面702暴露於氫原子並且暴露於電漿激發的氮物種。步驟802可實質上類似於方法600中的步驟604。然而,注意到,不似步驟604,步驟802的連續氫化/電漿氮化製程是在熱退火製程之前執行。此外,在一些實施例中,步驟802在腔室中執行,該腔室經配置為包括用於執行步驟803的熱退火腔室(如快速熱處理腔室)的多腔室處理系統的部分。在此種實施例中,由於在步驟803的退火製程之前去除此種O原子,在第一金屬層102及步驟801中沉積的金屬氮化物層103內的O原子的影響進一步減小。In step 802 , a continuous hydrogenation/plasma nitridation process is performed on the surface 702 of the metal nitride layer 103 . That is, surface 702 is exposed to hydrogen atoms and to plasmonic excited nitrogen species. Step 802 may be substantially similar to step 604 in method 600 . Note, however, that unlike step 604, the continuous hydrogenation/plasma nitridation process of step 802 is performed before the thermal annealing process. Furthermore, in some embodiments, step 802 is performed in a chamber configured as part of a multi-chamber processing system that includes a thermal annealing chamber (eg, a rapid thermal processing chamber) for performing step 803 . In such an embodiment, since such O atoms are removed before the annealing process of step 803, the influence of O atoms in the first metal layer 102 and the metal nitride layer 103 deposited in step 801 is further reduced.

在步驟803中,熱退火製程在半導體基板110上執行,該半導體基板包括第一金屬層102、金屬氮化物層103、及源極或汲極結構101。步驟803可實質上類似於方法600中的步驟603。或者,在其中在步驟802中發生熱氫化製程的實施例中,熱退火製程在步驟802中執行,並且可跳過步驟803。例如,在一些實施例中,藉此形成矽化物105的熱退火製程在與步驟802的熱氫化製程相同的處理腔室中執行。在此種實施例中,緊接在熱氫化製程之前、或緊接在熱氫化製程之後,熱退火製程可與熱氫化製程同時執行。In step 803 , a thermal annealing process is performed on the semiconductor substrate 110 including the first metal layer 102 , the metal nitride layer 103 , and the source or drain structure 101 . Step 803 may be substantially similar to step 603 in method 600 . Alternatively, in embodiments in which a thermal hydrogenation process occurs in step 802, a thermal annealing process is performed in step 802, and step 803 may be skipped. For example, in some embodiments, the thermal annealing process whereby silicide 105 is formed is performed in the same processing chamber as the thermal hydrogenation process of step 802 . In such an embodiment, the thermal annealing process may be performed concurrently with the thermal hydrogenation process either immediately before the thermal hydrogenation process, or immediately after the thermal hydrogenation process.

在可選步驟804中,電漿處理製程在金屬氮化物層103的表面702上執行。步驟804可實質上類似於方法600中的步驟604。因此,在其中執行步驟804的方法800的實施例中,在步驟803的熱退火製程之前及之後執行連續氫化/氮化製程。在一些實施例中,在步驟804中執行的連續氫化/氮化製程實質上與步驟802中執行的電漿處理製程相同。在其他實施例中,步驟804的連續氫化/氮化製程可與步驟802的連續氫化/氮化製程不同。例如,在步驟802中採用的連續氫化/氮化製程的處理參數可與在步驟804中採用的連續氫化/氮化的處理參數不同。In optional step 804 , a plasma treatment process is performed on surface 702 of metal nitride layer 103 . Step 804 may be substantially similar to step 604 in method 600 . Thus, in embodiments of method 800 in which step 804 is performed, a continuous hydrogenation/nitridation process is performed before and after the thermal annealing process of step 803 . In some embodiments, the continuous hydrogenation/nitridation process performed in step 804 is substantially the same as the plasma treatment process performed in step 802 . In other embodiments, the continuous hydrogenation/nitridation process of step 804 may be different from the continuous hydrogenation/nitridation process of step 802 . For example, the processing parameters for the continuous hydrogenation/nitridation process employed in step 802 may be different from those employed in step 804 for the continuous hydrogenation/nitridation process.

在步驟805中,覆蓋層104及/或導電層106在退火的第一金屬層102及金屬氮化物層103上沉積。步驟805可實質上類似於方法600中的步驟605。類似地,在一些實施例中,步驟804及805可在同一多腔室處理系統上執行,使得在步驟804的電漿處理製程之後不發生空斷。隨後,避免可在暴露於空氣期間發生的金屬氮化物層103的氧化,並且改良在覆蓋層104與金屬氮化物層103之間的黏著力,該黏著力優於經由習知技術形成的接觸結構中的黏著力。In step 805 , capping layer 104 and/or conductive layer 106 are deposited over annealed first metal layer 102 and metal nitride layer 103 . Step 805 may be substantially similar to step 605 in method 600 . Similarly, in some embodiments, steps 804 and 805 may be performed on the same multi-chamber processing system so that no air-off occurs after the plasma processing process of step 804 . Subsequently, oxidation of the metal nitride layer 103, which can occur during exposure to air, is avoided, and the adhesion between the capping layer 104 and the metal nitride layer 103 is improved, which is better than that of contact structures formed by conventional techniques adhesive force in .

第9圖闡明了根據本揭示案的一些實施例的用於減少接觸結構中的主體及界面氧的處理步驟的流程圖。在步驟901之前,如上文結合方法600所描述,可執行清潔製程或其他表面製備製程。如圖所示,方法900開始於步驟901,其中第一金屬層102及金屬氮化物層103在源極或汲極結構101上沉積。步驟901可實質上類似於方法600中的步驟601。在步驟902中,連續氫化/氮化製程在金屬氮化物層103的表面702上執行。步驟902可實質上類似於方法800中的步驟802。在步驟903中,熱退火製程在半導體基板110上執行,該半導體基板包括第一金屬層102、金屬氮化物層103、及源極或汲極結構101。步驟903可實質上類似於方法600中的步驟603。在步驟905中,覆蓋層104在退火的第一金屬層102及金屬氮化物層103上沉積。步驟905可實質上類似於方法600中的步驟605。因此,在方法900中,在步驟903的熱退火製程之前而不在步驟903的熱退火製程之後執行連續氫化/氮化製程。連續氫化/氮化製程通常包括電漿或熱氫化製程及電漿氮化製程。Figure 9 illustrates a flow diagram of process steps for reducing bulk and interfacial oxygen in contact structures in accordance with some embodiments of the present disclosure. Prior to step 901, as described above in connection with method 600, a cleaning process or other surface preparation process may be performed. As shown, method 900 begins at step 901 in which a first metal layer 102 and a metal nitride layer 103 are deposited on the source or drain structure 101 . Step 901 may be substantially similar to step 601 in method 600 . In step 902 , a continuous hydrogenation/nitridation process is performed on the surface 702 of the metal nitride layer 103 . Step 902 may be substantially similar to step 802 in method 800 . In step 903 , a thermal annealing process is performed on the semiconductor substrate 110 , and the semiconductor substrate includes the first metal layer 102 , the metal nitride layer 103 , and the source or drain structure 101 . Step 903 may be substantially similar to step 603 in method 600 . In step 905 , a capping layer 104 is deposited over the annealed first metal layer 102 and metal nitride layer 103 . Step 905 may be substantially similar to step 605 in method 600 . Therefore, in method 900, a continuous hydrogenation/nitridation process is performed before the thermal annealing process of step 903 and not after the thermal annealing process of step 903. Continuous hydrogenation/nitridation processes generally include plasma or thermal hydrogenation processes and plasma nitridation processes.

儘管描述了用於在基板上形成接觸結構的方法600及800,但亦可採用方法600及800在基板上形成其他導電結構。因此,包括金屬氮化物層的任何導電結構可獲益於藉由方法600或800形成。具有減小的 EOT 的金屬閘結構 Although methods 600 and 800 are described for forming contact structures on a substrate, methods 600 and 800 may also be employed to form other conductive structures on a substrate. Accordingly, any conductive structure that includes a metal nitride layer may benefit from being formed by methods 600 or 800 . Metal gate structure with reduced EOT

根據本揭示案的各個實施例,在製造高介電常數介電質/金屬閘極堆疊時採用連續氫化及氮化製程以減小堆疊的有效氧化物厚度(effective oxide thickness; EOT)。在此種實施例中,在洩漏增加及平帶電壓偏移方面無損的情況下減小堆疊的EOT,該洩漏增加及平帶電壓偏移已知在堆疊中的高介電常數介電層的厚度僅減小或另外經由習知技術按比例縮小時發生。第10圖中示出了一個此種堆疊。According to various embodiments of the present disclosure, sequential hydrogenation and nitridation processes are employed in the fabrication of high-k dielectric/metal gate stacks to reduce the effective oxide thickness (EOT) of the stack. In such an embodiment, the EOT of the stack is reduced without loss of leakage increase and flat-band voltage shift, which are known to be in the high-k dielectric layers in the stack. The thickness is only reduced or otherwise scaled down via conventional techniques. One such stack is shown in Figure 10.

第10圖示出了根據本揭示案的一實施例形成的金屬閘結構1000的橫截面圖。金屬閘結構1000在半導體基板1001上形成作為半導體元件(如MOSFET或其他FET)的部分。金屬閘結構1000係在半導體基板1001上形成的多個材料層的堆疊,並且例如包括在半導體基板1001上設置的界面層1002、在界面層1002上設置的高介電常數介電層1003、在高介電常數介電層1003上設置的金屬氮化物覆蓋層1004、及在金屬氮化物覆蓋層1004上設置的金屬閘電極層1005。在第10圖中示出的實施例中,將金屬閘結構1000的各個層繪示為在半導體基板1001上形成的簡單膜堆疊。實際上,金屬閘結構1000可在接觸阱或其他空腔中形成,該空腔在與第1圖中的絕緣材料120類似的絕緣或介電材料中形成。因此,界面層1002、高介電常數介電層1003、金屬氮化物覆蓋層1004、及金屬閘電極層1005的一或更多個可係在此空腔內保形地沉積的材料層。FIG. 10 shows a cross-sectional view of a metal gate structure 1000 formed in accordance with an embodiment of the present disclosure. The metal gate structure 1000 is formed on a semiconductor substrate 1001 as part of a semiconductor element such as a MOSFET or other FET. The metal gate structure 1000 is a stack of a plurality of material layers formed on a semiconductor substrate 1001, and includes, for example, an interface layer 1002 disposed on the semiconductor substrate 1001, a high-k dielectric layer 1003 disposed on the interface layer 1002, A metal nitride capping layer 1004 provided on the high-k dielectric layer 1003 , and a metal gate electrode layer 1005 provided on the metal nitride capping layer 1004 . In the embodiment shown in FIG. 10 , the various layers of the metal gate structure 1000 are shown as simple film stacks formed on the semiconductor substrate 1001 . In practice, the metal gate structure 1000 may be formed in a contact well or other cavity formed in an insulating or dielectric material similar to the insulating material 120 in FIG. 1 . Thus, one or more of the interface layer 1002, the high-k dielectric layer 1003, the metal nitride capping layer 1004, and the metal gate electrode layer 1005 may be layers of materials that are conformally deposited within the cavity.

半導體基板1001可係其上可形成金屬閘結構1000的任何適宜的半導體基板。因此,半導體基板1001可由任何適宜的半導體材料形成,包括但不限於Si(Si)、Ge(鍺)、鍺矽(Si-Ge)、碳鍺矽(SiGeC)、鎵(Ga)、砷化鎵(GaAs)、砷化銦(InAs)、磷化銦(InP)、及所有其他第III/V族或第II/VI族化合物半導體。替代或額外地,半導體基板1001可係層化半導體,例如,Si/Si-Ge、絕緣體上半導體(SOI)或絕緣體上Si-Ge(SiGOI)。此外,在一些實施例中,半導體基板1001包括摻雜及/或未摻雜的區域,如鄰近界面氧化物層1002的n摻雜或p摻雜區域。The semiconductor substrate 1001 can be any suitable semiconductor substrate on which the metal gate structure 1000 can be formed. Accordingly, the semiconductor substrate 1001 may be formed of any suitable semiconductor material, including but not limited to Si (Si), Ge (germanium), silicon germanium (Si-Ge), silicon germanium carbon (SiGeC), gallium (Ga), gallium arsenide (GaAs), indium arsenide (InAs), indium phosphide (InP), and all other group III/V or group II/VI compound semiconductors. Alternatively or additionally, the semiconductor substrate 1001 may be a layered semiconductor, eg, Si/Si-Ge, semiconductor-on-insulator (SOI), or Si-Ge-on-insulator (SiGOI). Furthermore, in some embodiments, the semiconductor substrate 1001 includes doped and/or undoped regions, such as n-doped or p-doped regions adjacent to the interface oxide layer 1002 .

界面氧化物層1002在半導體基板1001與高介電常數介電層1003之間的半導體基板1001上設置,並且經配置為適用於在金屬閘結構1000中應用的界面氧化物層。在其中半導體基板1001包括含Si材料的實施例中,界面氧化物1002層可包括氧化矽(SiOx )、氮氧化矽(SiNO、Si2 NO、Si2 N2 O)、及/或氮化的氧化矽。在半導體1001不係含Si半導體材料的實施例中,界面氧化物層1002可包含半導體氧化物、半導體氮氧化物及/或氮化的半導體氧化物。The interface oxide layer 1002 is disposed on the semiconductor substrate 1001 between the semiconductor substrate 1001 and the high-k dielectric layer 1003 and is configured as an interface oxide layer suitable for application in the metal gate structure 1000 . In embodiments in which the semiconductor substrate 1001 includes a Si-containing material, the interface oxide 1002 layer may include silicon oxide ( SiOx ), silicon oxynitride (SiNO, Si2NO , Si2N2O), and/or nitride of silicon oxide. In embodiments where the semiconductor 1001 is not a Si-containing semiconductor material, the interface oxide layer 1002 may comprise a semiconductor oxide, a semiconductor oxynitride, and/or a nitrided semiconductor oxide.

界面氧化物層1002可經由任何適宜的熱或濕式生長技術(例如,氧化或氮氧化)形成。例如,並且不作限制,界面氧化物層1002可藉由濕式化學氧化製程形成,該濕式化學氧化製程包括用氫氧化銨、過氧化氫及水的混合物處理半導體基板1001的已清潔表面,如上次由HF處理過的半導體表面。或者,界面氧化物層1002可藉由在臭氧化的水溶液中處理上次由HF處理過的半導體表面來形成。或者,界面氧化物層1002可藉由任何適宜的熱氧化技術形成。The interface oxide layer 1002 may be formed via any suitable thermal or wet growth technique (eg, oxidation or oxynitride). For example, and without limitation, the interface oxide layer 1002 may be formed by a wet chemical oxidation process that includes treating the cleaned surface of the semiconductor substrate 1001 with a mixture of ammonium hydroxide, hydrogen peroxide and water, as above HF-treated semiconductor surface. Alternatively, the interfacial oxide layer 1002 may be formed by treating the previously HF treated semiconductor surface in an ozonated aqueous solution. Alternatively, the interface oxide layer 1002 may be formed by any suitable thermal oxidation technique.

界面氧化物層1002的厚度係隨金屬閘結構1000係其部分的半導體元件變化。此外,界面氧化物層1002與高介電常數介電層1003、金屬氮化物覆蓋層1004、及金屬閘電極層1005相比顯著較薄。通常,界面氧化物層1002具有從約0.5至2.0 nm的厚度,儘管在一些實施例中界面氧化物層1002可較厚。在一些實施例中,在形成金屬閘結構1000之後發生的用於元件製造的熱製程可進一步增加界面氧化物層1002的厚度。The thickness of the interface oxide layer 1002 varies with the semiconductor device of which the metal gate structure 1000 is a part. In addition, the interface oxide layer 1002 is significantly thinner than the high-k dielectric layer 1003 , the metal nitride capping layer 1004 , and the metal gate electrode layer 1005 . Typically, the interface oxide layer 1002 has a thickness of from about 0.5 to 2.0 nm, although the interface oxide layer 1002 may be thicker in some embodiments. In some embodiments, the thermal process for device fabrication that occurs after the formation of the metal gate structure 1000 can further increase the thickness of the interface oxide layer 1002 .

高介電常數介電層1003可係閘極介電層或金屬閘結構1000中的其他介電層,並且包括所謂的「高介電常數介電」材料。更具體地,高介電常數介電層1003包括一或更多種材料,該等材料具有大於SiO2 的介電常數,如具有至少約4.0、或理想地至少約10.0的介電常數的材料。此外,在高介電常數介電層1003中包括的高介電常數介電材料適用於在積體電路中使用。因此,除了高介電常數之外,在高介電常數介電層1003中包括的一或更多種高介電常數介電材料亦理想地具有防止摻雜劑擴散的能力、較少的可損害擊穿效能的電氣缺陷、良好熱穩定性、及再結晶高溫。適於在高介電常數介電層1003中使用的此種高介電常數介電材料的實例包括但不限於氮化矽、氮氧化矽、金屬氧化物、金屬氮化物、金屬氮氧化物及/或金屬矽酸鹽。在一些實施例中,高介電常數介電層1003包括下列的一或更多種:氧化鉿(Hfx Oy )、氧化鋯(ZrO2 )、氧化矽酸鉿(Hfx Si1-x Oy )、或其他基於鉿的介電質、氧化鑭(La2 O3 )、氧化鋁(Al2 O3 )、氧化鈦(TiO2 )、鈦酸鍶(SrTiO3 )、鋁酸鑭(LaAlO3 )、氧化釔(Y2 O3 )、氧化矽酸鉿(Hfx Si1-x Oy )、氧化鑭(La2 O3 )、及/或上述各者之多個層堆疊。The high-k dielectric layer 1003 may be a gate dielectric layer or other dielectric layers in the metal gate structure 1000, and includes so-called "high-k dielectric" materials. More specifically, high-k dielectric layer 1003 includes one or more materials having a dielectric constant greater than SiO 2 , such as a material having a dielectric constant of at least about 4.0, or desirably at least about 10.0 . Furthermore, the high-k dielectric material included in the high-k dielectric layer 1003 is suitable for use in integrated circuits. Therefore, in addition to the high dielectric constant, the one or more high-k dielectric materials included in the high-k dielectric layer 1003 also desirably have the ability to prevent dopant diffusion, less available Electrical defects that impair breakdown performance, good thermal stability, and high recrystallization temperatures. Examples of such high-k dielectric materials suitable for use in high-k dielectric layer 1003 include, but are not limited to, silicon nitride, silicon oxynitride, metal oxides, metal nitrides, metal oxynitrides, and / or metal silicates. In some embodiments, the high-k dielectric layer 1003 includes one or more of the following: hafnium oxide (Hf x O y ), zirconium oxide (ZrO 2 ), hafnium silicate oxide (Hf x Si 1-x ) O y ), or other hafnium based dielectrics, lanthanum oxide (La 2 O 3 ), aluminum oxide (Al 2 O 3 ), titanium oxide (TiO 2 ), strontium titanate (SrTiO 3 ), lanthanum aluminate ( LaAlO 3 ), yttrium oxide (Y 2 O 3 ), hafnium silicate oxide (Hf x Si 1-x O y ), lanthanum oxide (La 2 O 3 ), and/or multiple layer stacks of the above.

高介電常數介電層1003可經由任何適宜的沉積方法形成,包括熱生長製程,例如,氧化、氮化或氮氧化製程。或者,高介電常數介電層1003可藉由一或更多種沉積製程形成,包括但不限於化學氣相沉積(chemical vapor deposition; CVD)、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition; PECVD)、金屬有機化學氣相沉積(metalorgano chemical vapor deposition; MOCVD)、原子層沉積(atomic layer deposition; ALD)、蒸發、反應性濺鍍、化學溶液沉積及/或其任何組合。The high-k dielectric layer 1003 may be formed by any suitable deposition method, including thermal growth processes, eg, oxidation, nitridation, or oxynitride processes. Alternatively, the high-k dielectric layer 1003 may be formed by one or more deposition processes, including but not limited to chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (plasma enhanced chemical vapor deposition) deposition; PECVD), metalorgano chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition, and/or any combination thereof.

高介電常數介電層1003的厚度1003A可取決於其中包括的介電材料、用於形成高介電常數介電層1003的製程、及其中包括金屬閘結構1000的半導體元件的幾何形狀及操作而變化。在一些實施例中,高介電常數介電層1003的厚度1003A係從約1.0 nm至約20 nm。The thickness 1003A of the high-k dielectric layer 1003 may depend on the dielectric material included therein, the process used to form the high-k dielectric layer 1003, and the geometry and operation of the semiconductor device in which the metal gate structure 1000 is included and change. In some embodiments, the thickness 1003A of the high-k dielectric layer 1003 is from about 1.0 nm to about 20 nm.

金屬氮化物覆蓋層1004係在高介電常數介電層1003上設置的金屬層,該金屬層通常經配置為在高介電常數介電層1003上的導電保護層。因此,在一些實施例中,金屬氮化物覆蓋層1004經配置為防止半導體基板1001及/或高介電常數介電層1003的不期望的氧化。此外,在此種實施例中,金屬氮化物覆蓋層1004亦可經配置為允許氧在沉積金屬氮化物覆蓋層1004之後發生的熱退火製程期間擴散出高介電常數介電層1003。在此種實施例中,金屬氮化物覆蓋層1004亦可經配置為允許氧在熱退火製程期間擴散出在高介電常數介電層1003與金屬氮化物覆蓋層1004之間形成的界面層1009。The metal nitride capping layer 1004 is a metal layer disposed on the high-k dielectric layer 1003 , which is typically configured as a conductive protective layer on the high-k dielectric layer 1003 . Accordingly, in some embodiments, metal nitride capping layer 1004 is configured to prevent undesired oxidation of semiconductor substrate 1001 and/or high-k dielectric layer 1003 . Furthermore, in such embodiments, the metal nitride capping layer 1004 may also be configured to allow oxygen to diffuse out of the high-k dielectric layer 1003 during a thermal annealing process that occurs after the deposition of the metal nitride capping layer 1004 . In such an embodiment, the metal nitride capping layer 1004 may also be configured to allow oxygen to diffuse out of the interface layer 1009 formed between the high-k dielectric layer 1003 and the metal nitride capping layer 1004 during the thermal annealing process .

在一些實施例中,金屬氮化物覆蓋層1004包括金屬氮化物,如氮化矽(TiN)、氮化鉭(TaN)、氮化鉭矽(TaSiN)、及類似者。注意到,在一些實施例中,在高介電常數介電層1003上沉積氮化物覆蓋層1004可導致形成界面層1009,該界面層在高介電常數介電層1003與金屬氮化物覆蓋層1004之間的界面處設置。根據一些實施例,當將如本文描述的連續電漿氫化及氮化製程應用到金屬氮化物覆蓋層1004的已暴露表面時,界面層1009隨後消除或厚度減小。In some embodiments, the metal nitride capping layer 1004 includes metal nitrides such as silicon nitride (TiN), tantalum nitride (TaN), tantalum silicon nitride (TaSiN), and the like. Note that, in some embodiments, depositing the nitride capping layer 1004 over the high-k dielectric layer 1003 may result in the formation of an interfacial layer 1009 between the high-k dielectric layer 1003 and the metal nitride capping layer Set at the interface between 1004. According to some embodiments, the interfacial layer 1009 is subsequently eliminated or reduced in thickness when a continuous plasma hydrogenation and nitridation process as described herein is applied to the exposed surface of the metal nitride capping layer 1004 .

金屬氮化物覆蓋層1004可經由任何適宜的沉積方法形成,包括但不限於PVD製程、CVD製程、PECVD製程、MOCVD製程、ALD蒸發製程、反應性濺鍍、化學溶液沉積及/或其任何組合。The metal nitride capping layer 1004 may be formed by any suitable deposition method, including but not limited to PVD processes, CVD processes, PECVD processes, MOCVD processes, ALD evaporation processes, reactive sputtering, chemical solution deposition, and/or any combination thereof.

在一些實施例中,金屬氮化物覆蓋層1004與高介電常數介電層1003及金屬閘電極層1005相比顯著較薄。例如,在金屬閘結構1000的實施例中,其中高介電常數介電層1003層係具有約20 nm至約40 nm的厚度1003A的HfO2 層並且金屬閘電極層1005係具有約20 nm至約40 nm的厚度的TiN層,金屬氮化物覆蓋層1004可具有約5 nm至約15 nm的厚度1004A。In some embodiments, the metal nitride capping layer 1004 is significantly thinner than the high-k dielectric layer 1003 and the metal gate electrode layer 1005 . For example, in an embodiment of the metal gate structure 1000 wherein the high-k dielectric layer 1003 is a HfO 2 layer having a thickness of about 20 nm to about 40 nm and the metal gate electrode layer 1005 is about 20 nm to about 40 nm thick A TiN layer with a thickness of about 40 nm, the metal nitride capping layer 1004 may have a thickness 1004A of about 5 nm to about 15 nm.

在一些實施例中,金屬氮化物覆蓋層1004的厚度1004A經選擇為促進氧原子從高介電常數介電層1003及/或界面層1009擴散。具體地,在此種實施例中,選擇厚度1004A,使得在沉積金屬氮化物覆蓋層1004之後發生的熱退火製程期間O原子從高介電常數介電層1003及/或界面層1009擴散。在此種實施例中,將厚度1004A選擇為小於在熱退火製程期間O原子穿過金屬氮化物覆蓋層1004的擴散長度。在一個實例中,一個此種熱退火製程係在金屬閘結構1000上執行達1-2秒的持續時間及約700至約900℃的峰值溫度的尖端退火製程。In some embodiments, the thickness 1004A of the metal nitride capping layer 1004 is selected to facilitate the diffusion of oxygen atoms from the high-k dielectric layer 1003 and/or the interface layer 1009 . Specifically, in such an embodiment, thickness 1004A is selected such that O atoms diffuse from high-k dielectric layer 1003 and/or interface layer 1009 during the thermal annealing process that occurs after deposition of metal nitride capping layer 1004 . In such an embodiment, the thickness 1004A is selected to be less than the diffusion length of O atoms through the metal nitride capping layer 1004 during the thermal annealing process. In one example, one such thermal anneal process is a tip anneal process performed on the metal gate structure 1000 for a duration of 1-2 seconds and a peak temperature of about 700 to about 900°C.

金屬閘電極層1005係在金屬氮化物覆蓋層1004上形成的金屬層,並且包括一或更多個沉積的金屬層。在一些實施例中,金屬閘電極層1005經配置為金屬閘結構1000的閘電極及/或工作函數金屬。在此種實施例中,在金屬閘電極層1005中包括的一或更多個金屬層經選擇為具有共同閘電極工作函數值,該共同閘電極工作函數值促進金屬閘結構1000及其中包括金屬閘結構1000的半導體元件的操作。金屬閘電極1005可經由任何適宜的沉積方法形成,包括但不限於CVD、PECVD、MOCVD、ALD、蒸發、反應性濺鍍、化學溶液沉積及/或其任何組合。Metal gate electrode layer 1005 is a metal layer formed on metal nitride capping layer 1004 and includes one or more deposited metal layers. In some embodiments, the metal gate electrode layer 1005 is configured as the gate electrode and/or work function metal of the metal gate structure 1000 . In such an embodiment, the one or more metal layers included in the metal gate layer 1005 are selected to have a common gate work function value that facilitates the metal gate structure 1000 and the inclusion of metal therein Operation of the semiconductor element of the gate structure 1000 . The metal gate electrode 1005 may be formed via any suitable deposition method, including but not limited to CVD, PECVD, MOCVD, ALD, evaporation, reactive sputtering, chemical solution deposition, and/or any combination thereof.

在一些實施例中,金屬閘電極層1005係p金屬閘極材料,如TiN。或者,在一些實施例中,金屬閘電極層1005係n金屬閘極。適於在金屬閘電極層1005中使用的N金屬包括碳化鈦鋁(Tix AlC)。形成具有減小的 EOT 的金屬閘結構 In some embodiments, the metal gate electrode layer 1005 is a p-metal gate material, such as TiN. Alternatively, in some embodiments, the metal gate electrode layer 1005 is an n-metal gate. Suitable N metals for use in the metal gate electrode layer 1005 include titanium aluminum carbide (Ti x AlC). Formation of metal gate structures with reduced EOT

根據各個實施例,在製造金屬閘結構1000期間,在沉積金屬閘電極層1005之前,在金屬氮化物覆蓋層1004上執行連續電漿氫化及氮化製程。在此種實施例中,減小金屬閘結構1000的EOT,而金屬閘結構1000的洩漏電流以低於期望量值增加。此外,在此種實施例中,金屬閘結構1000顯示極少或不顯示通常與減小的EOT相關聯的平帶電壓偏移。According to various embodiments, during fabrication of the metal gate structure 1000, prior to depositing the metal gate electrode layer 1005, a continuous plasma hydrogenation and nitridation process is performed on the metal nitride capping layer 1004. In such an embodiment, the EOT of the metal gate structure 1000 is reduced, while the leakage current of the metal gate structure 1000 is increased by a lower than desired amount. Furthermore, in such embodiments, the metal gate structure 1000 exhibits little or no flat-band voltage shift typically associated with reduced EOT.

例如,在金屬閘結構1000的一個實施例中,界面氧化物層1002具有約1-2 nm的厚度,高介電常數介電層1003具有約2-3 nm的厚度1003A,並且金屬氮化物覆蓋層1004具有約3-4 nm的厚度1004A。在此種實施例中,用本文描述的連續電漿氫化及氮化製程處理金屬氮化物覆蓋層1004的一個可量測效應係金屬閘結構1000的可量測EOT減小約1 Å(亦即,從約9 Å下降至約8 Å)。金屬氮化物覆蓋層1004的此種處理的另一效應係(在-1 V的平帶電壓下)洩漏電流增加約2.4倍(亦即,從約0.268 A/cm2 至約.658 A/cm2 )。相比之下,根據在本領域中已知的已經建立的比例化趨勢,當金屬閘結構1000的EOT替代地藉由習知技術減小(如藉由將厚度1003A按比例下降約1 Å)時,期望洩漏電流增加約10的因數。因此,已經發現,用本文描述的連續電漿氫化及氮化製程處理金屬氮化物覆蓋層1004具有用如與僅按比例縮小金屬氮化物覆蓋層1004的厚度1004A相關聯的增加的洩漏電流的約四分之一來減小金屬閘結構1000的EOT的影響。For example, in one embodiment of the metal gate structure 1000, the interface oxide layer 1002 has a thickness of about 1-2 nm, the high-k dielectric layer 1003 has a thickness 1003A of about 2-3 nm, and the metal nitride capping Layer 1004 has a thickness 1004A of about 3-4 nm. In such an embodiment, one measurable effect of treating the metal nitride capping layer 1004 with the continuous plasma hydrogenation and nitridation process described herein is that the measurable EOT of the metal gate structure 1000 is reduced by about 1 Å (ie, , down from about 9 Å to about 8 Å). Another effect of such treatment of metal nitride capping layer 1004 is that (at a flat-band voltage of -1 V) leakage current increases by a factor of about 2.4 (ie, from about 0.268 A/cm 2 to about .658 A/cm 2 ) 2 ). In contrast, according to established scaling trends known in the art, when the EOT of the metal gate structure 1000 is instead reduced by conventional techniques (eg, by scaling down the thickness 1003A by about 1 Å) , the leakage current is expected to increase by a factor of about 10. Accordingly, it has been found that treating the metal nitride capping layer 1004 with the continuous plasma hydrogenation and nitridation process described herein has approximately approx. quarter to reduce the impact of the EOT of the metal gate structure 1000 .

此外,除了上文描述的EOT減小之外,已經圖示了當利用連續電漿氫化及氮化製程形成金屬閘結構1000時在金屬閘結構1000中量測的平帶電壓偏移維持實質上穩定。因此,將連續電漿氫化及氮化製程應用到金屬氮化物覆蓋層1004實現製造具有減小的EOT而無平帶電壓偏移並且不對元件設計產生影響的金屬閘結構1000。Furthermore, in addition to the EOT reduction described above, it has been shown that the flat-band voltage offset measured in the metal gate structure 1000 remains substantially constant when the metal gate structure 1000 is formed using a continuous plasma hydrogenation and nitridation process. Stablize. Therefore, applying a continuous plasma hydrogenation and nitridation process to the metal nitride capping layer 1004 enables the fabrication of a metal gate structure 1000 with reduced EOT without flat band voltage shift and without impact on device design.

第11圖闡明了根據本揭示案的各個實施例的用於減小金屬閘結構中的EOT的處理步驟的流程圖。第12A圖至第12J圖係根據本揭示案的各個實施例的對應於第11圖的製程的不同階段的半導體元件的示意性橫截面圖。11 illustrates a flow diagram of process steps for reducing EOT in metal gate structures in accordance with various embodiments of the present disclosure. FIGS. 12A to 12J are schematic cross-sectional views of semiconductor devices corresponding to different stages of the process of FIG. 11 according to various embodiments of the present disclosure.

方法1100開始於步驟1101,如第12A圖所示,其中高介電常數介電層1003在界面氧化物層1002上沉積。高介電常數介電層1003可經由上文結合第10圖描述的任何適宜的沉積方法形成。The method 1100 begins at step 1101 , as shown in FIG. 12A , wherein a high-k dielectric layer 1003 is deposited on the interface oxide layer 1002 . The high-k dielectric layer 1003 may be formed via any suitable deposition method described above in connection with FIG. 10 .

在步驟1102中,如第12B圖所示,金屬氮化物覆蓋層1004在高介電常數介電層1003上沉積。金屬氮化物覆蓋層1004可經由上文結合第10圖描述的任何適宜的沉積方法形成。在一些實施例中,沉積金屬氮化物覆蓋層1004導致形成界面層1009,該界面層在高介電常數介電層1003與金屬氮化物覆蓋層1004之間的界面處設置。在此種實施例中,界面層1009通常包括空位(其可類似於第2A圖中的空位213)及/或在步驟1102的沉積製程期間由處理環境中存在的污染帶入的O原子。In step 1102 , as shown in FIG. 12B , a metal nitride capping layer 1004 is deposited on the high-k dielectric layer 1003 . Metal nitride capping layer 1004 may be formed via any suitable deposition method described above in connection with FIG. 10 . In some embodiments, depositing the metal nitride capping layer 1004 results in the formation of an interfacial layer 1009 disposed at the interface between the high-k dielectric layer 1003 and the metal nitride capping layer 1004 . In such embodiments, interface layer 1009 typically includes vacancies (which may be similar to vacancies 213 in Figure 2A) and/or O atoms introduced during the deposition process of step 1102 by contamination present in the processing environment.

在可選步驟1103中,將第12B圖所示的已暴露表面1201暴露於空氣。例如,在一些實施例中,金屬氮化物覆蓋層1004在一個處理系統中沉積,諸如第5圖中的多腔室處理系統500,而待在半導體基板1001上執行的下一處理步驟在不同的處理系統中執行。因此,在此種實施例中,在沉積金屬氮化物層1004之後,將半導體基板1001暴露於空氣。在實施例中,其中金屬氮化物覆蓋層1004在多腔室處理系統的一個腔室中沉積,並且步驟1104在同一多腔室處理系統的一個或兩個其他處理腔室中執行,不執行可選步驟1103。In optional step 1103, the exposed surface 1201 shown in Figure 12B is exposed to air. For example, in some embodiments, the metal nitride capping layer 1004 is deposited in one processing system, such as the multi-chamber processing system 500 in FIG. 5, while the next processing step to be performed on the semiconductor substrate 1001 is performed in a different executed in the processing system. Therefore, in such an embodiment, after depositing the metal nitride layer 1004, the semiconductor substrate 1001 is exposed to air. In embodiments where the metal nitride capping layer 1004 is deposited in one chamber of a multi-chamber processing system and step 1104 is performed in one or two other processing chambers of the same multi-chamber processing system, no Optional step 1103.

在實施例中,其中在步驟1102中沉積的金屬氮化物覆蓋層1004係隨後去除的犧牲金屬氮化物層,方法1100繼續進行到步驟1131。在實施例中,其中在步驟1102中沉積的金屬氮化物覆蓋層1004保留在金屬閘結構1000中,方法1100繼續進行到步驟1104。在一些實施例中,犧牲金屬氮化物層可藉由使用後續濕式或乾式蝕刻製程來去除,該蝕刻製程對金屬氮化物覆蓋層1004的去除具有選擇性。In an embodiment where the metal nitride capping layer 1004 deposited in step 1102 is a sacrificial metal nitride layer that is subsequently removed, the method 1100 proceeds to step 1131 . In an embodiment where the metal nitride capping layer 1004 deposited in step 1102 remains in the metal gate structure 1000 , the method 1100 proceeds to step 1104 . In some embodiments, the sacrificial metal nitride layer can be removed by using a subsequent wet or dry etch process that is selective to the removal of the metal nitride capping layer 1004 .

在步驟1104中,如第12C圖所示,連續電漿氫化及氮化製程在金屬氮化物覆蓋層1004的表面1201上執行。電漿氫化及氮化製程可實質上類似於上文結合第4圖描述的電漿氫化及氮化製程。另外,電漿氫化製程包括非氧化的電漿激發的氫物種,並且不包括任何氧化的電漿激發的氫物種。In step 1104 , as shown in FIG. 12C , a continuous plasma hydrogenation and nitridation process is performed on the surface 1201 of the metal nitride capping layer 1004 . The plasma hydrogenation and nitridation process may be substantially similar to the plasma hydrogenation and nitridation process described above in connection with FIG. 4 . Additionally, the plasma hydrogenation process includes non-oxidizing plasma-excited hydrogen species and does not include any oxidizing plasma-excited hydrogen species.

在一些實施例中,在約20 mTorr與約100 mTorr之間的腔室壓力下、在約400℃與約500℃之間的處理溫度(如基板底座溫度)下,其中RF功率在約500 W與約1500 W之間,H2 的流率在約20 sccm與約100 sccm之間,並且Ar的流率在約900 sccm與約980 sccm之間,執行步驟1104的電漿氫化製程達約30秒與約150秒之間的持續時間。在一些實施例中,H2 的流率係引入腔室中的總處理氣體的約1%與約15%之間。在一些實施例中,在約45 mTorr與約55 mTorr之間的腔室壓力下、在約425℃與約475℃之間的處理溫度下,其中RF功率在約700 W與約800 W之間,H2 的流率在約45 sccm與約55 sccm之間,並且Ar的流率在約965 sccm與約955 sccm之間,執行步驟1104的電漿氫化製程達約85秒與約95秒之間的持續時間。In some embodiments, the RF power is at about 500 W at a chamber pressure between about 20 mTorr and about 100 mTorr, at a processing temperature (eg, substrate pedestal temperature) between about 400 °C and about 500 °C and about 1500 W, the flow rate of H is between about 20 sccm and about 100 sccm, and the flow rate of Ar is between about 900 sccm and about 980 sccm, and the plasma hydrogenation process of step 1104 is performed for about 30 Duration between seconds and about 150 seconds. In some embodiments, the flow rate of H 2 is between about 1% and about 15% of the total process gas introduced into the chamber. In some embodiments, at a chamber pressure between about 45 mTorr and about 55 mTorr, at a process temperature between about 425°C and about 475°C, wherein the RF power is between about 700 W and about 800 W , the flow rate of H 2 is between about 45 sccm and about 55 sccm, and the flow rate of Ar is between about 965 sccm and about 955 sccm, and the plasma hydrogenation process of step 1104 is performed for between about 85 seconds and about 95 seconds duration in between.

在一些實施例中,在約10 mTorr與約50 mTorr之間的腔室壓力下、在約400℃與約500℃之間的處理溫度下,其中RF功率在約500 W與約1500 W之間,NH3 的流率在總處理氣體流率的約1%與約10%之間,N2 的流率在總處理氣體流率的約45%與約55%之間,並且Ar的流率經選擇為等於處理氣體流量的剩餘部分,執行步驟1104的電漿氮化製程達約30秒與約150秒之間的持續時間。在一些實施例中,在約15 mTorr與約25 mTorr之間的腔室壓力下,在約425℃與約475℃之間的處理溫度下,其中RF功率在約700 W與約800 W之間,NH3 的流率在總處理氣體流率的約2%與約3%之間,N2 的流率在總處理氣體流率的約45%與約55%之間,並且Ar的流率經選擇為等於處理氣體流量的剩餘部分,執行步驟1104的電漿氮化製程達約85秒與約95秒之間的持續時間。In some embodiments, at a chamber pressure between about 10 mTorr and about 50 mTorr, at a process temperature between about 400°C and about 500°C, wherein the RF power is between about 500 W and about 1500 W , the flow rate of NH3 is between about 1% and about 10% of the total process gas flow rate, the flow rate of N2 is between about 45% and about 55% of the total process gas flow rate, and the flow rate of Ar Selected to be equal to the remainder of the process gas flow, the plasma nitridation process of step 1104 is performed for a duration between about 30 seconds and about 150 seconds. In some embodiments, at a chamber pressure between about 15 mTorr and about 25 mTorr, at a process temperature between about 425°C and about 475°C, wherein the RF power is between about 700 W and about 800 W , the flow rate of NH3 is between about 2% and about 3% of the total process gas flow rate, the flow rate of N2 is between about 45% and about 55% of the total process gas flow rate, and the flow rate of Ar Selected to be equal to the remainder of the process gas flow, the plasma nitridation process of step 1104 is performed for a duration between about 85 seconds and about 95 seconds.

總而言之,在步驟1104中,將基板1201暴露於在電漿氫化製程中產生的電漿激發的氫物種,並且減少在表面1201上存在的一些或所有氧化物。此外,在一些實施例中,此種電漿激發的氫物種亦可減少在金屬氮化物覆蓋層1004的主體材料中存在的一些或所有氧(O)原子。此外,在步驟1104中,將基板1201暴露於在電漿氮化製程中產生的電漿激發的氮物種,由此使表面1201達到N原子飽和,並且在一些實施例中,用N原子填充在金屬氮化物覆蓋層1004的主體材料中存在的空位。因此,在一些實施例中,如第12D圖所示,消除或顯著減少界面層1009。In summary, in step 1104, substrate 1201 is exposed to plasma excited hydrogen species generated during a plasma hydrogenation process, and some or all oxides present on surface 1201 are reduced. Additionally, in some embodiments, such plasmonic excited hydrogen species may also reduce some or all of the oxygen (O) atoms present in the host material of the metal nitride capping layer 1004 . Additionally, in step 1104, the substrate 1201 is exposed to plasma excited nitrogen species generated during the plasma nitridation process, thereby saturating the surface 1201 with N atoms, and in some embodiments, filling the surface 1201 with N atoms. The vacancies present in the host material of the metal nitride capping layer 1004 . Thus, in some embodiments, as shown in Figure 12D, the interface layer 1009 is eliminated or significantly reduced.

在一些實施例中,步驟1104的電漿氫化製程在與步驟1104的電漿氮化製程相同的處理腔室中執行,例如,在第4圖的處理腔室400中執行。或者,步驟1104的電漿氫化製程在多腔室處理系統的第一處理腔室中執行,而步驟1104的電漿氮化製程在同一多腔室處理系統的第二處理腔室中執行。在任一情況下,注意到,在步驟1104的電漿氫化製程與電漿氮化製程之間不將表面1201暴露於空氣。因此,在任一實施例中,在暴露於電漿激發的氫物種之後並且在暴露於電漿激發的氮物種之前,不將表面1201暴露於空氣。In some embodiments, the plasma hydrogenation process of step 1104 is performed in the same process chamber as the plasma nitridation process of step 1104 , eg, process chamber 400 of FIG. 4 . Alternatively, the plasma hydrogenation process of step 1104 is performed in a first processing chamber of a multi-chamber processing system, and the plasma nitridation process of step 1104 is performed in a second processing chamber of the same multi-chamber processing system. In either case, note that the surface 1201 is not exposed to air between the plasma hydrogenation process and the plasma nitridation process of step 1104. Thus, in either embodiment, surface 1201 is not exposed to air after exposure to plasmonic excited hydrogen species and prior to exposure to plasmonic excited nitrogen species.

在一些實施例中,在處理腔室中執行電漿氫化製程之前,無氧調節製程在處理腔室中執行,例如用於減少在處理腔室中的痕量氧污染。在此種實施例中,在其中不放置基板的情況下並且在經由上文描述的電漿氫化製程處理基板之前,處理腔室用無氧電漿處理。在將基板引入腔室之前的處理腔室的此種電漿處理有時被稱為每晶圓電漿(plasma every wafer; PEW)製程或PEW處理。In some embodiments, an oxygen-free conditioning process is performed in the processing chamber prior to performing the plasma hydrogenation process in the processing chamber, eg, to reduce trace oxygen contamination in the processing chamber. In such an embodiment, the processing chamber is treated with an oxygen-free plasma without placing the substrate therein and prior to processing the substrate via the plasma hydrogenation process described above. Such plasma processing of the processing chamber prior to introduction of the substrate into the chamber is sometimes referred to as plasma every wafer (PEW) process or PEW processing.

在一些實施例中,此種PEW製程包括將一或更多種非含氧氣體(如N2 、NH3 、Ar、H2 、或其任何適宜組合)引入處理腔室中,並且激勵一或更多種氣體以形成無氧電漿。或者,PEW製程可包括將含電漿自由基及/或N、H、或NH3 的離子或其任何適宜組合引入處理腔室中,其中電漿在處理腔室外部的遠端電漿源中形成。在一個實施例中,將NH3 氣體或NH3 及Ar氣體的組合引入處理腔室中。在另一實施例中,將H2 氣體或H2 及Ar氣體的組合引入處理腔室中。在又一實施例中,將N2 氣體或N2 及Ar氣體的組合引入處理腔室中。In some embodiments, such a PEW process includes introducing one or more non-oxygen-containing gases (eg, N 2 , NH 3 , Ar, H 2 , or any suitable combination thereof) into a processing chamber, and energizing one or more More gases to form an oxygen-free plasma. Alternatively, the PEW process may include introducing plasma radicals and/or ions containing N, H, or NH3 , or any suitable combination thereof, into a processing chamber, wherein the plasma is in a remote plasma source outside the processing chamber form. In one embodiment, NH3 gas or a combination of NH3 and Ar gas is introduced into the processing chamber. In another embodiment, H2 gas or a combination of H2 and Ar gas is introduced into the processing chamber. In yet another embodiment, N2 gas or a combination of N2 and Ar gases is introduced into the processing chamber.

通常,在引入基板之前的處理腔室的電漿處理涉及在處理腔室中引入或形成含氫及/或氮電漿。在一些實施例中,在PEW製程期間由處理腔室內部的電漿產生的自由基(如N*、NH*、及/或H*)在處理腔室內與痕量O原子反應。Typically, plasma processing of a processing chamber prior to introduction of the substrate involves introducing or forming a hydrogen and/or nitrogen containing plasma in the processing chamber. In some embodiments, radicals (eg, N*, NH*, and/or H*) generated by the plasma inside the processing chamber during the PEW process react with traces of O atoms within the processing chamber.

在一些實施例中,在PEW製程期間,引入處理腔室中的一或更多種氣體由RF電源激勵,如第4圖的RF電源414。RF功率可在2%至70%的工作循環下脈衝,並且可從約100 W至約2500 W變化。RF功率可係從約100 W至約2500 W變化的連續波。在此種實施例中,在約10 mTorr至約200 mTorr的腔室壓力下、在約400℃與約500℃之間的處理溫度下,其中RF功率在約250 W與約750 W之間,H2 的流率在約50 sccm與約200 sccm之間,並且O2 的流率在約450 sccm與約550 sccm之間,執行步驟1104的PEW製程達約20秒與約100秒之間的持續時間。In some embodiments, one or more gases introduced into the processing chamber are excited by an RF power source, such as RF power source 414 of FIG. 4, during the PEW process. The RF power can be pulsed at 2% to 70% duty cycle and can vary from about 100 W to about 2500 W. The RF power may be a continuous wave varying from about 100 W to about 2500 W. In such an embodiment, at a chamber pressure of about 10 mTorr to about 200 mTorr, at a process temperature of between about 400°C and about 500°C, wherein the RF power is between about 250 W and about 750 W, The flow rate of H 2 is between about 50 sccm and about 200 sccm, and the flow rate of O 2 is between about 450 sccm and about 550 sccm, and the PEW process of step 1104 is performed for between about 20 seconds and about 100 seconds duration.

在可選步驟1105中,將已暴露表面1201暴露於空氣。例如,在一些實施例中,上文描述的連續氫化及氮化製程在一個處理系統中執行,而待在半導體基板1001上執行的下一處理步驟在不同處理系統中執行。因此,在此種實施例中,在沉積金屬氮化物層1004之後,將半導體基板1001暴露於空氣。在實施例中,其中連續氫化及氮化製程在多腔室處理系統的一個腔室中執行,並且步驟1106在同一多腔室處理系統的另一處理腔室中執行,不執行可選步驟1105。In optional step 1105, exposed surface 1201 is exposed to air. For example, in some embodiments, the continuous hydrogenation and nitridation processes described above are performed in one processing system, while the next processing steps to be performed on the semiconductor substrate 1001 are performed in a different processing system. Therefore, in such an embodiment, after depositing the metal nitride layer 1004, the semiconductor substrate 1001 is exposed to air. In embodiments where the continuous hydrogenation and nitridation process is performed in one chamber of a multi-chamber processing system and step 1106 is performed in another processing chamber of the same multi-chamber processing system, optional steps are not performed 1105.

在實施例中,其中犧牲含矽層隨後經沉積並且去除作為形成金屬閘結構1000的部分,方法1100從步驟1105繼續進行到步驟1121。在實施例中,其中在形成金屬閘結構1000時不沉積犧牲矽層,方法1100繼續進行到步驟1106。犧牲含矽層可藉由使用CVD或ALD製程形成,該製程使用一或更多種含矽前驅物氣體來形成沉積層。In an embodiment in which a sacrificial silicon-containing layer is subsequently deposited and removed as part of forming the metal gate structure 1000 , the method 1100 proceeds from step 1105 to step 1121 . In an embodiment in which no sacrificial silicon layer is deposited when forming the metal gate structure 1000 , the method 1100 proceeds to step 1106 . The sacrificial silicon-containing layer can be formed by using a CVD or ALD process that uses one or more silicon-containing precursor gases to form the deposited layer.

在步驟1106中,熱退火製程(如覆蓋後退火)在半導體基板1001、界面層1002、高介電常數介電層1003、及金屬氮化物覆蓋層1004上執行。例如,在一些實施例中,尖端退火製程在步驟1106中執行,其中達到約600至900℃的峰值溫度。覆蓋後退火在部分形成的金屬閘結構1000上執行以平滑界面、修復不飽和鍵、並且將熱能注入金屬氮化覆蓋層中。In step 1106 , a thermal annealing process (eg, annealing after capping) is performed on the semiconductor substrate 1001 , the interface layer 1002 , the high-k dielectric layer 1003 , and the metal nitride capping layer 1004 . For example, in some embodiments, a tip annealing process is performed in step 1106 where a peak temperature of about 600 to 900°C is reached. Post-cap annealing is performed on the partially formed metal gate structure 1000 to smooth the interface, repair unsaturated bonds, and inject thermal energy into the metal nitride capping layer.

在步驟1107中,如第12E圖所示,金屬閘電極層1005在處理的金屬氮化物覆蓋層1004上沉積,由此完成金屬閘結構1000的形成。金屬閘電極1005可經由上文結合第10圖描述的任何適宜沉積方法來形成。In step 1107 , as shown in FIG. 12E , a metal gate electrode layer 1005 is deposited on the processed metal nitride capping layer 1004 , thereby completing the formation of the metal gate structure 1000 . The metal gate electrode 1005 may be formed via any suitable deposition method described above in connection with FIG. 10 .

在步驟1121中,如第12F圖所示,犧牲矽層1202在金屬氮化物覆蓋層1004上沉積。在金屬氮化物覆蓋層1004的表面1201由步驟1104的連續電漿氫化及氮化製程及步驟1105的可選空氣暴露處理之後執行步驟1121。In step 1121, as shown in FIG. 12F, a sacrificial silicon layer 1202 is deposited over the metal nitride capping layer 1004. Step 1121 is performed after the surface 1201 of the metal nitride capping layer 1004 is treated by the continuous plasma hydrogenation and nitridation process of step 1104 and the optional air exposure of step 1105 .

犧牲矽層1202可包括任何適宜的含矽材料,諸如非晶矽,並且可使用在本領域中已知的任何適宜沉積製程沉積,如CVD製程。犧牲矽層1202在金屬氮化物覆蓋層1004上沉積以在後續熱退火製程(如所謂的覆蓋後退火製程)期間減少在金屬氮化物覆蓋層1004、界面層1009(若仍存在)、及高介電常數介電層1003中氧化物的形成。在一些實施例中,覆蓋後退火製程包括大氣熱退火製程。隨後,可發生金屬閘結構1000的非常薄層的進一步氧化,包括界面層1002、高介電常數介電層1003、及金屬氮化物覆蓋層1004,由此增加金屬閘結構1000的EOT。然而,存在犧牲矽層1202可在覆蓋前退火製程期間從大氣O原子屏蔽金屬閘結構1000的層。此外,犧牲矽層1202可與O原子反應並且由此保留O原子,該等O原子在熱退火製程期間擴散出高介電常數介電層1003、界面層1009(若仍存在)、及金屬氮化物覆蓋層1004。因此,犧牲矽層1202最小化或消除在後續熱退火製程期間不期望地氧化金屬閘結構1000的部分的可能。The sacrificial silicon layer 1202 may comprise any suitable silicon-containing material, such as amorphous silicon, and may be deposited using any suitable deposition process known in the art, such as a CVD process. A sacrificial silicon layer 1202 is deposited over the metal nitride capping layer 1004 to reduce damage to the metal nitride capping layer 1004, the interface layer 1009 (if still present), and the high dielectric during subsequent thermal annealing processes (such as the so-called post-cap annealing process). Formation of oxide in dielectric constant dielectric layer 1003 . In some embodiments, the post-cover annealing process includes an atmospheric thermal annealing process. Subsequently, further oxidation of the very thin layers of the metal gate structure 1000 may occur, including the interface layer 1002 , the high-k dielectric layer 1003 , and the metal nitride capping layer 1004 , thereby increasing the EOT of the metal gate structure 1000 . However, there is a layer in which the sacrificial silicon layer 1202 can shield the metal gate structure 1000 from atmospheric O atoms during the pre-cover anneal process. Additionally, the sacrificial silicon layer 1202 can react with and thereby retain O atoms that diffuse out of the high-k dielectric layer 1003, the interface layer 1009 (if still present), and the metal nitrogen during the thermal annealing process Compound capping layer 1004. Thus, sacrificial silicon layer 1202 minimizes or eliminates the possibility of undesirably oxidizing portions of metal gate structure 1000 during subsequent thermal annealing processes.

在步驟1122中,熱退火製程(如覆蓋後退火)在半導體基板1001、界面層1002、高介電常數介電層1003、金屬氮化物覆蓋層1004、及犧牲矽層1202上執行。步驟1122的熱退火製程可實質上類似於上文描述的步驟1106的熱退火製程。In step 1122 , a thermal annealing process (eg, annealing after capping) is performed on the semiconductor substrate 1001 , the interface layer 1002 , the high-k dielectric layer 1003 , the metal nitride capping layer 1004 , and the sacrificial silicon layer 1202 . The thermal annealing process of step 1122 may be substantially similar to the thermal annealing process of step 1106 described above.

在步驟1123中,從金屬閘結構1000去除犧牲矽層1202。任何技術上可行的去除製程可在步驟1123中採用,包括選擇性濕式蝕刻製程、基於電漿的乾式蝕刻製程、化學機械拋光製程、或其任何組合。方法1100隨後繼續進行到步驟1107,其中沉積金屬閘結構1000的最終層。In step 1123, the sacrificial silicon layer 1202 is removed from the metal gate structure 1000. Any technically feasible removal process may be employed in step 1123, including a selective wet etch process, a plasma-based dry etch process, a chemical mechanical polishing process, or any combination thereof. The method 1100 then proceeds to step 1107 where the final layer of the metal gate structure 1000 is deposited.

在步驟1131中,如第12G圖所示,犧牲矽層1203在金屬氮化物覆蓋層1004上沉積。犧牲矽層1203可實質上類似於在步驟1131中沉積的犧牲矽層1202。然而,注意到在步驟1131中,金屬氮化物覆蓋層1004尚未用連續電漿氫化及氮化製程處理。隨後,金屬氮化物覆蓋層1004仍可包括如圖所示的界面層1009。In step 1131, as shown in Figure 12G, a sacrificial silicon layer 1203 is deposited over the metal nitride capping layer 1004. The sacrificial silicon layer 1203 may be substantially similar to the sacrificial silicon layer 1202 deposited in step 1131 . However, note that in step 1131, the metal nitride capping layer 1004 has not been treated with the continuous plasma hydrogenation and nitridation process. Subsequently, the metal nitride capping layer 1004 may still include the interface layer 1009 as shown.

在步驟1132中,熱退火製程(如覆蓋後退火)在半導體基板1001、界面層1002、高介電常數介電層1003、金屬氮化物覆蓋層1004、界面層1009、及犧牲矽層1203上執行。步驟1132的熱退火製程可實質上類似於上文描述的步驟1106的熱退火製程。In step 1132 , a thermal annealing process (eg, post-cover annealing) is performed on the semiconductor substrate 1001 , the interface layer 1002 , the high-k dielectric layer 1003 , the metal nitride cover layer 1004 , the interface layer 1009 , and the sacrificial silicon layer 1203 . The thermal annealing process of step 1132 may be substantially similar to the thermal annealing process of step 1106 described above.

在步驟1133中,如第12H圖所示,從金屬閘結構1000去除犧牲矽層1203、金屬氮化物覆蓋層1004、及界面層1009。任何技術上可行的去除製程或製程組合可在步驟1123中採用,包括選擇性濕式蝕刻製程、基於電漿的乾式蝕刻製程、化學機械拋光製程、或其任何組合。方法1100隨後繼續進行到步驟1134。In step 1133 , as shown in FIG. 12H , the sacrificial silicon layer 1203 , the metal nitride capping layer 1004 , and the interface layer 1009 are removed from the metal gate structure 1000 . Any technically feasible removal process or combination of processes may be employed in step 1123, including a selective wet etch process, a plasma-based dry etch process, a chemical mechanical polishing process, or any combination thereof. Method 1100 then proceeds to step 1134 .

在步驟1134中,如第12I圖所示,最終金屬氮化物覆蓋層1204在高介電常數介電層1003上沉積。最終金屬氮化物覆蓋層1204可實質上類似於金屬氮化物覆蓋層1004,並且可包括界面層1009。In step 1134, as shown in FIG. 12I, a final metal nitride capping layer 1204 is deposited over the high-k dielectric layer 1003. The final metal nitride capping layer 1204 may be substantially similar to the metal nitride capping layer 1004 and may include an interfacial layer 1009 .

在可選步驟1135中,將第12I圖所示的已暴露表面1205暴露於空氣。例如,在一些實施例中,最終金屬氮化物覆蓋層1204在一個處理系統中沉積,而待在半導體基板1001上執行的下一處理步驟(亦即,步驟1136)在不同處理系統中執行。因此,在此種實施例中,在沉積最終金屬氮化物層1204之後將半導體基板1001暴露於空氣。在實施例中,其中最終金屬氮化物覆蓋層1204在多腔室處理系統的一個腔室中執行,並且步驟1136在同一多腔室處理系統的一或兩個其他處理腔室中執行,不執行可選步驟1135。In optional step 1135, the exposed surface 1205 shown in Figure 12I is exposed to air. For example, in some embodiments, the final metal nitride capping layer 1204 is deposited in one processing system and the next processing step to be performed on the semiconductor substrate 1001 (ie, step 1136 ) is performed in a different processing system. Thus, in such an embodiment, the semiconductor substrate 1001 is exposed to air after the final metal nitride layer 1204 is deposited. In embodiments where the final metal nitride capping layer 1204 is performed in one chamber of a multi-chamber processing system and step 1136 is performed in one or two other processing chambers of the same multi-chamber processing system, no Optional step 1135 is performed.

在步驟1136中,如第12J圖所示,連續電漿氫化及氮化製程在最終金屬氮化物覆蓋層1204的表面1205上執行。在步驟1136中執行的連續電漿氫化及氮化製程可實質上類似於在步驟1104中採用的製程。隨後,界面層1009可在步驟1136期間消除或減少,由此去除在最終金屬氮化物覆蓋層1204、界面層1009、及在一些實施例中高介電常數介電層1003中存在的O原子。因此,在不按比例縮小高介電常數介電層1003的厚度1003A的情況下,金屬閘結構1000的EOT減小。In step 1136, as shown in Figure 12J, a continuous plasma hydrogenation and nitridation process is performed on the surface 1205 of the final metal nitride capping layer 1204. The continuous plasma hydrogenation and nitridation process performed in step 1136 may be substantially similar to the process employed in step 1104 . Subsequently, the interfacial layer 1009 may be eliminated or reduced during step 1136, thereby removing O atoms present in the final metal nitride capping layer 1204, interfacial layer 1009, and in some embodiments high-k dielectric layer 1003. Therefore, without scaling down the thickness 1003A of the high-k dielectric layer 1003, the EOT of the metal gate structure 1000 is reduced.

在步驟1136中執行連續電漿氫化及氮化製程之後,方法1100繼續進行到步驟1107,其中沉積金屬閘結構1000的最終層。在實施例中,其中在不同處理系統中執行步驟1136及1107,必須將半導體基板1001暴露於空氣。然而,因為步驟1136的電漿氮化製程可完全或幾乎完全氮化最終金屬氮化物覆蓋層1204的已暴露表面1205,在此空氣暴露期間通常極少發生其氧化或不發生其氧化。單步氮化 - 氫化處理 After performing the continuous plasma hydrogenation and nitridation process in step 1136, the method 1100 proceeds to step 1107 where the final layer of the metal gate structure 1000 is deposited. In an embodiment, where steps 1136 and 1107 are performed in different processing systems, the semiconductor substrate 1001 must be exposed to air. However, because the plasma nitridation process of step 1136 can completely or nearly completely nitride the exposed surface 1205 of the final metal nitride capping layer 1204, little or no oxidation thereof typically occurs during this air exposure. One-step nitridation - hydrogenation treatment

方法1300開始於步驟1301,如第14A圖所示,其中高介電常數介電層1003在界面氧化物層1002上沉積。界面氧化物層1002可藉由任何適當方法沉積,諸如化學氧化下層半導體基板1001、熱氧化下層基板、原子層沉積(atomic layer deposition; ALD)、化學氣相沉積(chemical vapor deposition; CVD)、或類似者。高介電常數介電層1003可經由上文結合第10圖描述的任何適宜的沉積方法形成。高介電常數介電層1003可包括可氧化的任何高介電常數材料。根據一個實施例,高介電常數介電層1003包括二氧化矽(SiO2 )或氧化鉿(HfO2 )。The method 1300 begins at step 1301 , as shown in FIG. 14A , wherein a high-k dielectric layer 1003 is deposited on the interface oxide layer 1002 . The interface oxide layer 1002 may be deposited by any suitable method, such as chemical oxidation of the underlying semiconductor substrate 1001, thermal oxidation of the underlying substrate, atomic layer deposition (ALD), chemical vapor deposition (CVD), or similar. The high-k dielectric layer 1003 may be formed via any suitable deposition method described above in connection with FIG. 10 . The high-k dielectric layer 1003 may comprise any high-k material that is oxidizable. According to one embodiment, the high-k dielectric layer 1003 includes silicon dioxide (SiO 2 ) or hafnium oxide (HfO 2 ).

在步驟1302中,如第14B圖所示,覆蓋層1404在高介電常數介電層1003上沉積。覆蓋層1404可經由上文結合第10圖描述的任何適宜沉積方法來形成。覆蓋層1404可包含金屬氮化物。根據一個實施例,覆蓋層可包括金屬氮化物,如氮化鈦(TiN)、氮化鎢(WN)、氮化鉭(TAN)或氮化鈦矽(TiSiN)。在一些實施例中,沉積覆蓋層1404導致形成界面層1409,該界面層在高介電常數介電層1003與覆蓋層1404之間的界面處設置。在此種實施例中,界面層1409通常包括缺陷,如空位(其可類似於第2A圖中的空位213)及/或在步驟1302的沉積製程期間由處理環境中存在的污染帶入的O原子。歸因於缺陷之間的電子跳躍,缺陷可允許不期望的電荷傳遞。電荷傳遞可導致電流洩漏或介電擊穿,從而減小金屬閘結構1000的電氣可靠性。In step 1302, a capping layer 1404 is deposited on the high-k dielectric layer 1003 as shown in FIG. 14B. The capping layer 1404 may be formed via any suitable deposition method described above in connection with FIG. 10 . The capping layer 1404 may include metal nitride. According to one embodiment, the capping layer may include a metal nitride, such as titanium nitride (TiN), tungsten nitride (WN), tantalum nitride (TAN), or titanium silicon nitride (TiSiN). In some embodiments, depositing capping layer 1404 results in the formation of interfacial layer 1409 disposed at the interface between high-k dielectric layer 1003 and capping layer 1404 . In such embodiments, interface layer 1409 typically includes defects such as vacancies (which may be similar to vacancies 213 in Figure 2A) and/or O introduced during the deposition process of step 1302 by contamination present in the processing environment atom. Defects can allow undesired charge transfer due to electron hopping between defects. The charge transfer can cause current leakage or dielectric breakdown, thereby reducing the electrical reliability of the metal gate structure 1000 .

在可選步驟1303中,將第14B圖所示的已暴露表面1401暴露於空氣。例如,在一些實施例中,覆蓋層1404在一個處理系統中沉積,諸如第5圖中的多腔室處理系統500,而待在半導體基板1001上執行的下一處理步驟在不同處理系統中執行。因此,在此種實施例中,在沉積覆蓋層1404之後,將半導體基板1001暴露於空氣。在實施例中,其中覆蓋層1404在多腔室處理系統的一個腔室中沉積,並且步驟1304在同一多腔室處理系統的一個或兩個其他處理腔室中執行,不執行可選步驟1303。In optional step 1303, the exposed surface 1401 shown in Figure 14B is exposed to air. For example, in some embodiments, capping layer 1404 is deposited in one processing system, such as multi-chamber processing system 500 in Figure 5, while the next processing step to be performed on semiconductor substrate 1001 is performed in a different processing system . Thus, in such an embodiment, the semiconductor substrate 1001 is exposed to air after the capping layer 1404 is deposited. In embodiments where capping layer 1404 is deposited in one chamber of a multi-chamber processing system and step 1304 is performed in one or two other processing chambers of the same multi-chamber processing system, optional steps are not performed 1303.

在實施例中,其中在步驟1302中沉積的覆蓋層1404係隨後去除的犧牲層,方法1400繼續進行到步驟1331。在實施例中,其中在步驟1302中沉積的覆蓋層1404保留在金屬閘結構1000中,方法1300繼續進行到步驟1304。在一些實施例中,犧牲層可藉由使用後續濕式或乾式蝕刻製程來去除,該製程對覆蓋層1404的去除具有選擇性。In an embodiment wherein the capping layer 1404 deposited in step 1302 is a sacrificial layer that is subsequently removed, the method 1400 proceeds to step 1331 . In an embodiment where the capping layer 1404 deposited in step 1302 remains in the metal gate structure 1000 , the method 1300 proceeds to step 1304 . In some embodiments, the sacrificial layer can be removed by using a subsequent wet or dry etch process that is selective to the removal of the capping layer 1404 .

在步驟1304中,如第14C圖所示,單步電漿氫化及氮化製程在覆蓋層1404的表面1401上執行。單步電漿氫化及氮化製程包含將工件(如金屬閘結構1000)暴露於處理電漿,其中處理電漿包括含氮氣體及含氫氣體。在一些實施例中,含氫氣體基本上包含含氮及含氫氣體二者,如氨(NH3 )、肼(N2 H4 )或疊氮化氫(HN3 )。在一個實例中,含氫氣體包含氨(NH3 ),並且含氮氣體包括(N2 )。根據一個實施例,處理電漿可包括含有氫及氮的單一氣體,如肼(N2 H4 )或氨(NH3 )。根據一個實施例,處理電漿可包括額外的中性載體氣體,如氬(Ar)、或氦(He)。在一個實例中,在處理電漿中含有的處理氣體實質上包含氨(NH3 )、氮(N2 )及中性載體氣體,如氬(Ar)或氦(He)。此外,在步驟1304的單步電漿氫化及氮化製程期間可藉由偏置電源426將偏壓施加到基板。類似於RF電源414,偏置電源426通常能夠產生具有從約2 MHz至約160 MHz變化的可調諧頻率及在約0 kW與約10 kW之間的功率的的RF訊號。偏壓功率藉由重新佈置沉積原子來改良生長膜的保形性。In step 1304 , as shown in FIG. 14C , a single-step plasma hydrogenation and nitridation process is performed on the surface 1401 of the capping layer 1404 . The single-step plasma hydrogenation and nitridation process involves exposing the workpiece, such as the metal gate structure 1000, to a processing plasma, wherein the processing plasma includes a nitrogen-containing gas and a hydrogen-containing gas. In some embodiments, the hydrogen-containing gas comprises substantially both nitrogen-containing and hydrogen-containing gases, such as ammonia (NH 3 ), hydrazine (N 2 H 4 ), or hydrogen azide (HN 3 ). In one example, the hydrogen-containing gas includes ammonia (NH 3 ), and the nitrogen-containing gas includes (N 2 ). According to one embodiment, the treatment plasma may include a single gas containing hydrogen and nitrogen, such as hydrazine (N 2 H 4 ) or ammonia (NH 3 ). According to one embodiment, the processing plasma may include an additional neutral carrier gas, such as argon (Ar), or helium (He). In one example, the process gas contained in the process plasma consists essentially of ammonia ( NH3 ), nitrogen ( N2 ), and a neutral carrier gas such as argon (Ar) or helium (He). Additionally, a bias voltage may be applied to the substrate by bias power supply 426 during the single-step plasma hydrogenation and nitridation process of step 1304 . Similar to RF power supply 414, bias power supply 426 is generally capable of generating RF signals having tunable frequencies ranging from about 2 MHz to about 160 MHz and powers between about 0 kW and about 10 kW. The bias power improves the conformality of the grown film by rearranging the deposited atoms.

在一些實施例中,在約10 mTorr與約100 mTorr之間的腔室壓力下、在約350℃與約500℃之間的處理溫度(如基板底座溫度)下,其中RF功率在約300 W與約2000 W之間、NH3 的流率在約5 sccm與約100 sccm之間、N2 的流率在約50 sccm與約1000 sccm之間、氦(He)流率在約1至約1000 sccm之間,執行步驟1304的單步電漿氫化及氮化製程達約30秒與約150秒之間的持續時間,並且施加具有從約2 MHz至約160 MHz的頻率、及在約0 kW與約10 kW之間的偏壓功率的基板偏壓。In some embodiments, the RF power is at about 300 W at a chamber pressure between about 10 mTorr and about 100 mTorr, at a processing temperature (eg, substrate pedestal temperature) between about 350°C and about 500°C between about 2000 W, a flow rate of NH between about 5 sccm and about 100 sccm, a flow rate of N between about 50 sccm and about 1000 sccm, and a helium (He) flow rate of about 1 to about Between 1000 seem, the single-step plasma hydrogenation and nitridation process of step 1304 is performed for a duration between about 30 seconds and about 150 seconds, and applied with a frequency from about 2 MHz to about 160 MHz, and at about 0 Substrate bias for bias power between kW and about 10 kW.

在一些實施例中,在約15 mTorr與約25 mTorr之間的腔室壓力下、在約425℃與約475℃之間的處理溫度下,其中RF功率在約900 W與約1100 W之間、NH3 的流率在約15 sccm至約35 sccm之間、N2 的流率在約450 sccm至約550 sccm之間、Ar的流率從約450 sccm至約500 sccm,執行步驟1304的單步電漿氫化及氮化製程達約85秒與約95秒之間的持續時間,並且不施加基板偏壓功率。In some embodiments, at a chamber pressure between about 15 mTorr and about 25 mTorr, at a process temperature between about 425°C and about 475°C, wherein the RF power is between about 900 W and about 1100 W , the flow rate of NH 3 is between about 15 sccm and about 35 sccm, the flow rate of N 2 is between about 450 sccm and about 550 sccm, and the flow rate of Ar is between about 450 sccm and about 500 sccm, and the flow rate of step 1304 is performed. The single-step plasma hydrogenation and nitridation process was performed for durations between about 85 seconds and about 95 seconds, and no substrate bias power was applied.

總而言之,在步驟1304中,將表面1401暴露於電漿製程中產生的電漿激發的氫及氮物種,並且將表面1401上存在的一些或所有氧化物轉化為氮化物。因此,如第14D圖所示,在一些實施例中,界面層1409變厚消除或變厚實質上減少。界面層1409仍保留,但不發生層變厚。界面層1409的減少或氮化減小EOT,並且改變金屬閘結構1000的工作函數。In summary, in step 1304, surface 1401 is exposed to plasma excited hydrogen and nitrogen species generated during the plasma process, and some or all of the oxides present on surface 1401 are converted to nitrides. Thus, as shown in Figure 14D, in some embodiments, the thickening of the interfacial layer 1409 is eliminated or substantially reduced. The interface layer 1409 remains, but no layer thickening occurs. The reduction or nitridation of the interface layer 1409 reduces the EOT and changes the work function of the metal gate structure 1000 .

在一些實施例中,在執行步驟1304的單步電漿氫化及氮化製程之前,無氧調節製程在處理腔室中執行,例如,用於減少在處理腔室中的痕量氧污染。在此種實施例中,在其中不放置基板的情況下並且在經由上文描述的單步電漿氫化及氮化製程處理基板之前,處理腔室用無氧電漿處理。In some embodiments, prior to performing the single-step plasma hydrogenation and nitridation process of step 1304, an oxygen-free conditioning process is performed in the processing chamber, eg, to reduce trace oxygen contamination in the processing chamber. In such an embodiment, the processing chamber is treated with an oxygen-free plasma without placing the substrate therein and prior to processing the substrate through the single-step plasma hydrogenation and nitridation process described above.

在可選步驟1305中,將已暴露表面1401暴露於空氣。例如,在一些實施例中,上文步驟1304的單步電漿氫化及氮化製程在一個處理系統中執行,而待在半導體基板1001上執行的下一處理步驟在不同處理系統中執行。因此,在此種實施例中,在沉積層1404之後,將半導體基板1001暴露於空氣。在實施例中,其中步驟1304的單步電漿氫化及氮化製程在多腔室處理系統的一個腔室中執行,並且步驟1306在同一多腔室處理系統的另一處理腔室中執行,不執行可選步驟1305。In optional step 1305, exposed surface 1401 is exposed to air. For example, in some embodiments, the single-step plasma hydrogenation and nitridation process of step 1304 above is performed in one processing system, while the next processing step to be performed on the semiconductor substrate 1001 is performed in a different processing system. Therefore, in such an embodiment, after deposition of layer 1404, semiconductor substrate 1001 is exposed to air. In an embodiment, the single-step plasma hydrogenation and nitridation process of step 1304 is performed in one chamber of a multi-chamber processing system, and step 1306 is performed in another processing chamber of the same multi-chamber processing system , optional step 1305 is not performed.

在實施例中,其中犧牲含矽層隨後經沉積並且去除作為形成金屬閘結構1000的部分,方法1300從步驟1305繼續進行到步驟1321。在實施例中,其中在形成金屬閘結構1000時不沉積犧牲矽層,方法1300繼續進行到步驟1306。犧牲含矽層可藉由使用CVD或ALD製程形成,該製程使用一或更多種含矽前驅物氣體來形成沉積層。In an embodiment in which a sacrificial silicon-containing layer is subsequently deposited and removed as part of forming the metal gate structure 1000 , the method 1300 proceeds from step 1305 to step 1321 . In an embodiment in which no sacrificial silicon layer is deposited when forming the metal gate structure 1000 , the method 1300 proceeds to step 1306 . The sacrificial silicon-containing layer can be formed by using a CVD or ALD process that uses one or more silicon-containing precursor gases to form the deposited layer.

在步驟1306中,熱退火製程(如覆蓋後退火)在半導體基板1001、界面層1002、高介電常數介電層1003、及覆蓋層1404上執行。例如,在一些實施例中,尖端退火製程在步驟1306中執行,其中達到約600℃至約900℃的峰值溫度。覆蓋後退火在部分形成的金屬閘結構1000上執行以平滑界面、修復不飽和鍵、並且將熱能注入覆蓋層1404中。In step 1306 , a thermal annealing process (eg, annealing after capping) is performed on the semiconductor substrate 1001 , the interface layer 1002 , the high-k dielectric layer 1003 , and the capping layer 1404 . For example, in some embodiments, a tip annealing process is performed in step 1306, wherein a peak temperature of about 600°C to about 900°C is reached. Post-cap annealing is performed on the partially formed metal gate structure 1000 to smooth the interface, repair unsaturated bonds, and inject thermal energy into the cap layer 1404 .

在步驟1307中,如第14E圖所示,金屬閘電極層1005在處理的覆蓋層1404上沉積,由此完成金屬閘結構1000的形成。金屬閘電極1005可經由上文結合第10圖描述的任何適宜沉積方法來形成。In step 1307 , as shown in FIG. 14E , a metal gate electrode layer 1005 is deposited on the processed capping layer 1404 , thereby completing the formation of the metal gate structure 1000 . The metal gate electrode 1005 may be formed via any suitable deposition method described above in connection with FIG. 10 .

在步驟1321中,如第14F圖所示,犧牲矽層1202在覆蓋層1404上沉積。在覆蓋層1404的表面1401由步驟1304的單步電漿氫化及氮化製程及步驟1305的可選空氣暴露處理之後執行步驟1321。In step 1321, as shown in FIG. 14F, a sacrificial silicon layer 1202 is deposited over capping layer 1404. Step 1321 is performed after the surface 1401 of the capping layer 1404 has been treated by the single-step plasma hydrogenation and nitridation process of step 1304 and the optional air exposure of step 1305 .

在步驟1322中,熱退火製程(如覆蓋後退火)在半導體基板1001、界面層1002、高介電常數介電層1003、覆蓋層1404、及犧牲矽層1202上執行。步驟1322的熱退火製程可實質上類似於上文描述的步驟1306的熱退火製程。In step 1322 , a thermal annealing process (eg, annealing after capping) is performed on the semiconductor substrate 1001 , the interface layer 1002 , the high-k dielectric layer 1003 , the capping layer 1404 , and the sacrificial silicon layer 1202 . The thermal annealing process of step 1322 may be substantially similar to the thermal annealing process of step 1306 described above.

在步驟1323中,從金屬閘結構1000去除犧牲矽層1202。任何技術上可行的去除製程可在步驟1323中採用,包括選擇性濕式蝕刻製程、基於電漿的乾式蝕刻製程、化學機械拋光製程、或其任何組合。方法1300隨後繼續進行到步驟1307,其中沉積金屬閘結構1000的最終層。In step 1323, the sacrificial silicon layer 1202 is removed from the metal gate structure 1000. Any technically feasible removal process may be employed in step 1323, including a selective wet etch process, a plasma-based dry etch process, a chemical mechanical polishing process, or any combination thereof. The method 1300 then proceeds to step 1307 where the final layer of the metal gate structure 1000 is deposited.

在步驟1331中,如第14G圖所示,犧牲矽層1203在覆蓋層1404上沉積。犧牲矽層1203可實質上類似於在步驟1331中沉積的犧牲矽層1202。然而,注意到在步驟1331中,覆蓋層1404尚未用單步電漿氫化及氮化製程處理。隨後,覆蓋層1404仍可包括如圖所示的界面層1409。In step 1331, as shown in FIG. 14G, a sacrificial silicon layer 1203 is deposited over capping layer 1404. The sacrificial silicon layer 1203 may be substantially similar to the sacrificial silicon layer 1202 deposited in step 1331 . Note, however, that in step 1331, cap layer 1404 has not been treated with a single-step plasma hydrogenation and nitridation process. Subsequently, the capping layer 1404 may still include the interface layer 1409 as shown.

在步驟1332中,熱退火製程(如覆蓋後退火)在半導體基板1001、界面層1002、高介電常數介電層1003、覆蓋層1404、界面層1409、及犧牲矽層1203上執行。步驟1332的熱退火製程可實質上類似於上文描述的步驟1306的熱退火製程。In step 1332 , a thermal annealing process (eg, annealing after capping) is performed on the semiconductor substrate 1001 , the interface layer 1002 , the high-k dielectric layer 1003 , the capping layer 1404 , the interface layer 1409 , and the sacrificial silicon layer 1203 . The thermal anneal process of step 1332 may be substantially similar to the thermal anneal process of step 1306 described above.

在步驟1333中,如第14H圖所示,從金屬閘結構1000去除犧牲矽層1203、覆蓋層1404、及界面層1409。任何技術上可行的去除製程或製程組合可在步驟1333中採用,包括選擇性濕式蝕刻製程、基於電漿的乾式蝕刻製程、化學機械拋光製程、或其任何組合。方法1300隨後繼續進行到步驟1334。In step 1333 , as shown in FIG. 14H , the sacrificial silicon layer 1203 , the capping layer 1404 , and the interface layer 1409 are removed from the metal gate structure 1000 . Any technically feasible removal process or combination of processes may be employed in step 1333, including a selective wet etch process, a plasma-based dry etch process, a chemical mechanical polishing process, or any combination thereof. Method 1300 then proceeds to step 1334.

在步驟1334中,如第14I圖所示,最終覆蓋層1404f在高介電常數介電層1003上沉積。最終覆蓋層1404f可由覆蓋層1404的相同材料組成,並且最終覆蓋層亦可包括界面層1409。In step 1334, a final capping layer 1404f is deposited over the high-k dielectric layer 1003 as shown in FIG. 14I. The final capping layer 1404f may be composed of the same material of the capping layer 1404, and the final capping layer may also include the interface layer 1409.

在可選步驟1335中,將第14I圖所示的已暴露表面1405暴露於空氣。例如,在一些實施例中,最終覆蓋層1404f在一個處理系統中沉積,而待在半導體基板1001上執行的下一處理步驟(亦即,步驟1336)在不同的處理系統中執行。因此,在此種實施例中,在沉積最終覆蓋層1404f之後,將半導體基板1001暴露於空氣。在實施例中,其中最終覆蓋層1404f在多腔室處理系統的一個腔室中沉積,並且步驟1336在同一多腔室處理系統的一個或兩個其他處理腔室中執行,不執行可選步驟1335。In optional step 1335, the exposed surface 1405 shown in Figure 14I is exposed to air. For example, in some embodiments, the final capping layer 1404f is deposited in one processing system, while the next processing step to be performed on the semiconductor substrate 1001 (ie, step 1336) is performed in a different processing system. Thus, in such an embodiment, the semiconductor substrate 1001 is exposed to air after deposition of the final capping layer 1404f. In embodiments where the final capping layer 1404f is deposited in one chamber of a multi-chamber processing system and step 1336 is performed in one or two other processing chambers of the same multi-chamber processing system, optional Step 1335.

在步驟1336中,如第14J圖所示,單步電漿氫化及氮化製程在最終覆蓋層1404的表面1405上執行。在步驟1336中執行的單步電漿氫化及氮化製程可實質上類似於步驟1304中採用的製程。隨後,界面層1409變厚可在步驟1336期間消除或減少,由此去除在最終覆蓋層1404f、界面層1009、及在一些實施例中高介電常數介電層1003中存在的O原子。因此,在不按比例縮小高介電常數介電層1003的厚度1003A的情況下,金屬閘結構1000的EOT減小。In step 1336, as shown in Figure 14J, a single-step plasma hydrogenation and nitridation process is performed on the surface 1405 of the final capping layer 1404. The single-step plasma hydrogenation and nitridation process performed in step 1336 may be substantially similar to the process employed in step 1304. Subsequently, interfacial layer 1409 thickening may be eliminated or reduced during step 1336, thereby removing O atoms present in final capping layer 1404f, interfacial layer 1009, and in some embodiments high-k dielectric layer 1003. Therefore, without scaling down the thickness 1003A of the high-k dielectric layer 1003, the EOT of the metal gate structure 1000 is reduced.

在步驟1336中執行單步電漿氫化及氮化製程之後,方法1300繼續進行到步驟1307,其中沉積金屬閘結構1000的最終層。在實施例中,其中在不同處理系統中執行步驟1336及1307,必須將半導體基板1001暴露於空氣。然而,因為步驟1336的電漿氮化製程可完全或幾乎完全氮化最終覆蓋層1404f的已暴露表面1405,在此空氣暴露期間通常極少發生其氧化或不發生其氧化。After performing the single-step plasma hydrogenation and nitridation process in step 1336, the method 1300 proceeds to step 1307, where the final layer of the metal gate structure 1000 is deposited. In an embodiment where steps 1336 and 1307 are performed in different processing systems, the semiconductor substrate 1001 must be exposed to air. However, because the plasma nitridation process of step 1336 can completely or nearly completely nitride the exposed surface 1405 of the final capping layer 1404f, little or no oxidation thereof typically occurs during this air exposure.

在本文揭示的實施例中,採用連續氫化及氮化製程、或單步氫化及氮化製程以賦能形成具有與經由習知方法形成的類似結構相比減小的EOT的金屬閘結構。電漿氫化製程及隨後的電漿氮化製程在膜堆疊中的金屬氮化物層中執行,由此在一些實施例中,去除在膜堆疊層內設置的O原子,並且在一些實施例中減小或防止膜堆疊內設置的含氧界面層變厚,並且在一些實施例中,將N原子添加到膜堆疊層。因此,金屬閘結構的EOT減小,而有極少或沒有伴隨的平帶電壓偏移。另外,金屬閘結構以增加的洩漏電流操作,該洩漏電流低至與經由習知技術形成的類似金屬閘結構相關聯的洩漏電流增加的四分之一。In the embodiments disclosed herein, a continuous hydrogenation and nitridation process, or a single step hydrogenation and nitridation process, is employed to enable the formation of metal gate structures with reduced EOT compared to similar structures formed by conventional methods. The plasma hydrogenation process and subsequent plasma nitridation process are performed in the metal nitride layer in the film stack, thereby removing, in some embodiments, O atoms disposed within the film stack layer, and in some embodiments reducing Oxygen-containing interfacial layers disposed within the film stack are minimized or prevented from thickening, and in some embodiments, N atoms are added to the film stack layers. Consequently, the EOT of the metal gate structure is reduced with little or no concomitant flat-band voltage shift. Additionally, the metal gate structures operate with increased leakage currents that are as low as one-fourth the increase in leakage current associated with similar metal gate structures formed via conventional techniques.

儘管上述內容涉及本揭示案的實施例,本揭示案的其他及進一步實施例可在不脫離其基本範疇的情況下設計,並且其範疇由以下申請專利範圍決定。Although the foregoing relates to embodiments of the present disclosure, other and further embodiments of the present disclosure may be devised without departing from its essential scope, which is determined by the scope of the following claims.

100:導電結構 101:源極或汲極結構 102:第一金屬層 103:金屬氮化物層 104:覆蓋層 105:矽化物 106:導電層 109:接觸阱 110:半導體基板 120:絕緣材料 200:部分 201:表面 211:主體O原子 212:表面O原子 213:空位 310:XPS光譜 320:XPS光譜 400:電漿處理腔室 404:基板支撐底座 406:腔室壁 408:腔室蓋 410:感應線圈元件 412:第一阻抗匹配網路 414:RF電源 416:電氣接地 417:電氣接地 418:屏蔽電極 419:電氣接地 420:開關 422:偵測器 424:第二阻抗匹配網路 426:偏置電源 428:基板 430:氣體面板 432:入口埠 434:氣體混合物 436:電漿 438:節流閥 440:真空泵 442:氣體來源 444:氣體管道 446:控制器 448:中央處理單元(central processing unit; CPU) 450:記憶體 452:支援電路 500:多腔室處理系統 506:傳遞腔室 508:緩衝腔室 510:單晶圓裝載閘 512:單晶圓裝載閘 513:加熱元件 514:處理腔室 516:處理腔室 518:處理腔室 520:處理腔室 522:處理腔室 523:預熱腔室 524:處理腔室 525:預熱腔室 526:機器人 528:機器人 530:電腦系統 600:方法 601:步驟 603:步驟 604:步驟 605:步驟 701:表面 703:電漿激發的氮物種 800:方法 801:步驟 802:步驟 803:步驟 804:步驟 805:步驟 900:方法 901:步驟 902:步驟 903:步驟 905:步驟 1000:金屬閘結構 1001:半導體基板 1002:界面層 1003:高介電常數介電層 1003A:厚度 1004A:厚度 1004:金屬氮化物覆蓋層 1005:金屬閘電極層 1009:界面層 1100:方法 1101:步驟 1102:步驟 1103:步驟 1104:步驟 1105:步驟 1106:步驟 1107:步驟 1121:步驟 1122:步驟 1123:步驟 1131:步驟 1132:步驟 1133:步驟 1134:步驟 1135:步驟 1136:步驟 1201:已暴露表面 1202:犧牲矽層 1203:犧牲矽層 1205:已暴露表面 1300:方法 1301:步驟 1302:步驟 1303:步驟 1304:步驟 1305:步驟 1306:步驟 1307:步驟 1321:步驟 1322:步驟 1323:步驟 1331:步驟 1332:步驟 1333:步驟 1334:步驟 1335:步驟 1336:步驟 1401:表面 1404:覆蓋層 1404f:最終覆蓋層 1409:界面層100: Conductive structure 101: Source or drain structure 102: first metal layer 103: Metal nitride layer 104: Overlay 105: Silicide 106: Conductive layer 109: Contact Well 110: Semiconductor substrate 120: Insulation material 200: part 201: Surface 211: Host O atom 212: Surface O atom 213: vacancy 310: XPS Spectrum 320: XPS Spectrum 400: Plasma Processing Chamber 404: Substrate support base 406: Chamber Wall 408: Chamber cover 410: Induction Coil Elements 412: The first impedance matching network 414: RF Power 416: Electrical Ground 417: Electrical Ground 418: Shield electrode 419: Electrical ground 420: switch 422: Detector 424: Second Impedance Matching Network 426: Bias power supply 428: Substrate 430: Gas Panel 432: Entry port 434: Gas mixture 436: Plasma 438: Throttle valve 440: Vacuum Pump 442: Gas Source 444: Gas Pipeline 446: Controller 448: central processing unit (central processing unit; CPU) 450: memory 452: Support circuit 500: Multi-chamber processing system 506: Passing Chamber 508: Buffer chamber 510: Single Wafer Load Gate 512: Single Wafer Load Gate 513: Heating element 514: Processing Chamber 516: Processing Chamber 518: Processing Chamber 520: Processing Chamber 522: Processing Chamber 523: Preheat chamber 524: Processing Chamber 525: Preheat chamber 526: Robot 528: Robot 530: Computer Systems 600: Method 601: Steps 603: Step 604: Step 605: Steps 701: Surface 703: Plasma-Excited Nitrogen Species 800: Method 801: Steps 802: Steps 803: Steps 804: Steps 805: Steps 900: Method 901: Steps 902: Steps 903: Steps 905: Steps 1000: Metal gate structure 1001: Semiconductor substrate 1002: Interface Layer 1003: High-K dielectric layer 1003A: Thickness 1004A: Thickness 1004: Metal Nitride Overlay 1005: Metal gate electrode layer 1009: Interface Layer 1100: Method 1101: Steps 1102: Steps 1103: Steps 1104: Steps 1105: Steps 1106: Steps 1107: Steps 1121: Steps 1122: Steps 1123: Steps 1131: Steps 1132: Steps 1133: Steps 1134: Steps 1135: Steps 1136: Steps 1201: Surface exposed 1202: Sacrificial Silicon Layer 1203: Sacrificial Silicon Layer 1205: Surface exposed 1300: Method 1301: Steps 1302: Steps 1303: Steps 1304: Steps 1305: Steps 1306: Steps 1307: Steps 1321: Steps 1322: Steps 1323: Steps 1331: Steps 1332: Steps 1333: Steps 1334: Steps 1335: Steps 1336: Steps 1401: Surface 1404: Overlay 1404f: Final overlay 1409: Interface Layer

為了能夠詳細理解本揭示案的上述特徵所用方式,可參考實施例進行對上文簡要概述的本揭示案的更特定描述,一些實施例在附圖中示出。然而,將注意,附圖僅示出本揭示案的常見實施例,並且由此不被認為限制其範疇,因為本揭示可允許其他等同有效的實施例。In order to enable a detailed understanding of the manner in which the above-described features of the disclosure are used, a more specific description of the disclosure, briefly summarized above, can be made with reference to embodiments, some of which are illustrated in the accompanying drawings. It is to be noted, however, that the appended drawings illustrate only common embodiments of the present disclosure and are therefore not to be considered limiting of its scope, for the present disclosure may admit to other equally effective embodiments.

第1圖示出了根據本揭示案的一實施例的在基板上形成作為半導體元件的部分的接觸結構的橫截面圖。FIG. 1 shows a cross-sectional view of a contact structure formed on a substrate as part of a semiconductor element according to an embodiment of the present disclosure.

第2A圖至第2E圖係根據本揭示案的一實施例的在製造接觸結構的各個階段處的第1圖的接觸結構內的金屬氮化物層的示意圖。FIGS. 2A-2E are schematic diagrams of metal nitride layers within the contact structure of FIG. 1 at various stages of fabricating the contact structure, according to an embodiment of the present disclosure.

第3圖係根據本揭示案的一實施例的用於在處理之前沉積及熱退火的TiN膜的X射線光電子光譜學(X-ray Photoelectron Spectroscopy; XPS)光譜310及用於在處理之後的同一沉積及熱退火的TiN膜的XPS光譜320。FIG. 3 is an X-ray Photoelectron Spectroscopy (XPS) spectrum 310 for a TiN film deposited and thermally annealed before processing and for the same after processing, according to an embodiment of the present disclosure. XPS spectrum 320 of a deposited and thermally annealed TiN film.

第4圖係經配置為實施本揭示案的一或更多個態樣的處理腔室的橫截面側視圖。4 is a cross-sectional side view of a processing chamber configured to implement one or more aspects of the present disclosure.

第5圖係經配置為實施本揭示案的一或更多個態樣的多腔室處理系統的俯視平面圖。5 is a top plan view of a multi-chamber processing system configured to implement one or more aspects of the present disclosure.

第6圖闡明了根據本揭示案的一些實施例的用於減少接觸結構中的主體及界面氧的處理步驟的流程圖。Figure 6 illustrates a flow diagram of process steps for reducing bulk and interfacial oxygen in contact structures in accordance with some embodiments of the present disclosure.

第7A圖至第7E圖係根據本揭示案的各個實施例的對應於第6圖的製程的不同階段的半導體元件的示意性橫截面圖。FIGS. 7A-7E are schematic cross-sectional views of a semiconductor device corresponding to different stages of the process of FIG. 6 according to various embodiments of the present disclosure.

第8圖闡明了根據本揭示案的一些實施例的用於減少接觸結構中的主體及界面氧的處理步驟的流程圖。8 illustrates a flow diagram of process steps for reducing bulk and interfacial oxygen in contact structures in accordance with some embodiments of the present disclosure.

第9圖闡明了根據本揭示案的一些實施例的用於減少接觸結構中的主體及界面氧的處理步驟的流程圖。Figure 9 illustrates a flow diagram of process steps for reducing bulk and interfacial oxygen in contact structures in accordance with some embodiments of the present disclosure.

第10圖示出了根據本揭示案的一實施例形成的金屬閘結構的橫截面圖。FIG. 10 shows a cross-sectional view of a metal gate structure formed in accordance with an embodiment of the present disclosure.

第11圖闡明了根據本揭示案的各個實施例的用於減小金屬閘結構中的有效氧化物厚度(effective oxide thickness; EOT)的處理步驟的流程圖。11 illustrates a flow diagram of process steps for reducing effective oxide thickness (EOT) in metal gate structures in accordance with various embodiments of the present disclosure.

第12A圖至第12J圖係根據本揭示案的各個實施例的對應於第11圖的製程的不同階段的半導體元件的示意性橫截面圖。FIGS. 12A to 12J are schematic cross-sectional views of semiconductor devices corresponding to different stages of the process of FIG. 11 according to various embodiments of the present disclosure.

第13圖闡明了根據本揭示案的各個實施例的用單步氫化及氮化製程處理金屬閘結構的處理步驟的流程圖。13 illustrates a flow diagram of processing steps for processing a metal gate structure with a single-step hydrogenation and nitridation process in accordance with various embodiments of the present disclosure.

第14A圖至第14J圖係根據本揭示案的各個實施例的對應於第13圖的製程的不同階段的半導體元件的示意性橫截面圖。FIGS. 14A to 14J are schematic cross-sectional views of semiconductor devices corresponding to different stages of the process of FIG. 13 according to various embodiments of the present disclosure.

為了便於理解,相同元件符號在可能的情況下已經用於標識圖中共有的相同元件。可預期,一個實施例的元件及特徵可有利地併入其他實施例中,而無需進一步敘述。To facilitate understanding, the same reference numerals have been used, where possible, to identify the same elements that are common to the figures. It is contemplated that elements and features of one embodiment may be advantageously incorporated in other embodiments without further recitation.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic storage information (please note in the order of storage institution, date and number) none

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Foreign deposit information (please mark in the order of deposit country, institution, date and number) none

1000:金屬閘結構 1000: Metal gate structure

1001:半導體基板 1001: Semiconductor substrate

1002:界面層 1002: Interface Layer

1003:高介電常數介電層 1003: High-K dielectric layer

1401:表面 1401: Surface

1404:覆蓋層 1404: Overlay

1409:界面層 1409: Interface Layer

Claims (17)

一種在一半導體元件中形成一結構的方法,該方法包含:在一半導體基板上形成的一高介電常數介電層上沉積一金屬氮化物層,以形成該結構的一部分,其中該半導體基板係設置在一底座的一基板支撐表面上方,該底座係設置在一群集工具的一第一處理腔室中;將形成在該半導體基板上的該沉積的金屬氮化物層的一已暴露表面連續暴露於一非氧化的電漿激發的氫物種,隨後暴露於一電漿激發的氮物種,同時將一偏壓施加至該半導體基板,該半導體基板係設置在一底座的一基板支撐表面上,該底座係設置在該群集工具的一第二處理腔室中;在該已暴露表面上沉積一含矽層;在該含矽層上執行一熱退火製程;以及去除該含矽層。 A method of forming a structure in a semiconductor device, the method comprising: depositing a metal nitride layer on a high-k dielectric layer formed on a semiconductor substrate to form a portion of the structure, wherein the semiconductor substrate is disposed over a substrate support surface of a pedestal disposed in a first processing chamber of a cluster tool; continuing an exposed surface of the deposited metal nitride layer formed on the semiconductor substrate exposure to a non-oxidizing plasma-excited hydrogen species, followed by exposure to a plasma-excited nitrogen species, while applying a bias voltage to the semiconductor substrate disposed on a substrate support surface of a pedestal, The pedestal is disposed in a second processing chamber of the cluster tool; a silicon-containing layer is deposited on the exposed surface; a thermal annealing process is performed on the silicon-containing layer; and the silicon-containing layer is removed. 如請求項1所述之方法,進一步包含:在將該已暴露表面連續暴露於該非氧化的電漿激發的氫物種與該電漿激發的氮物種之後,在該金屬氮化物層的該已暴露表面上沉積一金屬層。 The method of claim 1, further comprising: after successively exposing the exposed surface to the non-oxidizing plasma-excited hydrogen species and the plasma-excited nitrogen species, in the exposed surface of the metal nitride layer A metal layer is deposited on the surface. 如請求項2所述之方法,其中該結構包含一p金屬閘極結構且該金屬層包含該p金屬閘極結構的一工作函數金屬。 The method of claim 2, wherein the structure includes a p-metal gate structure and the metal layer includes a work function metal of the p-metal gate structure. 如請求項1所述之方法,進一步包含:在沉積該高介電常數介電層之前,形成一含二氧化矽的界面層,在該含二氧化矽的界面層上隨後形成該高介電常數介電層。 The method of claim 1, further comprising: forming a silicon dioxide-containing interface layer before depositing the high-k dielectric layer, and then forming the high-k dielectric layer on the silicon dioxide-containing interface layer constant dielectric layer. 如請求項1所述之方法,其中在將該已暴露表面暴露於該非氧化的電漿激發的氫物種之後與暴露於該電漿激發的氮物種之前,該已暴露表面未暴露於空氣。 The method of claim 1, wherein the exposed surface is not exposed to air after exposing the exposed surface to the non-oxidizing plasma-excited hydrogen species and prior to exposing the exposed surface to the plasma-excited nitrogen species. 如請求項1所述之方法,在該高介電常數介電層上沉積該金屬氮化物層之前,進一步包含:在該高介電常數介電層上沉積一犧牲金屬氮化物層;在該犧牲金屬氮化物層上沉積一含矽層;在該犧牲金屬氮化物層及該含矽層上執行一熱退火製程;以及去除該犧牲金屬氮化物層與該含矽層。 The method of claim 1, before depositing the metal nitride layer on the high-k dielectric layer, further comprising: depositing a sacrificial metal nitride layer on the high-k dielectric layer; depositing a silicon-containing layer on the sacrificial metal nitride layer; performing a thermal annealing process on the sacrificial metal nitride layer and the silicon-containing layer; and removing the sacrificial metal nitride layer and the silicon-containing layer. 如請求項1所述之方法,進一步包含:在將該已暴露表面暴露於該非氧化的電漿激發的氫物種之前,將一處理腔室的一表面暴露於一無氧電漿。 The method of claim 1, further comprising exposing a surface of a processing chamber to an oxygen-free plasma prior to exposing the exposed surface to the non-oxidizing plasma excited hydrogen species. 如請求項7所述之方法,其中當該半導體基板未設置在該處理腔室中時,在該處理腔室中形成該無氧電漿。 The method of claim 7, wherein the oxygen-free plasma is formed in the processing chamber when the semiconductor substrate is not disposed in the processing chamber. 如請求項1所述之方法,進一步包含:在將該已暴露表面連續暴露於該非氧化的電漿激發的氫物種,隨後暴露於該電漿激發的氮物種之後,將該已暴露表面連續暴露於空氣。 The method of claim 1, further comprising continuously exposing the exposed surface to the non-oxidizing plasma-excited hydrogen species followed by exposure to the plasma-excited nitrogen species in air. 一種在一半導體元件中形成一結構的方法,該方法包含:在一半導體基板上沉積一高介電常數介電層,其中該半導體基板係設置在一底座上方;在該高介電常數介電層上沉積一金屬氮化物層;將該金屬氮化物層的一已暴露表面連續暴露於一非氧化的電漿激發的氫物種,隨後暴露於一電漿激發的氮物種,同時將一偏壓施加至該底座;在將該已暴露表面連續暴露於該非氧化的電漿激發的氫物種,隨後暴露於該電漿激發的氮物種之後,將該已暴露表面暴露於空氣;以及在將該已暴露表面暴露於空氣之後,退火該高介電常數介電層與該金屬氮化物層。 A method of forming a structure in a semiconductor device, the method comprising: depositing a high-k dielectric layer on a semiconductor substrate, wherein the semiconductor substrate is disposed over a base; depositing a metal nitride layer on top of the layer; exposing an exposed surface of the metal nitride layer to a non-oxidizing plasma-excited hydrogen species, followed by exposure to a plasma-excited nitrogen species, while biasing a applying to the base; exposing the exposed surface to air after successively exposing the exposed surface to the non-oxidizing plasma-excited hydrogen species, followed by exposure to the plasma-excited nitrogen species; and exposing the exposed surface to air After the exposed surface is exposed to air, the high-k dielectric layer and the metal nitride layer are annealed. 如請求項10所述之方法,在執行退火該高介電常數介電層與該金屬氮化物層之後,在該金屬氮化物層的該已暴露表面上沉積一金屬層。 The method of claim 10, after performing annealing of the high-k dielectric layer and the metal nitride layer, depositing a metal layer on the exposed surface of the metal nitride layer. 如請求項10所述之方法,其中該非氧化的電漿激發的氫物種由一處理氣體所製成,該處理氣體包含氫氣(H2)。 The method of claim 10, wherein the non-oxidizing plasma excited hydrogen species is produced from a process gas, the process gas comprising hydrogen gas ( H2 ). 如請求項10所述之方法,其中該金屬氮化物層具有一厚度,該厚度小於當該金屬氮化物層進行退火時,氧在該金屬氮化物層中的一擴散長度。 The method of claim 10, wherein the metal nitride layer has a thickness that is less than a diffusion length of oxygen in the metal nitride layer when the metal nitride layer is annealed. 一種在一半導體元件中形成一結構的方法,該方法包含:在一半導體基板上沉積一高介電常數介電層,其中該半導體基板係設置於一處理腔室的一底座上;在該高介電常數介電層上沉積一金屬氮化物層以形成該結構的一部分,同時將一偏壓施加至該處理腔室的該底座;以及藉由將該金屬氮化物層的一已暴露表面連續暴露於一非氧化的電漿激發的氫物種,隨後暴露於一電漿激發的氮物種,而將該金屬氮化物層的一第一有效氧化物厚度降低至一第二有效氧化物厚度,其中該非氧化的電漿激發的氫物種由一處理氣體所製成,該處理氣體包含氫氣(H2)且該電漿激發的氮物種由一處理氣體所製成,該處理氣體包含氮氣(N2)與氨(NH3)。 A method of forming a structure in a semiconductor device, the method comprising: depositing a high-k dielectric layer on a semiconductor substrate, wherein the semiconductor substrate is disposed on a base of a processing chamber; depositing a metal nitride layer on the dielectric constant dielectric layer to form part of the structure while applying a bias to the base of the processing chamber; and continuing by an exposed surface of the metal nitride layer Exposure to a non-oxidizing plasma-excited hydrogen species followed by exposure to a plasma-excited nitrogen species reduces a first effective oxide thickness of the metal nitride layer to a second effective oxide thickness, wherein The non-oxidizing plasma excited hydrogen species is made from a process gas comprising hydrogen ( H2 ) and the plasma excited nitrogen species is made from a process gas comprising nitrogen ( N2) ) and ammonia (NH 3 ). 如請求項14所述之方法,其中在將該已暴露表面暴露於該非氧化的電漿激發的氫物種之後與暴露於該電漿激發的氮物種之前,該已暴露表面未暴露於空氣。 The method of claim 14, wherein the exposed surface is not exposed to air after exposing the exposed surface to the non-oxidizing plasma-excited hydrogen species and prior to exposing the exposed surface to the plasma-excited nitrogen species. 如請求項12所述之方法,進一步包含:在將該已暴露表面暴露於該非氧化的電漿激發的氫物種之前,在一處理腔室上執行一無氧電漿處理製程,在該處理腔室中,該已暴露表面暴露於該非氧化的電漿激發的氫物種。 The method of claim 12, further comprising: performing an oxygen-free plasma processing process on a processing chamber prior to exposing the exposed surface to the non-oxidizing plasma-excited hydrogen species, the processing chamber In the chamber, the exposed surface is exposed to the non-oxidizing plasma excited hydrogen species. 如請求項16所述之方法,其中當該半導體基板未設置於該處理腔室中時,在該處理腔室上執行該無氧電漿處理製程。 The method of claim 16, wherein the oxygen-free plasma processing process is performed on the processing chamber when the semiconductor substrate is not disposed in the processing chamber.
TW110129378A 2019-01-09 2019-10-21 Hydrogenation and nitridization processes for modifying effective oxide thickness of a film TWI777717B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/244,051 US10510545B2 (en) 2016-06-20 2019-01-09 Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US16/244,051 2019-01-09

Publications (2)

Publication Number Publication Date
TW202145372A TW202145372A (en) 2021-12-01
TWI777717B true TWI777717B (en) 2022-09-11

Family

ID=71521286

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108137856A TWI739176B (en) 2019-01-09 2019-10-21 Forming method of structure in semiconductor device for modifying effective oxide thickness
TW110129378A TWI777717B (en) 2019-01-09 2019-10-21 Hydrogenation and nitridization processes for modifying effective oxide thickness of a film

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108137856A TWI739176B (en) 2019-01-09 2019-10-21 Forming method of structure in semiconductor device for modifying effective oxide thickness

Country Status (5)

Country Link
JP (1) JP7439101B2 (en)
KR (2) KR102553773B1 (en)
CN (1) CN113396470A (en)
TW (2) TWI739176B (en)
WO (1) WO2020146030A1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200416862A (en) * 2002-12-02 2004-09-01 Tadahiro Ohmi Semiconductor device and method of manufacturing the same
US20150194352A1 (en) * 2011-12-06 2015-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor die
US20160196980A1 (en) * 2015-01-06 2016-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US20170125536A1 (en) * 2015-10-28 2017-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW541659B (en) * 2002-04-16 2003-07-11 Macronix Int Co Ltd Method of fabricating contact plug
TWI408734B (en) * 2005-04-28 2013-09-11 Semiconductor Energy Lab Semiconductor device and method for manufacturing the same
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP5380827B2 (en) 2006-12-11 2014-01-08 ソニー株式会社 Manufacturing method of semiconductor device
JP5220062B2 (en) 2009-06-10 2013-06-26 株式会社日立国際電気 Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
KR20170142998A (en) * 2009-12-25 2017-12-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Method for manufacturing semiconductor device
US8048810B2 (en) * 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US8716118B2 (en) * 2012-01-06 2014-05-06 International Business Machines Corporation Replacement gate structure for transistor with a high-K gate stack
US8901556B2 (en) * 2012-04-06 2014-12-02 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
US9576788B2 (en) * 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
KR102513517B1 (en) * 2015-07-30 2023-03-22 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and electronic device
TWI726951B (en) * 2015-12-17 2021-05-11 美商應用材料股份有限公司 Methods of treating nitride films
US10103027B2 (en) * 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200416862A (en) * 2002-12-02 2004-09-01 Tadahiro Ohmi Semiconductor device and method of manufacturing the same
US20150194352A1 (en) * 2011-12-06 2015-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor die
US20160196980A1 (en) * 2015-01-06 2016-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US20170125536A1 (en) * 2015-10-28 2017-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure

Also Published As

Publication number Publication date
WO2020146030A1 (en) 2020-07-16
TW202027171A (en) 2020-07-16
CN113396470A (en) 2021-09-14
KR20210102465A (en) 2021-08-19
TWI739176B (en) 2021-09-11
JP7439101B2 (en) 2024-02-27
TW202145372A (en) 2021-12-01
JP2022518386A (en) 2022-03-15
KR102553773B1 (en) 2023-07-11
KR20230120647A (en) 2023-08-17

Similar Documents

Publication Publication Date Title
US10431466B2 (en) Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
KR100645306B1 (en) Method of treating substrate
US9012336B2 (en) Method for conformal treatment of dielectric films using inductively coupled plasma
US9431237B2 (en) Post treatment methods for oxide layers on semiconductor devices
US7446052B2 (en) Method for forming insulation film
JP4408653B2 (en) Substrate processing method and semiconductor device manufacturing method
US8043981B2 (en) Dual frequency low temperature oxidation of a semiconductor device
SG176700A1 (en) Methods of forming oxide layers on substrates
US10504779B2 (en) Hydrogenation and nitridization processes for reducing oxygen content in a film
US10347492B2 (en) Modifying work function of a metal film with a plasma process
JP4083000B2 (en) Insulating film formation method
WO2007053553A2 (en) Method and system for forming a nitrided germanium-containing layer using plasma processing
US8163626B2 (en) Enhancing NAND flash floating gate performance
TWI777717B (en) Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
JP2005302892A (en) Semiconductor device and its manufacturing method
US20220231137A1 (en) Metal cap for contact resistance reduction
KR20120089147A (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent