KR20210102465A - Hydrogenation and nitridation processes to modify the effective oxide thickness of a film - Google Patents

Hydrogenation and nitridation processes to modify the effective oxide thickness of a film Download PDF

Info

Publication number
KR20210102465A
KR20210102465A KR1020217024647A KR20217024647A KR20210102465A KR 20210102465 A KR20210102465 A KR 20210102465A KR 1020217024647 A KR1020217024647 A KR 1020217024647A KR 20217024647 A KR20217024647 A KR 20217024647A KR 20210102465 A KR20210102465 A KR 20210102465A
Authority
KR
South Korea
Prior art keywords
layer
plasma
species
metal nitride
hydrogenation
Prior art date
Application number
KR1020217024647A
Other languages
Korean (ko)
Other versions
KR102553773B1 (en
Inventor
호우다 그라우이
요하네스 에프. 스웬버그
웨이 리우
스티븐 씨.에이치. 헝
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/244,051 external-priority patent/US10510545B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237022826A priority Critical patent/KR20230120647A/en
Publication of KR20210102465A publication Critical patent/KR20210102465A/en
Application granted granted Critical
Publication of KR102553773B1 publication Critical patent/KR102553773B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28255Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28575Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/452Ohmic electrodes on AIII-BV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)

Abstract

본원에 설명된 실시예들은 일반적으로, 종래의 방법들을 통해 형성된 유사한 구조에 비해 감소된 유효 산화물 두께를 갖는 금속 게이트 구조의 형성을 가능하게 하는 것에 관한 것이다. 플라즈마 수소화 프로세스에 이어서 플라즈마 질화 프로세스, 또는 단일 단계 플라즈마 수소화 및 질화 프로세스는 막 스택의 금속 질화물 층에 대해 수행되고, 이에 의해, 일부 실시예들에 따르면, 막 스택의 층들 내에 배치된 산소 원자들을 제거하고, 일부 실시예들에서, 막 스택의 층들에 질소 원자들을 추가한다. 결과적으로, 금속 게이트 구조의 유효 산화물 두께는 수반되는 플랫밴드 전압 시프트가 거의 또는 전혀 없이 감소된다.Embodiments described herein are generally directed to enabling the formation of metal gate structures having reduced effective oxide thickness compared to similar structures formed through conventional methods. A plasma hydrogenation process followed by a plasma nitridation process, or a single step plasma hydrogenation and nitridation process, is performed on the metal nitride layer of the film stack, thereby removing oxygen atoms disposed within the layers of the film stack, according to some embodiments. and, in some embodiments, add nitrogen atoms to the layers of the film stack. As a result, the effective oxide thickness of the metal gate structure is reduced with little or no accompanying flatband voltage shift.

Description

막의 유효 산화물 두께를 수정하기 위한 수소화 및 질화 프로세스들Hydrogenation and nitridation processes to modify the effective oxide thickness of a film

본원에 설명된 실시예들은 일반적으로, 반도체 기판들을 처리하기 위한 방법 및 장치에 관한 것으로, 더 구체적으로, 막의 유효 산화물 두께를 수정하는 수소화 및 질화 프로세스들에 관한 것이다.BACKGROUND Embodiments described herein generally relate to methods and apparatus for processing semiconductor substrates, and more particularly, to hydrogenation and nitridation processes for modifying the effective oxide thickness of a film.

집적 회로들에서, 더 작은 트랜지스터들, 예컨대, 금속 산화물 반도체 전계 효과 트랜지스터들(MOSFET들)이 매우 바람직하다. 첫째, 더 작은 트랜지스터들은 주어진 칩 영역에 더 많은 트랜지스터들이 형성되는 것을 가능하게 하고, 그에 의해 칩 크기를 감소시킨다. 둘째, 더 작은 트랜지스터들은 일반적으로, 더 큰 트랜지스터들보다 더 빠르게 스위칭할 수 있고, 그에 의해 칩 성능을 개선한다.In integrated circuits, smaller transistors such as metal oxide semiconductor field effect transistors (MOSFETs) are highly desirable. First, smaller transistors allow more transistors to be formed in a given chip area, thereby reducing chip size. Second, smaller transistors can generally switch faster than larger transistors, thereby improving chip performance.

MOSFET의 크기를 감소시키기 위한 하나의 접근법은 스케일링이고, 이 스케일링에서, 중요한 디바이스 치수들, 예컨대, 트랜지스터 길이, 트랜지스터 폭, 및 산화물(또는 유전체) 두께가 비례하여 감소된다. 이 접근법에서, 트랜지스터 채널 저항은 트랜지스터 크기가 감소됨에 따라 변하지 않는 반면, 트랜지스터의 게이트 커패시턴스 및 RC 지연은 크기 감소에 비례하여 감소한다.One approach to reducing the size of a MOSFET is scaling, in which important device dimensions such as transistor length, transistor width, and oxide (or dielectric) thickness are proportionally reduced. In this approach, the transistor channel resistance does not change as the transistor size decreases, while the gate capacitance and RC delay of the transistor decrease proportionally with the decrease in size.

그러나, MOSFET의 유전체 두께의 감소는, MOSFET을 미래의 기술 노드들에 의해 요구되는 크기로 스케일링하는 데 중요한 반면에, 또한 중요한 상충관계가 있다. 구체적으로, MOSFET들의 종래의 산화물/산질화물 유전체 층의 두께의 선형 감소로, 게이트 누설의 기하급수적 증가가 존재하고, 증가된 전력 소비를 초래한다. 게다가, 유전체 층의 두께는 이제 수 개의 원자 층들에 가까워서, 신뢰성 우려를 높인다. 따라서, 게이트 누설의 기하급수적 증가 없이 트랜지스터의 산화물 두께 또는 유효 산화물 두께(EOT)가 감소될 수 있는 임의의 수단이 매우 바람직하다. 이러한 및 다른 필요들이 본 개시내용에서 다루어진다.However, while reducing the dielectric thickness of a MOSFET is important for scaling the MOSFET to the size required by future technology nodes, there is also an important trade-off. Specifically, with a linear decrease in the thickness of the conventional oxide/oxynitride dielectric layer of MOSFETs, there is an exponential increase in gate leakage, resulting in increased power consumption. Moreover, the thickness of the dielectric layer is now close to several atomic layers, raising reliability concerns. Therefore, any means by which the effective oxide thickness (EOT) or oxide thickness of a transistor can be reduced without an exponential increase in gate leakage is highly desirable. These and other needs are addressed in this disclosure.

본원에 설명된 실시예들은 일반적으로, 반도체 디바이스의 전도성 구조의 계면 및 벌크 O 원자들을 감소시키기 위한 순차적 수소화 및 질화 프로세스들에 관한 것이다. 일 실시예에서, 반도체 디바이스에 구조를 형성하는 방법이 제공되며, 방법은 반도체 기판 상에 고-k 유전체 층을 증착시키는 단계, 구조의 부분을 형성하기 위해 고-k 유전체 층 상에 캡핑 층을 증착시키는 단계 - 증착된 캡핑 층은 노출된 표면을 가짐 -, 및 노출된 표면을 플라즈마 여기된 수소 종 및 플라즈마 여기된 질소 종에 노출시키는 단계를 포함한다. 기판의 부분은 캡핑 층 및 고-k 유전체를 포함한다.Embodiments described herein generally relate to sequential hydrogenation and nitridation processes for reducing the interfacial and bulk O atoms of a conductive structure of a semiconductor device. In one embodiment, a method of forming a structure in a semiconductor device is provided, the method comprising: depositing a high-k dielectric layer on a semiconductor substrate; depositing a capping layer on the high-k dielectric layer to form part of the structure; depositing, the deposited capping layer having an exposed surface, and exposing the exposed surface to plasma excited hydrogen species and plasma excited nitrogen species. A portion of the substrate includes a capping layer and a high-k dielectric.

일 실시예에서, 반도체 디바이스에 구조를 형성하는 방법은, 반도체 기판 상에 고-k 유전체 층을 증착시키는 단계, 구조의 부분을 형성하기 위해 고-k 금속 유전체 층 상에 금속 질화물 층을 증착시키는 단계 - 부분은 금속 질화물 층 및 고-k 금속 유전체 층을 포함하고 제1 유효 산화물 두께를 가지며, 증착된 금속 질화물 층은 노출된 표면을 가짐 -, 제1 유효 산화물 두께를 제2 유효 산화물 두께로 감소시키기 위해, 노출된 표면을 비산화 플라즈마 여기된 수소 종에 이어서 플라즈마 여기된 질소 종에 순차적으로 노출시키는 단계를 포함한다.In one embodiment, a method of forming a structure in a semiconductor device includes depositing a high-k dielectric layer on a semiconductor substrate, depositing a metal nitride layer on the high-k metal dielectric layer to form part of the structure. step - the portion comprising a metal nitride layer and a high-k metal dielectric layer and having a first effective oxide thickness, the deposited metal nitride layer having an exposed surface; to reduce, sequentially exposing the exposed surface to a non-oxidizing plasma excited hydrogen species followed by a plasma excited nitrogen species.

다른 실시예에서, 반도체 디바이스에 구조를 형성하는 방법은, 반도체 기판 상에 고-k 유전체 층을 증착시키는 단계, 고-k 금속 유전체 층 상에 금속 질화물 층을 증착시키는 단계, 노출된 표면을 플라즈마 여기된 수소 종에 이어서 플라즈마 여기된 질소 종에 순차적으로 노출시키는 단계, 노출된 표면을 플라즈마 여기된 수소 종에 이어서 플라즈마 여기된 질소 종에 순차적으로 노출시킨 후에, 노출된 표면을 공기에 노출시키는 단계, 및 노출된 표면을 공기에 노출시킨 후에, 특정 시간 동안 특정 온도에서 고-k 유전체 층 및 금속 질화물 층에 대해 열 어닐링 프로세스를 수행하는 단계를 포함한다.In another embodiment, a method of forming a structure in a semiconductor device includes depositing a high-k dielectric layer on a semiconductor substrate, depositing a metal nitride layer on the high-k metal dielectric layer, and plasma the exposed surface. sequential exposure to excited hydrogen species followed by plasma excited nitrogen species, sequentially exposing the exposed surface to plasma excited hydrogen species followed by plasma excited nitrogen species, followed by exposing the exposed surface to air. , and after exposing the exposed surface to air, performing a thermal annealing process on the high-k dielectric layer and the metal nitride layer at a specified temperature for a specified time.

다른 실시예에서, 반도체 디바이스에 구조를 형성하는 방법은, 반도체 기판 상에 고-k 유전체 층을 증착시키는 단계, 구조의 부분을 형성하기 위해 고-k 금속 유전체 층 상에 금속 질화물 층을 증착시키는 단계 - 부분은 금속 질화물 층 및 고-k 금속 유전체 층을 포함하고 제1 유효 산화물 두께를 가지며, 증착된 금속 질화물 층은 노출된 표면을 가짐 -, 노출된 표면을 비산화 플라즈마 여기된 수소 종에 이어서 플라즈마 여기된 질소 종에 순차적으로 노출시킴으로써 제1 유효 산화물 두께를 제2 유효 산화물 두께로 감소시키는 단계를 포함한다.In another embodiment, a method of forming a structure in a semiconductor device includes depositing a high-k dielectric layer on a semiconductor substrate, depositing a metal nitride layer on the high-k metal dielectric layer to form a portion of the structure. step, the portion comprising a metal nitride layer and a high-k metal dielectric layer and having a first effective oxide thickness, the deposited metal nitride layer having an exposed surface, subjecting the exposed surface to a non-oxidizing plasma excited hydrogen species. and then reducing the first effective oxide thickness to a second effective oxide thickness by sequential exposure to plasma excited nitrogen species.

다른 실시예에서, 반도체 디바이스에 구조를 형성하는 방법이 제공되며, 방법은 반도체 기판 상에 고-k 유전체 층을 증착시키는 단계, 고-k 금속 유전체 층 상에 캡핑 층을 증착시키는 단계, 캡핑 층의 노출된 표면을 플라즈마 여기된 수소 종 및 플라즈마 여기된 질소 종에 노출시키는 단계, 노출된 표면을 공기에 노출시키는 단계, 및 특정 시간 동안 특정 온도에서 고-k 유전체 층 및 캡핑 층에 대해 열 어닐링 프로세스를 수행하는 단계를 포함한다.In another embodiment, a method of forming a structure in a semiconductor device is provided, the method comprising depositing a high-k dielectric layer on a semiconductor substrate, depositing a capping layer on the high-k metal dielectric layer, a capping layer exposing the exposed surface of to plasma excited hydrogen species and plasma excited nitrogen species; exposing the exposed surface to air; performing the process.

다른 실시예에서, 반도체 디바이스에 구조를 형성하는 방법이 제공되며, 방법은 반도체 기판 상에 고-k 유전체 층을 증착시키는 단계, 구조의 부분을 형성하기 위해 고-k 유전체 층 상에 캡핑 층을 증착시키는 단계 - 증착된 캡핑 층은 노출된 표면을 가짐 -, 및 노출된 표면을 플라즈마 여기된 수소 종 및 플라즈마 여기된 질소 종에 노출시키는 단계 - 플라즈마 여기된 수소 종은 암모니아를 포함하고, 플라즈마 여기된 질소 종은 질소 가스(N2)를 포함함 - 를 포함한다. 구조의 부분은 캡핑 층 및 고-k 유전체를 포함한다.In another embodiment, a method of forming a structure in a semiconductor device is provided, the method comprising: depositing a high-k dielectric layer on a semiconductor substrate; depositing a capping layer on the high-k dielectric layer to form part of the structure; depositing, the deposited capping layer having an exposed surface, and exposing the exposed surface to plasma excited hydrogen species and plasma excited nitrogen species, the plasma excited hydrogen species comprising ammonia, and plasma excited Nitrogen species produced include - including nitrogen gas (N 2 ). A portion of the structure includes a capping layer and a high-k dielectric.

다른 실시예에서, 반도체 디바이스에 구조를 형성하는 방법이 제공되고, 방법은, 기판의 표면 위에 형성된 고-k 유전체 층 상에 금속 질화물 캡핑 층을 증착시키는 단계, 및 증착된 금속 질화물 캡핑 층의 노출된 표면을, 수소 함유 종을 포함하는 제1 가스 및 질소 함유 종을 포함하는 제2 가스를 포함하는 플라즈마에 노출시키는 단계를 포함하고, 여기서 제1 가스의 수소 함유 종은 질소를 포함한다.In another embodiment, a method of forming a structure in a semiconductor device is provided, the method comprising depositing a metal nitride capping layer on a high-k dielectric layer formed over a surface of a substrate, and exposing the deposited metal nitride capping layer. exposing the treated surface to a plasma comprising a first gas comprising hydrogen-containing species and a second gas comprising nitrogen-containing species, wherein the hydrogen-containing species of the first gas comprises nitrogen.

다른 실시예에서, 반도체 디바이스에 구조를 형성하는 방법이 제공되며, 방법은, 반도체 기판 상에 고-k 유전체 층을 증착시키는 단계, 고-k 유전체 층 상에 캡핑 층을 증착시키는 단계, 캡핑 층의 노출된 표면을 플라즈마 여기된 수소 종 및 플라즈마 여기된 질소 종에 노출시키는 단계, 노출된 표면을 공기에 노출시키는 단계, 및 특정 시간 동안 특정 온도에서 고-k 유전체 층 및 캡핑 층에 대해 열 어닐링 프로세스를 수행하는 단계를 포함한다.In another embodiment, a method of forming a structure in a semiconductor device is provided, the method comprising: depositing a high-k dielectric layer on a semiconductor substrate; depositing a capping layer on the high-k dielectric layer; exposing the exposed surface of to plasma excited hydrogen species and plasma excited nitrogen species; exposing the exposed surface to air; performing the process.

다른 실시예에서, 반도체 디바이스에 구조를 형성하는 방법이 제공되며, 방법은, 반도체 기판 상에 고-k 유전체 층을 증착시키는 단계, 구조의 부분을 형성하기 위해 고-k 유전체 층 상에 캡핑 층을 증착시키는 단계 - 부분은 캡핑 층 및 고-k 유전체 층을 포함하고, 증착된 캡핑 층은 노출된 표면을 가짐 -, 및 노출된 표면을 플라즈마 여기된 수소 종 및 플라즈마 여기된 질소 종에 노출시키는 단계 - 플라즈마 여기된 수소 종은 암모니아를 포함하고, 플라즈마 여기된 질소 종은 질소 가스(N2)를 포함함 - 를 포함한다.In another embodiment, a method of forming a structure in a semiconductor device is provided, the method comprising: depositing a high-k dielectric layer on a semiconductor substrate; a capping layer on the high-k dielectric layer to form part of the structure; depositing, the portion comprising a capping layer and a high-k dielectric layer, the deposited capping layer having an exposed surface, and exposing the exposed surface to plasma excited hydrogen species and plasma excited nitrogen species; a step, wherein the plasma excited hydrogen species comprises ammonia and the plasma excited nitrogen species comprises nitrogen gas (N 2 ).

본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있기 때문에, 첨부 도면들은 본 개시내용의 전형적인 실시예들만을 예시하고 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은, 본 개시내용의 실시예에 따른, 반도체 디바이스의 일부로서 기판 상에 형성된 접촉 구조의 단면도를 예시한다.
도 2a-2e는, 본 개시내용의 실시예에 따른, 접촉 구조의 제조의 다양한 스테이지들에서의 도 1의 접촉 구조 내의 금속 질화물 층의 개략도들이다.
도 3은, 본 개시내용의 실시예에 따른, 처리 전의 증착되고 열 어닐링된 TiN 막에 대한 X선 광전자 분광법(XPS) 스펙트럼(310) 및 처리 후의 동일한 증착되고 열 어닐링된 TiN 막에 대한 XPS 스펙트럼(320)의 그래프이다.
도 4는, 본 개시내용의 하나 이상의 양상을 구현하도록 구성된 처리 챔버의 측단면도이다.
도 5는, 본 개시내용의 하나 이상의 양상을 구현하도록 구성된 다중 챔버 처리 시스템의 상면도이다.
도 6은, 본 개시내용의 일부 실시예들에 따른, 접촉 구조의 벌크 및 계면 산소를 감소시키기 위한 프로세스 단계들의 흐름도를 제시한다.
도 7a-7e는, 본 개시내용의 다양한 실시예들에 따른, 도 6의 프로세스의 상이한 스테이지들에 대응하는 반도체 디바이스의 개략적인 단면도들이다.
도 8은, 본 개시내용의 일부 실시예들에 따른, 접촉 구조의 벌크 및 계면 산소를 감소시키기 위한 프로세스 단계들의 흐름도를 제시한다.
도 9는, 본 개시내용의 일부 실시예들에 따른, 접촉 구조의 벌크 및 계면 산소를 감소시키기 위한 프로세스 단계들의 흐름도를 제시한다.
도 10은 본 개시내용의 실시예에 따라 형성된 금속 게이트 구조의 단면도를 예시한다.
도 11은, 본 개시내용의 다양한 실시예들에 따른, 금속 게이트 구조의 유효 산화물 두께(EOT)를 감소시키기 위한 프로세스 단계들의 흐름도를 제시한다.
도 12a-12j는, 본 개시내용의 다양한 실시예들에 따른, 도 11의 프로세스의 상이한 스테이지들에 대응하는 반도체 디바이스의 개략적인 단면도들이다.
도 13은, 본 개시내용의 다양한 실시예들에 따른, 단일 단계 수소화 및 질화 프로세스로 금속 게이트 구조를 처리하기 위한 프로세스 단계들의 흐름도를 제시한다.
도 14a-14j는, 본 개시내용의 다양한 실시예들에 따른, 도 13의 프로세스의 상이한 스테이지들에 대응하는 반도체 디바이스의 개략적인 단면도들이다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 실시예의 요소들 및 특징들이 추가의 언급 없이 다른 실시예들에 유익하게 통합될 수 있다는 것이 고려된다.
In order that the above-mentioned features of the present disclosure may be understood in detail, a more specific description of the present disclosure, briefly summarized above, may be made with reference to embodiments, some of which are illustrated in the accompanying drawings. It should be noted, however, that the accompanying drawings illustrate only typical embodiments of the present disclosure and are therefore not to be construed as limiting the scope of the present disclosure, since the present disclosure may admit other embodiments of equivalent effect. It should be noted
1 illustrates a cross-sectional view of a contact structure formed on a substrate as part of a semiconductor device, in accordance with an embodiment of the present disclosure.
2A-2E are schematic diagrams of a metal nitride layer in the contact structure of FIG. 1 at various stages of fabrication of the contact structure, in accordance with an embodiment of the present disclosure.
3 is an X-ray photoelectron spectroscopy (XPS) spectrum 310 for a deposited thermally annealed TiN film prior to processing and XPS spectrum for the same deposited thermally annealed TiN film after processing, in accordance with an embodiment of the present disclosure. (320) is a graph.
4 is a cross-sectional side view of a processing chamber configured to implement one or more aspects of the present disclosure.
5 is a top view of a multi-chamber processing system configured to implement one or more aspects of the present disclosure.
6 presents a flow diagram of process steps for reducing bulk and interfacial oxygen of a contact structure, in accordance with some embodiments of the present disclosure.
7A-7E are schematic cross-sectional views of a semiconductor device corresponding to different stages of the process of FIG. 6 , in accordance with various embodiments of the present disclosure;
8 presents a flow diagram of process steps for reducing bulk and interfacial oxygen of a contact structure, in accordance with some embodiments of the present disclosure.
9 presents a flow diagram of process steps for reducing bulk and interfacial oxygen in a contact structure, in accordance with some embodiments of the present disclosure.
10 illustrates a cross-sectional view of a metal gate structure formed in accordance with an embodiment of the present disclosure.
11 presents a flow diagram of process steps for reducing the effective oxide thickness (EOT) of a metal gate structure, in accordance with various embodiments of the present disclosure.
12A-12J are schematic cross-sectional views of a semiconductor device corresponding to different stages of the process of FIG. 11 , in accordance with various embodiments of the present disclosure.
13 presents a flow diagram of process steps for treating a metal gate structure in a single step hydrogenation and nitridation process, in accordance with various embodiments of the present disclosure.
14A-14J are schematic cross-sectional views of a semiconductor device corresponding to different stages of the process of FIG. 13 , in accordance with various embodiments of the present disclosure.
To facilitate understanding, where possible, like reference numbers have been used to designate like elements that are common to the drawings. It is contemplated that elements and features of one embodiment may be beneficially incorporated into other embodiments without further recitation.

본원에 설명된 실시예들은 일반적으로, 기판 상에 형성된 반도체 디바이스 내의 구조의 층들을 질화하기 위한 방법 및 장치에 관한 것이다. 단일 단계 플라즈마 수소화 및 질화 프로세스는, 전도성 구조에 포함되는 금속 층 또는 금속 층들, 예를 들어, 금속 캡핑 층의 증착 전에 열 어닐링되는 금속 층들의 스택에 대해 수행될 수 있다. 다양한 실시예들에서, 단일 단계 플라즈마 수소화 및 질화 프로세스는 열 어닐링 프로세스 전에, 열 어닐링 프로세스 후에, 또는 열 어닐링 프로세스 전후 양쪽 모두에 수행될 수 있다. 각각의 실시예에서, 전도성 구조에서의 질소 원자 농도가 유리하게 증가되어, 전도성 구조의 전기 저항을 감소시킨다. 하나의 그러한 전도성 구조가 도 1에 예시된다.Embodiments described herein generally relate to a method and apparatus for nitriding layers of a structure in a semiconductor device formed on a substrate. A single step plasma hydrogenation and nitridation process may be performed on a stack of metal layers that are thermally annealed prior to deposition of a metal layer or metal layers included in the conductive structure, eg, a metal capping layer. In various embodiments, the single step plasma hydrogenation and nitridation process may be performed before the thermal anneal process, after the thermal anneal process, or both before and after the thermal anneal process. In each embodiment, the nitrogen atom concentration in the conductive structure is advantageously increased, reducing the electrical resistance of the conductive structure. One such conductive structure is illustrated in FIG. 1 .

감소된 계면 및 벌크 산소를 갖는 전도성 구조Conductive structure with reduced interfacial and bulk oxygen

도 1은, 본 개시내용의 실시예에 따른, 반도체 디바이스의 일부로서 반도체 기판(110) 상에 형성된 전도성 구조(100) 또는 접촉 구조의 단면도를 예시한다. 전도성 구조(100)는, 전류를 전도하도록 구성된, 반도체 디바이스의 임의의 부분일 수 있고, 그러므로, 감소된 전기 저항으로부터 이익을 얻는다. 도 1에 예시된 실시예에서, 전도성 구조(100)는 소스 또는 드레인 구조(101)에 전기적 접촉을 제공하기 위한 접촉 구조로서 도시되며, 전도성 구조(100)가 형성되고 평탄화 프로세스, 예컨대, 화학적 기계적 연마(CMP)가 반도체 기판(110)에 대해 완료된 후에 도시된다. 예를 들어, 전도성 구조(100)는 전계 효과 트랜지스터(FET)를 위한 접촉 구조일 수 있다.1 illustrates a cross-sectional view of a conductive structure 100 or contact structure formed on a semiconductor substrate 110 as part of a semiconductor device, in accordance with an embodiment of the present disclosure. Conductive structure 100 can be any part of a semiconductor device that is configured to conduct current and, therefore, benefits from reduced electrical resistance. In the embodiment illustrated in FIG. 1 , the conductive structure 100 is shown as a contact structure for providing electrical contact to a source or drain structure 101 , the conductive structure 100 is formed and a planarization process, such as chemical mechanical, It is shown after polishing (CMP) has been completed for the semiconductor substrate 110 . For example, the conductive structure 100 may be a contact structure for a field effect transistor (FET).

전도성 구조(100)는, 절연 물질(120)에 형성된 공동인 접촉 웰(109) 또는 애퍼쳐에 배치된다. 대안적으로 얕은 트렌치 격리(STI)로 지칭되는 절연 물질(120)은, 하나 이상의 유전체 물질, 예컨대, 이산화규소(SiO2), 질화규소(Si3N4), 또는 이의 다수의 층들을 포함할 수 있다. 절연 물질(120)은 고밀도 플라즈마(HDP), 유동성 화학 기상 증착(FCVD), 테트라에틸 오르토실리케이트(TEOS) 등에 의해 형성될 수 있다. 전도성 구조(100)는 다수의 금속 층들, 예를 들어, 제1 금속 층(102), 금속 질화물 층(103), 및 제1 금속 층(102) 및 금속 질화물 층(103) 위에 배치된 적어도 전도성 부분의 스택을 포함할 수 있다. 전도성 부분은 캡핑 층(104) 및/또는 전도성 층(106)을 포함할 수 있다.The conductive structure 100 is disposed in a contact well 109 or aperture, which is a cavity formed in the insulating material 120 . Insulating material 120 , alternatively referred to as shallow trench isolation (STI), may include one or more dielectric materials, such as silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ), or multiple layers thereof. have. The insulating material 120 may be formed by high density plasma (HDP), flowable chemical vapor deposition (FCVD), tetraethyl orthosilicate (TEOS), or the like. The conductive structure 100 includes a plurality of metal layers, for example, a first metal layer 102 , a metal nitride layer 103 , and at least conductive disposed over the first metal layer 102 and the metal nitride layer 103 . It may contain a stack of parts. The conductive portion may include a capping layer 104 and/or a conductive layer 106 .

소스 또는 드레인 구조(101)는 반도체 기판(110)으로부터 또는 반도체 기판(110) 상에 증착되는 상이한 반도체 물질로부터 형성될 수 있다. 후자의 경우에, 상이한 반도체 물질은 규소-게르마늄, III-V 화합물 반도체 물질 등을 포함할 수 있다. 예를 들어, 일부 실시예들에서, 소스 또는 드레인 구조(101)를 성장시키기 위해 에피택셜 프로세스가 수행될 수 있다.The source or drain structure 101 may be formed from a semiconductor substrate 110 or from different semiconductor materials deposited on the semiconductor substrate 110 . In the latter case, the different semiconductor materials may include silicon-germanium, III-V compound semiconductor materials, and the like. For example, in some embodiments, an epitaxial process may be performed to grow the source or drain structure 101 .

제1 금속 층(102)은 소스 또는 드레인 구조(101) 상에 형성되며, 적합한 열 어닐링 프로세스 후에, 소스 또는 드레인 구조(101)와의 계면에 실리사이드(105)를 형성하도록 선택되는 하나 이상의 금속을 포함한다. 예를 들어, 일부 실시예들에서, 제1 금속 층(102)은 티타늄(Ti)을 포함하거나 Ti로 전적으로 구성되고, 약 40 Å 내지 약 50 Å의 두께를 가질 수 있다. 금속 질화물 층(103)은 제1 금속 층(102) 상에 형성되고, 예를 들어, 전도성 구조(100)의 확산 장벽 층으로서 작용하도록 금속 질화물을 포함한다. 일부 실시예들에서, 금속 질화물 층(103)은 질화티타늄(TiN), 질화탄탈럼(TaN), 및/또는 질화텅스텐(W3N2)을 포함하고, 약 10 Å 내지 20 Å의 두께를 가질 수 있다. 캡핑 층(104)은, 전형적으로, 실리사이드(105)가 전도성 구조(100)에 형성되는 열 어닐링 프로세스 이후에, 금속 질화물 층(103) 상에 형성되고, 하나 이상의 금속을 포함한다. 일부 실시예들에서, 전도성 구조(100)는, 금속, 예컨대, 코발트, 구리, 루테늄, 니켈, 텅스텐, 알루미늄, 또는 다른 유용한 금속, 또는 이들의 합금을 포함할 수 있는, 별도로 형성된 전도성 층(106)을 포함할 수 있다. 일부 실시예들에서, 캡핑 층(104)은 Co를 포함하고, 약 10 Å 내지 20 Å의 두께를 가질 수 있다. 다른 실시예들에서, 캡핑 층(104)은 접촉 웰(109)의 나머지 부분을 완전히 채우는 금속(예를 들어, 코발트)을 포함한다.A first metal layer 102 is formed on the source or drain structure 101 and includes, after a suitable thermal annealing process, one or more metals selected to form a silicide 105 at the interface with the source or drain structure 101 . do. For example, in some embodiments, the first metal layer 102 may include or consist entirely of titanium (Ti) and may have a thickness of about 40 Å to about 50 Å. A metal nitride layer 103 is formed on the first metal layer 102 and includes, for example, a metal nitride to act as a diffusion barrier layer of the conductive structure 100 . In some embodiments, the metal nitride layer 103 includes titanium nitride (TiN), tantalum nitride (TaN), and/or tungsten nitride (W 3 N 2 ) and has a thickness of about 10 Å to 20 Å. can have The capping layer 104 is formed on the metal nitride layer 103 and includes one or more metals, typically after a thermal annealing process in which the silicide 105 is formed in the conductive structure 100 . In some embodiments, the conductive structure 100 is a separately formed conductive layer 106, which may include a metal, such as cobalt, copper, ruthenium, nickel, tungsten, aluminum, or other useful metal, or alloys thereof. ) may be included. In some embodiments, capping layer 104 comprises Co and may have a thickness of about 10 Å to 20 Å. In other embodiments, the capping layer 104 includes a metal (eg, cobalt) that completely fills the remainder of the contact well 109 .

이전에 언급된 바와 같이, 제1 금속 층(102) 및/또는 금속 질화물 층(103)에서의 O 원자들의 존재는 전도성 구조(100)의 유효 도전율에 악영향을 미친다. 첫째, 임의의 금속 층의 산화물들은 형성된 금속 층의 벌크 전기 전도율을 증가시킨다. 둘째, 계면 산화물, 즉, 금속 질화물 층(103)과 캡핑 층(104) 사이의 계면에 형성된 금속 산화물은 금속 질화물 층(103)과 캡핑 층(104) 사이의 열악한 접착에 기여하고, 전도성 구조(100)의 유효 단면적을 상당히 감소시키는 공극들을 잠재적으로 초래한다. 불행하게도, 낮은 농도의 O 원자들은 거의 항상, 전도성 구조(100)의 금속 층들의 벌크 부분들에 어느 정도 존재한다. 또한, 많은 경우에, 산화물들은 제조 단계들 사이에 공기에 노출되는 금속 표면들 상에 더 높은 농도로 형성될 수 있다. 본 개시내용의 실시예들에 따르면, 전도성 구조(100)에서의 벌크 및 계면 O 원자들의 존재는 순차적 수소화 및 플라즈마 질화 프로세스를 통해 감소될 수 있다. 그러한 순차적 프로세스가 전도성 구조(100)의 벌크 및 계면 O 원자들을 감소시키는 방법에 대한 물리적 모델이 도 2a-e 및 3a-d에 예시된다.As previously mentioned, the presence of O atoms in the first metal layer 102 and/or the metal nitride layer 103 adversely affects the effective conductivity of the conductive structure 100 . First, the oxides of any metal layer increase the bulk electrical conductivity of the metal layer formed. Second, the interfacial oxide, that is, the metal oxide formed at the interface between the metal nitride layer 103 and the capping layer 104, contributes to the poor adhesion between the metal nitride layer 103 and the capping layer 104, and the conductive structure ( 100) potentially resulting in voids that significantly reduce the effective cross-sectional area. Unfortunately, low concentrations of O atoms are almost always present to some extent in the bulk portions of the metal layers of the conductive structure 100 . Also, in many cases, oxides may form in higher concentrations on metal surfaces that are exposed to air between fabrication steps. According to embodiments of the present disclosure, the presence of bulk and interfacial O atoms in conductive structure 100 may be reduced through sequential hydrogenation and plasma nitridation processes. A physical model of how such a sequential process reduces bulk and interfacial O atoms of conductive structure 100 is illustrated in FIGS. 2A-E and 3A-D .

계면 및 벌크 산소를 감소시키는 물리적 모델Physical model to reduce interfacial and bulk oxygen

도 2a-2e는, 본 개시내용의 실시예에 따른, 접촉 구조(100)의 제조의 다양한 스테이지들에서의 접촉 구조(100) 내의 금속 질화물 층(103)의 개략도들이다. 도 2a-2e는 오직 금속 질화물 층(103)의 하나의 가능한 표면 말단만을 예시하며, 단지 전형적인 TiN 구조를 나타낸다는 점을 주목한다. 일부 실시예들에서, 금속 질화물 층(103)은 TiN 층과 연관된 임의의 다른 가능한 표면 말단 또는 결정질 구조를 가질 수 있다.2A-2E are schematic diagrams of the metal nitride layer 103 in the contact structure 100 at various stages of fabrication of the contact structure 100 , in accordance with an embodiment of the present disclosure. It is noted that FIGS. 2A-2E illustrate only one possible surface end of the metal nitride layer 103 and represent only a typical TiN structure. In some embodiments, the metal nitride layer 103 may have any other possible surface termination or crystalline structure associated with the TiN layer.

도 2a에서, 금속 질화물 층(103)이 제1 금속 층(102) 상에 증착된 직후 및 부분(200)이 공기에 노출되기 전의 금속 질화물 층(103)의 부분(200)이 개략적으로 예시된다. 부분(200)은 부분(200)의 표면(201)을 포함하고 표면은 궁극적으로, 표면 상에 증착된 캡핑 층(104)을 가질 것이다. 도시된 바와 같이, 부분(200)은 NaCl 입방 구조를 가지며, 주로 Ti 및 N 원자들로 구성된다. 추가적으로, 부분(200)은 표면(201) 아래의 부분(200)의 벌크 영역에 전형적으로 배치된 저농도의 벌크 O 원자들(211)(크로스해칭됨)을 포함한다. 벌크 O 원자들(211)은 부분(200)을 형성하는 데 사용되는 증착 프로세스 동안 처리 환경에서 발견되는 오염물질에 의해 혼입될 수 있다. 또한, 부분(200)은 일반적으로, 원자가 결여된, 부분(200)의 결정 격자 내의 부위들인 빈공간들(213)을 포함한다. 빈공간들(213)은 질화물 층(103)이 공기에 노출될 때 부분(200) 내의 추가적인 산화가 발생할 수 있는 위치들이다. 금속 질화물 층(103)이 원자 층 증착(ALD) 프로세스에 의해 형성될 때, 빈공간들(213)은, 전통적인 화학 기상 증착(CVD) 또는 물리 기상 증착(PVD) 프로세스에 비해 ALD 프로세스에서 발견되는 막 핵형성 및 성장 메커니즘들로 인해 비교적 흔하다는 점을 주목한다. 따라서, 본원에 제공된 본 개시내용의 실시예들 중 하나 이상은 종래의 PVD 또는 CVD 유형의 프로세스들에 비해 ALD 프로세스에 의해 형성된 막들에 대해 사용될 때 상당한 이익들을 제공할 수 있다.In FIG. 2A , a portion 200 of the metal nitride layer 103 is schematically illustrated immediately after the metal nitride layer 103 is deposited on the first metal layer 102 and before the portion 200 is exposed to air. . Portion 200 includes a surface 201 of portion 200 which will ultimately have a capping layer 104 deposited thereon. As shown, portion 200 has a NaCl cubic structure and is mainly composed of Ti and N atoms. Additionally, portion 200 includes a low concentration of bulk O atoms 211 (crosshatched) typically disposed in the bulk region of portion 200 below surface 201 . Bulk O atoms 211 may be incorporated by contaminants found in the processing environment during the deposition process used to form part 200 . Portion 200 also includes voids 213 , which are regions within the crystal lattice of portion 200 , generally devoid of atoms. The voids 213 are locations where additional oxidation in the portion 200 may occur when the nitride layer 103 is exposed to air. When the metal nitride layer 103 is formed by an atomic layer deposition (ALD) process, the voids 213 are found in an ALD process compared to a traditional chemical vapor deposition (CVD) or physical vapor deposition (PVD) process. Note that it is relatively common due to membrane nucleation and growth mechanisms. Accordingly, one or more of the embodiments of the present disclosure provided herein can provide significant benefits when used for films formed by an ALD process over conventional PVD or CVD type processes.

도 2b에서, 금속 질화물 층(103)을 증착시킨 처리 시스템으로부터 제거된 후의 부분(200)이 예시된다. 예를 들어, 부분(200)이 형성되는 반도체 기판(110)은 열 어닐링 프로세스를 위한 준비로 공기에 노출될 수 있다. 전형적으로, 종래의 열 처리 챔버들, 예컨대, 어닐링 프로세스 챔버들은, 오늘날 대부분의 진보된 디바이스 노드 응용들을 형성하기 위해 필요한 요구되는 청결도, 열 관리 제어 및 진공 수준 요건들의 차이로 인해, 제1 금속 층(102) 및 금속 질화물 층(103)을 형성하는 데 사용되는 처리 시스템들과 상이한 처리 시스템들에서 수행된다. 따라서, 도 2b에서, 공기에 노출된 후의 부분(200)이 예시된다. 도시된 바와 같이, 표면(201)은 부분적으로 산화되었고, 표면 O 원자들(212)은 표면(201) 상에 배치된 빈공간들(213)의 대부분 또는 전부를 점유한다. 일부 경우들에서, 부분(200) 내에 배치된 빈공간들(213)의 일부는, 부분(200)이 공기에 노출된 결과로서 벌크 O 원자들(211)로 점유된다.In FIG. 2B , the portion 200 is illustrated after being removed from the processing system in which the metal nitride layer 103 has been deposited. For example, the semiconductor substrate 110 on which the portion 200 is formed may be exposed to air in preparation for a thermal annealing process. Typically, conventional thermal processing chambers, such as annealing process chambers, require a first metal layer due to differences in required cleanliness, thermal management control and vacuum level requirements needed to form most advanced device node applications today. It is performed in processing systems different from the processing systems used to form 102 and the metal nitride layer 103 . Thus, in FIG. 2B , the portion 200 after exposure to air is illustrated. As shown, the surface 201 is partially oxidized, and the surface O atoms 212 occupy most or all of the voids 213 disposed on the surface 201 . In some cases, some of the voids 213 disposed within the portion 200 are occupied with bulk O atoms 211 as a result of the portion 200 being exposed to air.

도 2c에서, 도 1에 도시된 바와 같이 실리사이드(105)를 형성하기 위해 열 어닐링 프로세스를 겪은 후의 부분(200)이 예시된다. 나머지 빈공간들(213) 중 일부 또는 전부는 벌크 O 원자들(211) 또는 표면 O 원자들(212)로 채워진다. 일부 실시예들에서, 벌크 O 원자들(211)은 또한, 부분(200) 내에 배치된 N 원자들의 일부를 대체할 수 있다. 따라서, 어닐링 프로세스는 일반적으로, 부분(200)의 벌크 O 원자들(211) 및 표면 O 원자들(212) 양쪽 모두의 개수를 증가시킨다. 심지어, 표면(201) 상의 표면 O 원자들(212)의 깊이가 단지 1개 또는 2개의 단층일 때에도, 전도성 구조(100)의 저항률에 대한 효과는, 특히, 더 작은 디바이스 구조들, 예컨대, 진보된 디바이스 노드들(예를 들어, 65 nm 이하의 기술 노드)과 연관된 디바이스 구조들의 경우, 상당할 수 있다.In FIG. 2C , a portion 200 is illustrated after undergoing a thermal annealing process to form a silicide 105 as shown in FIG. 1 . Some or all of the remaining voids 213 are filled with bulk O atoms 211 or surface O atoms 212 . In some embodiments, bulk O atoms 211 may also replace some of the N atoms disposed in portion 200 . Accordingly, the annealing process generally increases the number of both bulk O atoms 211 and surface O atoms 212 of portion 200 . Even when the depth of the surface O atoms 212 on the surface 201 is only one or two monolayers, the effect on the resistivity of the conductive structure 100 is particularly significant for smaller device structures, such as advances For device structures associated with advanced device nodes (eg, a technology node below 65 nm), this may be significant.

도 2d에서, 본 개시내용의 다양한 실시예들에 따라, 부분(200)에 포함된 벌크 O 원자들(211) 및/또는 표면 O 원자들(212)과 반응하는 수소 원자들에 노출된 후의 부분(200)이 예시된다. 일부 실시예들에서, 벌크 O 원자들(211) 및/또는 표면 O 원자들(212)은 열 수소화 프로세스의 일부로서 열적으로 해리된 수소 가스(H2)로부터의 수소 원자들과 반응하는 반면, 다른 실시예들에서는, 벌크 O 원자들(211) 및/또는 표면 O 원자들(212)은 플라즈마 수소화 프로세스의 일부로서 수소 함유 플라즈마로부터의 수소 원자들과 반응한다.In FIG. 2D , a portion after exposure to hydrogen atoms that react with bulk O atoms 211 and/or surface O atoms 212 included in portion 200 , in accordance with various embodiments of the present disclosure. (200) is exemplified. In some embodiments, bulk O atoms 211 and/or surface O atoms 212 react with hydrogen atoms from thermally dissociated hydrogen gas (H 2 ) as part of a thermal hydrogenation process, while In other embodiments, bulk O atoms 211 and/or surface O atoms 212 react with hydrogen atoms from a hydrogen containing plasma as part of a plasma hydrogenation process.

열 수소화 프로세스는, 부분(200)을 적어도 약 550 ℃ 내지 약 650 ℃로 가열하는 것을 포함하여, 특정 처리 조건들 하에서 적합한 급속 열 처리 챔버에서 수행될 수 있다. 플라즈마 수소화 프로세스는 특정 처리 조건들 하에서 적합한 플라즈마 처리 챔버에서 수행될 수 있다. 플라즈마 수소화 프로세스에 대한 예시적인 플라즈마 처리 챔버 및 플라즈마 처리 조건들이 각각 아래에 설명된다. 도시된 바와 같이, 수소화 프로세스는 표면(201)으로부터 표면 O 원자들(212)의 전부 또는 실질적으로 전부를 감소시키거나 다른 방식으로 제거하고, 빈공간들(213)을 남긴다. 게다가, 플라즈마 수소화 프로세스는 또한, 표면(201) 아래에 배치된 벌크 O 원자들(211)의 일부 또는 전부를 제거할 수 있다.The thermal hydrogenation process may be performed in a suitable rapid thermal processing chamber under certain processing conditions, including heating the portion 200 to at least about 550 °C to about 650 °C. The plasma hydrogenation process may be performed in a suitable plasma processing chamber under certain processing conditions. Exemplary plasma processing chambers and plasma processing conditions for a plasma hydrogenation process are each described below. As shown, the hydrogenation process reduces or otherwise removes all or substantially all of the surface O atoms 212 from the surface 201 , leaving voids 213 . In addition, the plasma hydrogenation process may also remove some or all of the bulk O atoms 211 disposed below the surface 201 .

도 2e에서, 본 개시내용의 다양한 실시예들에 따라, 플라즈마 질화 프로세스를 겪은 후의 부분(200)이 예시된다. 플라즈마 질화 프로세스는 특정 처리 조건들 하에서 적합한 플라즈마 처리 챔버에서 수행될 수 있고, 플라즈마 질화 프로세스에 대한 예시적인 플라즈마 처리 챔버 및 플라즈마 처리 조건들은 각각 아래에 설명된다. 일부 실시예들에서, 플라즈마 질화 프로세스는 플라즈마 수소화 프로세스를 수행하는 동일한 플라즈마 처리 챔버에서 수행될 수 있다. 추가적으로, 플라즈마 또는 열 수소화 프로세스와 플라즈마 질화 프로세스 사이에 대기 파괴가 일어나지 않는다. 즉, 부분(200)은 플라즈마 또는 열 수소화 프로세스 이후 및 플라즈마 질화 프로세스 이전에 공기에 노출되지 않는다.In FIG. 2E , a portion 200 after undergoing a plasma nitridation process is illustrated, in accordance with various embodiments of the present disclosure. The plasma nitridation process may be performed in a suitable plasma processing chamber under specific processing conditions, and exemplary plasma processing chamber and plasma processing conditions for the plasma nitridation process are each described below. In some embodiments, the plasma nitridation process may be performed in the same plasma processing chamber where the plasma hydrogenation process is performed. Additionally, no atmospheric disruption occurs between the plasma or thermal hydrogenation process and the plasma nitridation process. That is, portion 200 is not exposed to air after the plasma or thermal hydrogenation process and before the plasma nitridation process.

도시된 바와 같이, 질화 프로세스는 빈공간들(213)이 N 원자들로 채워지게 하고, 이에 의해, 표면(201)은 표면 상에 배치된 표면 O 원자들(212)을 거의 또는 전혀 갖지 않는다. 결과적으로, 표면(201)은 N 원자들로 포화될 수 있고, 결과적으로, 캡핑 층(104)의 증착 전에 표면(201)이 공기에 다시 노출될 때에도, 표면(201)의 후속 산화는 크게 감소되거나 제거된다. 그러므로, 금속 질화물 층(103)의 표면(201)과 캡핑 층(104) 사이의 접착이 개선된다. 추가적으로, 표면(201) 아래의 빈공간들 일부 또는 전부는 벌크 O 원자들(211) 대신에 N 원자들로 채워질 수 있고, 전체적으로 금속 질화물 층(103), 제1 금속 층(102), 및 전도성 구조(100)의 전기 전도성을 더 개선한다.As shown, the nitridation process causes the voids 213 to be filled with N atoms, whereby the surface 201 has few or no surface O atoms 212 disposed on the surface. As a result, the surface 201 may be saturated with N atoms, and consequently, even when the surface 201 is again exposed to air prior to deposition of the capping layer 104 , the subsequent oxidation of the surface 201 is greatly reduced. become or are removed Therefore, the adhesion between the surface 201 of the metal nitride layer 103 and the capping layer 104 is improved. Additionally, some or all of the voids under the surface 201 may be filled with N atoms instead of the bulk O atoms 211 , and as a whole, the metal nitride layer 103 , the first metal layer 102 , and the conductivity It further improves the electrical conductivity of structure 100 .

도 3은, 본 개시내용의 실시예에 따른, 처리 전의 증착되고 열 어닐링된 TiN 막에 대한 X선 광전자 분광법(XPS) 스펙트럼(310) 및 처리 후의 동일한 증착되고 열 어닐링된 TiN 막에 대한 XPS 스펙트럼(320)의 그래프이다. 처리는 플라즈마 또는 열 수소화 프로세스에 이어서 플라즈마 질화 프로세스를 포함한다. 열 어닐링 프로세스는, 약 550 ℃ 내지 600 ℃의 온도들의, 질소 가스(N2) 또는 암모늄(NH3) 환경의 급속 열 프로세스이다. 플라즈마 수소화 프로세스는, 약 340 ℃ 내지 500 ℃의 온도, 약 10 mTorr 내지 150 mTorr의 프로세스 압력, 약 250 W 내지 2000 W의 플라즈마 전력, 약 5 sccm 내지 100 sccm의 H2 유량, 및 약 250 sccm 내지 2000 sccm의 아르곤(Ar) 유량으로, 약 30초 내지 약 200초의 지속기간 동안, 유도성 결합된 플라즈마(ICP) 챔버에서 기판 페디스털 상에서 수행된다. 플라즈마 질화 프로세스는, 약 350 ℃ 내지 500 ℃의 온도, 약 10 mTorr 내지 100 mTorr의 프로세스 압력, 약 250 W 내지 2000 W의 플라즈마 전력, 약 5 sccm 내지 100 sccm의 NH3 유량, 약 300 sccm 내지 500 sccm의 질소(N2) 유량, 및 약 20 sccm 내지 500 sccm의 아르곤(Ar) 유량으로, 약 30초 내지 약 200초의 지속기간 동안, 동일한 ICP 챔버에서 기판 페디스털 상에서 수행될 수 있다.3 is an X-ray photoelectron spectroscopy (XPS) spectrum 310 for a deposited thermally annealed TiN film prior to processing and XPS spectrum for the same deposited thermally annealed TiN film after processing, in accordance with an embodiment of the present disclosure. (320) is a graph. The treatment includes a plasma or thermal hydrogenation process followed by a plasma nitridation process. The thermal annealing process is a rapid thermal process in a nitrogen gas (N 2 ) or ammonium (NH 3 ) environment at temperatures between about 550 °C and 600 °C. The plasma hydrogenation process comprises a temperature of about 340° C. to 500° C., a process pressure of about 10 mTorr to 150 mTorr, a plasma power of about 250 W to 2000 W, a H 2 flow rate of about 5 sccm to 100 sccm, and about 250 sccm to It is performed on the substrate pedestal in an inductively coupled plasma (ICP) chamber with an argon (Ar) flow rate of 2000 sccm, for a duration of about 30 seconds to about 200 seconds. The plasma nitridation process includes a temperature of about 350° C. to 500° C., a process pressure of about 10 mTorr to 100 mTorr, a plasma power of about 250 W to 2000 W, a NH 3 flow rate of about 5 sccm to 100 sccm, about 300 sccm to 500 at a nitrogen (N 2 ) flow rate of sccm, and an argon (Ar) flow rate of about 20 sccm to 500 sccm, for a duration of about 30 seconds to about 200 seconds, on the substrate pedestal in the same ICP chamber.

관련 기술분야에 잘 알려진 바와 같이, TiN 막의 XPS 스펙트럼은 다수의 피크들을 포함할 수 있고, 각각은 상이한 티타늄 함유 물질들의 상이한 상대 농도를 나타낸다. 예를 들어, 대략 458.5 eV의 결합 에너지에서의 Ti-O 피크는 일반적으로, 티타늄 함유 물질에서의 Ti-O 결합들의 존재 그리고, 그러므로, O 원자들의 존재를 나타내고; 대략 457 eV의 결합 에너지에서의 Ti-O-N 피크는 일반적으로, 티타늄 함유 물질에서의 Ti-O-N 결합들의 존재 그리고, 그러므로, N 원자들 및 O 원자들의 존재를 나타내고; 대략 454.9 eV의 결합 에너지에서의 Ti-N 피크는 일반적으로, 티타늄 함유 물질에서의 Ti-N 결합들의 존재 그리고, 그러므로, 질소(N) 원자들의 존재를 나타낸다.As is well known in the art, the XPS spectrum of a TiN film may contain multiple peaks, each representing a different relative concentration of different titanium containing materials. For example, a Ti-O peak at a binding energy of approximately 458.5 eV generally indicates the presence of Ti-O bonds and, therefore, the presence of O atoms in the titanium-containing material; The Ti-O-N peak at a binding energy of approximately 457 eV generally indicates the presence of Ti-O-N bonds in the titanium-containing material and, therefore, the presence of N atoms and O atoms; The Ti-N peak at a binding energy of approximately 454.9 eV generally indicates the presence of Ti-N bonds and, therefore, the presence of nitrogen (N) atoms in the titanium-containing material.

XPS 스펙트럼(310)은, 위에 설명된 열 어닐링 프로세스가 수행된 후의, 증착된 TiN 막에 대한 Ti 2p 쉘과 연관되고, XPS 스펙트럼(320)은, 위에 설명된 플라즈마 수소화 프로세스에 이어서, 위에 설명된 플라즈마 질화 프로세스를 겪은 후의, 증착되고 열 어닐링된 TiN 막에 대한 Ti 2p 쉘과 연관된다. 도시된 바와 같이, Ti-O 결합들의 존재를 나타내는 피크 및 Ti-O-N 결합들의 존재를 나타내는 피크는 XPS 스펙트럼(310)에서보다 XPS 스펙트럼(320)에서 상당히 더 낮으며, 이는 TiN 막에서의 O 원자들의 존재의 감소를 분명히 나타낸다. 또한, Ti-N 결합들의 존재를 나타내는 피크는 XPS 스펙트럼(310)에서보다 XPS 스펙트럼(320)에서 상당히 더 높으며, 이는 TiN 막에서의 N 원자들의 농도의 증가를 분명히 나타낸다. 따라서, 어닐링 프로세스 후에 수소화 및 질화 프로세스들을 수행함으로써, 금속 질화물 막(103)에서의 O 원자들의 농도가 상당히 감소될 수 있고, 금속 질화물 막(103)에서의 N 원자들의 농도가 상당히 증가될 수 있다.The XPS spectrum 310 is associated with the Ti 2p shell for the deposited TiN film after the thermal annealing process described above has been performed, and the XPS spectrum 320 is the plasma hydrogenation process described above, followed by the plasma hydrogenation process described above. Ti 2p shell to the deposited and thermally annealed TiN film after undergoing a plasma nitridation process. As shown, the peak indicating the presence of Ti-O bonds and the peak indicating the presence of Ti-ON bonds are significantly lower in the XPS spectrum 320 than in the XPS spectrum 310, which indicates that the O atoms in the TiN film clearly indicate a decrease in their presence. Also, the peak indicating the presence of Ti-N bonds is significantly higher in the XPS spectrum 320 than in the XPS spectrum 310, clearly indicating an increase in the concentration of N atoms in the TiN film. Therefore, by performing the hydrogenation and nitridation processes after the annealing process, the concentration of O atoms in the metal nitride film 103 can be significantly reduced, and the concentration of N atoms in the metal nitride film 103 can be significantly increased. .

도 2a-2e 및 도 3은 금속 질화물 층(103)에 대한 어닐링 이후 순차적 수소화 및 질화 프로세스의 효과를 예시한다. 일부 실시예들에서, 열 어닐링 프로세스 이전에 부분(200)에 대해 플라즈마 또는 열 수소화 프로세스에 이어서 플라즈마 질화 프로세스를 채용하는 것은 유사한 유익한 효과들을 가질 수 있다. 구체적으로, 표면(201)은 (도 2e에 도시된 바와 같이) 플라즈마 질화 프로세스로 인해 N 원자들로 대부분 또는 완전히 포화될 수 있기 때문에, 표면(201)의 후속 공기 노출 및 열 어닐링은 산화를 거의 또는 전혀 초래하지 않는다. 결과적으로, 부분(200)에서 발견되는 벌크 O 원자들(211)의 농도 및 표면(201) 상의 표면 O 원자들(212)의 농도는 상당히 증가되지 않는다.2A-2E and 3 illustrate the effect of a sequential hydrogenation and nitridation process after annealing on the metal nitride layer 103 . In some embodiments, employing a plasma or thermal hydrogenation process followed by a plasma nitridation process for portion 200 prior to the thermal anneal process may have similar beneficial effects. Specifically, since surface 201 may be mostly or completely saturated with N atoms due to the plasma nitridation process (as shown in FIG. 2E ), subsequent air exposure and thermal annealing of surface 201 may substantially reduce oxidation. or no effect at all. As a result, the concentration of bulk O atoms 211 found in portion 200 and the concentration of surface O atoms 212 on surface 201 are not significantly increased.

순차적 수소화 및 질화를 위한 시스템 개요System overview for sequential hydrogenation and nitridation

도 4는, 본 개시내용의 하나 이상의 양상을 구현하도록 구성된 플라즈마 처리 챔버(400)의 개략적인 단면도이다. 플라즈마 처리 챔버(400)는 임의의 적합한 플라즈마 처리 챔버, 예컨대, 유도성 결합된 플라즈마(ICP) 처리 챔버일 수 있다. 도 4에 도시된 바와 같이, 처리 챔버(400)는 챔버 벽(406), 챔버 덮개(408), 및 챔버 벽(406) 내에 배치된 기판 지지 페디스털(404)을 포함할 수 있다. 전형적으로, 챔버 벽(406)은 전기 접지(416)에 결합된다. 챔버 덮개(408)는 임의의 적합한 유전체, 예컨대, 석영으로 구성될 수 있다. 일부 실시예들의 경우, 유전체 덮개(408)는 상이한 형상(예를 들어, 돔 형상)을 취할 수 있다. 일부 실시예들에서, 챔버 덮개(408)는 플라즈마 종으로부터의 보호를 위해 세라믹 코팅, 예컨대, 이트륨 함유 산화물로 코팅될 수 있다. 일 실시예에서, 세라믹 코팅은 화합물(Y4Al2O9) 및 고용체(Y2-xZrxO3)(Y2O3-ZrO2 고용체)로 구성된 고성능 물질(HPM)이다. 세라믹 코팅은 약 100 미크론 내지 약 300 미크론 범위, 예컨대, 약 200 미크론의 두께를 가질 수 있다.4 is a schematic cross-sectional view of a plasma processing chamber 400 configured to implement one or more aspects of the present disclosure. Plasma processing chamber 400 may be any suitable plasma processing chamber, such as an inductively coupled plasma (ICP) processing chamber. 4 , the processing chamber 400 may include a chamber wall 406 , a chamber lid 408 , and a substrate support pedestal 404 disposed within the chamber wall 406 . Typically, chamber wall 406 is coupled to electrical ground 416 . Chamber lid 408 may be constructed of any suitable dielectric, such as quartz. For some embodiments, the dielectric cover 408 may take on a different shape (eg, a dome shape). In some embodiments, chamber lid 408 may be coated with a ceramic coating, such as a yttrium containing oxide, for protection from plasma species. In one embodiment, the ceramic coating is a high performance material (HPM) composed of a compound (Y 4 Al 2 O 9 ) and a solid solution (Y 2-x Zr x O 3 ) (Y 2 O 3- ZrO 2 solid solution). The ceramic coating may have a thickness ranging from about 100 microns to about 300 microns, such as about 200 microns.

챔버 덮개(408) 위에, 적어도 하나의 유도 코일 요소(410)를 포함하는 무선 주파수(RF) 안테나가 배치될 수 있다(2개의 동축 코일 요소들이 도시된다). 일부 실시예들에서, 유도 코일 요소들(410)은 챔버 벽(406)의 적어도 일부 주위에 배치될 수 있다. 도시된 바와 같이, 유도 코일 요소(410)의 일 단부는 제1 임피던스 정합 네트워크(412)를 통해 RF 전원(414)에 결합될 수 있고, 다른 단부는 전기 접지(417)에 연결될 수 있다. 전원(414)은 전형적으로, 2 내지 160 MHz 범위의 조정가능한 주파수로 최대 10 킬로와트(kW)를 생성할 수 있고, 13.56 MHz가 전형적인 작동 주파수이다. 유도 코일 요소들(410)에 공급되는 RF 전력은 1 내지 100 kHz 범위의 주파수로 전력 주기화되거나(즉, 전력 입력을 높은 수준으로부터 낮은 수준으로 변화시키거나) 펄싱될 수 있다(즉, 온 상태와 오프 상태 사이에서 스위칭될 수 있다).Above the chamber lid 408 , a radio frequency (RF) antenna comprising at least one induction coil element 410 may be disposed (two coaxial coil elements are shown). In some embodiments, the induction coil elements 410 may be disposed around at least a portion of the chamber wall 406 . As shown, one end of the induction coil element 410 may be coupled to an RF power source 414 via a first impedance matching network 412 , and the other end may be coupled to electrical ground 417 . Power supply 414 is typically capable of generating up to 10 kilowatts (kW) with a tunable frequency in the range of 2 to 160 MHz, with 13.56 MHz being a typical operating frequency. The RF power supplied to the induction coil elements 410 may be power cycled (ie, changing the power input from a high level to a low level) or pulsed (ie, an on state) with a frequency ranging from 1 to 100 kHz. can be switched between and off state).

RF 안테나의 유도 코일 요소들(410)과 챔버 덮개(408) 사이에 차폐 전극(418)이 개재될 수 있다. 대안적으로, 차폐 전극(418)은 전기적 연결을 이루고 차단하기 위한 임의의 적합한 수단, 예컨대, 도 4에 예시된 바와 같은 스위치(420)를 통해 전기 접지(419)에 전기적으로 결합되거나 플로팅될 수 있다.A shielding electrode 418 may be interposed between the induction coil elements 410 of the RF antenna and the chamber lid 408 . Alternatively, shield electrode 418 may be electrically coupled or floated to electrical ground 419 via any suitable means for making and breaking electrical connections, such as switch 420 as illustrated in FIG. 4 . have.

일부 실시예들의 경우, 챔버(400) 내의 가스 혼합물이 언제 플라즈마로 활성화되었는지를 결정하는 것을 용이하게 하기 위해, 검출기(422)가 챔버 벽(406)에 부착될 수 있다. 검출기(422)는, 예를 들어, 여기된 가스들에 의해 방출되는 방사선을 검출할 수 있거나, 생성된 플라즈마와 연관된 광의 하나 이상의 파장의 세기를 측정하기 위해 광학 방출 분광법(OES)을 사용할 수 있다.For some embodiments, a detector 422 may be attached to the chamber wall 406 to facilitate determining when the gas mixture within the chamber 400 has been activated into a plasma. Detector 422 may detect, for example, radiation emitted by excited gases, or may use optical emission spectroscopy (OES) to measure the intensity of one or more wavelengths of light associated with the generated plasma. .

페디스털(404)은 제2 임피던스 정합 네트워크(424)를 통해 바이어싱 전원(426)에 결합될 수 있다. 바이어싱 전원(426)은 일반적으로, RF 전원(414)과 유사하게, 2 내지 160 MHz 범위의 조정가능한 주파수 및 0 내지 10 kW의 전력을 갖는 RF 신호를 생성할 수 있다. 선택적으로, 바이어싱 전원(426)은 직류 전류(DC) 또는 펄스식 DC 공급원일 수 있다.The pedestal 404 may be coupled to a biasing power supply 426 via a second impedance matching network 424 . The biasing power supply 426 can generally generate an RF signal having an adjustable frequency in the range of 2 to 160 MHz and a power of 0 to 10 kW, similar to the RF power supply 414 . Optionally, the biasing power supply 426 may be a direct current (DC) or pulsed DC supply.

작동 시에, 기판(428), 예컨대, 반도체 기판은 페디스털(404) 상에 배치될 수 있고, 프로세스 가스들은 가스 형태의 혼합물(434)을 형성하려는 노력으로 진입 포트들(432)을 통해 가스 패널(430)로부터 공급될 수 있다. 본원에 설명된 프로세스들 중 하나 이상에서 사용될 수 있는 전형적인 프로세스 가스들이 아래에 설명된다. 진입 포트들(432)은 세라믹 코팅, 예컨대, HPM으로 코팅될 수 있다. 가스 형태의 혼합물(434)은 RF 전원(414)으로부터 전력을 인가함으로써 처리 챔버(400)에서 플라즈마(436)로 활성화될 수 있다. 처리 챔버(400)의 내부의 압력은 스로틀 밸브(438) 및 진공 펌프(440)를 사용하여 제어될 수 있다. 일부 실시예들에서, 챔버 벽(406)의 온도는 챔버 벽(406)을 통해 이어지는 액체 함유 도관들(도시되지 않음), 또는 챔버 벽(406)에 내장되거나(예를 들어, 가열 카트리지들 또는 코일들) 처리 챔버(400) 주위에 감겨진(예를 들어, 가열기 랩 또는 테이프) 가열 요소들을 사용하여 제어될 수 있다.In operation, a substrate 428 , such as a semiconductor substrate, may be disposed on the pedestal 404 , and process gases are passed through the entry ports 432 in an effort to form a mixture 434 in gaseous form. It may be supplied from the gas panel 430 . Exemplary process gases that may be used in one or more of the processes described herein are described below. The entry ports 432 may be coated with a ceramic coating, such as HPM. The gaseous mixture 434 may be activated into a plasma 436 in the processing chamber 400 by applying power from an RF power source 414 . The pressure inside the processing chamber 400 may be controlled using a throttle valve 438 and a vacuum pump 440 . In some embodiments, the temperature of the chamber wall 406 is controlled by liquid-containing conduits (not shown) running through the chamber wall 406 , or embedded in the chamber wall 406 (eg, heating cartridges or coils) may be controlled using heating elements wound (eg, heater wrap or tape) around the processing chamber 400 .

기판(428)의 온도는 페디스털(404)의 온도를 안정화함으로써 제어될 수 있다. 일부 실시예들에서, 가스 공급원(442)으로부터의 헬륨(He) 가스는, 가스 도관(444)을 통해, 기판(428) 아래의 페디스털 표면에 형성된 채널들(도시되지 않음)에 제공될 수 있다. 헬륨 가스는 페디스털(404)과 기판(428) 사이의 열 전달을 용이하게 할 수 있다. 처리 동안, 페디스털(404)은 정상 상태 온도로 가열될 수 있고, 그 다음, 헬륨 가스는 기판(428)의 균일한 가열을 용이하게 할 수 있다. 페디스털(404)은, 가열 요소(도시되지 않음), 예컨대, 페디스털(404) 내에 내장된 저항성 가열기, 또는 페디스털에 또는 기판(428)이 페디스털 상에 있는 경우에는 기판에 일반적으로 조준되는 램프에 의해 그렇게 가열될 수 있다. 그러한 열 제어를 사용하여, 기판(428)은 섭씨 약 20 내지 350 도(℃)의 온도로 유지될 수 있다.The temperature of the substrate 428 may be controlled by stabilizing the temperature of the pedestal 404 . In some embodiments, helium (He) gas from a gas source 442 may be provided to channels (not shown) formed in the pedestal surface below the substrate 428 via a gas conduit 444 . can The helium gas may facilitate heat transfer between the pedestal 404 and the substrate 428 . During processing, the pedestal 404 may be heated to a steady state temperature, and then helium gas may facilitate uniform heating of the substrate 428 . The pedestal 404 may be connected to a heating element (not shown), such as a resistive heater embedded within the pedestal 404 , or to the pedestal or a substrate if the substrate 428 is on the pedestal. It can be so heated by a lamp normally aimed at the Using such thermal control, the substrate 428 can be maintained at a temperature of about 20 to 350 degrees Celsius (°C).

본원에 설명된 바와 같은 처리 챔버(400)의 구성요소들의 제어를 허용하기 위해, 제어기(446)가 제공될 수 있다. 제어기(446)는 중앙 처리 유닛(CPU)(448), 메모리(450), 및 CPU(448)를 위한 지원 회로들(452)을 포함할 수 있다. 제어기(446)는 RF 전원(414), 스위치(420), 검출기(422), 및 바이어싱 전원(426)과 인터페이싱할 수 있다.A controller 446 may be provided to allow control of the components of the processing chamber 400 as described herein. The controller 446 may include a central processing unit (CPU) 448 , a memory 450 , and support circuits 452 for the CPU 448 . Controller 446 may interface with RF power supply 414 , switch 420 , detector 422 , and biasing power supply 426 .

제어기(446)는, 다양한 챔버들 및 하위 프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 적합한 유형의 범용 컴퓨터 프로세서일 수 있다. CPU(448)를 위한 메모리(450), 또는 다른 컴퓨터 판독가능 매체는, 임의의 쉽게 입수가능한 메모리 형태들, 예컨대, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 로컬 또는 원격 디지털 저장소 중 하나 이상일 수 있다. 지원 회로들(452)은 종래의 방식으로 프로세서를 지원하려는 노력으로 CPU(448)에 결합될 수 있다. 이러한 회로들은 캐시, 전력 공급부들, 클럭 회로들, 입력/출력(I/O) 회로 및 하위시스템들 등을 포함할 수 있다. 일부 실시예들의 경우, 플라즈마를 활성화하고 유지하기 위해 본원에 개시된 기법들은 소프트웨어 루틴으로서 메모리(450)에 저장될 수 있다. 소프트웨어 루틴은 또한, CPU(448)에 의해 제어되는 하드웨어로부터 원격에 위치된 제2 CPU(도시되지 않음)에 의해 저장되고/거나 실행될 수 있다.Controller 446 may be any suitable type of general-purpose computer processor that may be used in an industrial setting to control various chambers and sub-processors. Memory 450 for CPU 448, or other computer readable medium, may include any readily available memory forms, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of local or remote digital storage. Support circuits 452 may be coupled to CPU 448 in an effort to support the processor in a conventional manner. Such circuits may include cache, power supplies, clock circuits, input/output (I/O) circuitry and subsystems, and the like. For some embodiments, the techniques disclosed herein for activating and maintaining a plasma may be stored in memory 450 as a software routine. Software routines may also be stored and/or executed by a second CPU (not shown) located remotely from hardware controlled by CPU 448 .

본 개시내용의 일부 실시예들에 따르면, 열 또는 플라즈마 수소화 프로세스에 플라즈마 질화 프로세스가 후속되고, 이는 이하에서 "순차적 수소화/질화 프로세스"로 지칭되며, 기판에 대해 열 어닐링이 수행되기 전에 그리고/또는 후에 기판에 대해 수행된다. 순차적 수소화/질화 프로세스는 용량성 결합된 플라즈마 프로세스 또는 유도성 결합된 플라즈마 프로세스를 포함할 수 있다. 일부 실시예들에서, 수소화/질화 프로세스를 위한 플라즈마는 처리 챔버(400) 외부의 원격 플라즈마 공급원에서 형성될 수 있고, 다른 실시예들에서, 플라즈마 프로세스를 위한 플라즈마는 인-시튜로, 즉, 처리 챔버(400)에서 형성될 수 있다. 수소화 및 질화는 이하에서 "단일 단계 플라즈마 수소화 및 질화 프로세스"로 지칭되는 동일한 단계에서 수행될 수 있다. 일부 실시예들에서, 단일 단계 플라즈마 수소화 및 질화 프로세스를 위한 플라즈마는 처리 챔버(400) 외부의 원격 플라즈마 공급원에서 형성될 수 있고, 다른 실시예들에서, 플라즈마 프로세스를 위한 플라즈마는 인-시튜로, 즉, 처리 챔버(400)에서 형성될 수 있다.According to some embodiments of the present disclosure, a thermal or plasma hydrogenation process is followed by a plasma nitridation process, hereinafter referred to as a “sequential hydrogenation/nitridation process”, before thermal annealing is performed on the substrate and/or It is then performed on the substrate. The sequential hydrogenation/nitridation process may include a capacitively coupled plasma process or an inductively coupled plasma process. In some embodiments, the plasma for the hydrogenation/nitridation process may be formed in a remote plasma source external to the processing chamber 400 , and in other embodiments, the plasma for the plasma process is in-situ, i.e., processing It may be formed in the chamber 400 . Hydrogenation and nitridation may be performed in the same step, hereinafter referred to as a “single step plasma hydrogenation and nitridation process”. In some embodiments, the plasma for the single step plasma hydrogenation and nitridation process may be formed in a remote plasma source external to the processing chamber 400, and in other embodiments, the plasma for the plasma process is in-situ; That is, it may be formed in the processing chamber 400 .

플라즈마 수소화 프로세스에서, 플라즈마 여기된 H 라디칼들 및/또는 이온들은 벌크 O 원자들(211) 및/또는 표면 O 원자들(212)과 반응하여 빈공간들(213)을 생성한다. 열 수소화 프로세스의 경우에, 해리된 H 원자들은 벌크 O 원자들(211) 및/또는 표면 O 원자들(212)과 반응하여 빈공간들(213)을 생성한다. 질화 프로세스에서, N 라디칼들 및/또는 이온들은 빈공간들(213)을 점유한다.In the plasma hydrogenation process, plasma excited H radicals and/or ions react with bulk O atoms 211 and/or surface O atoms 212 to create voids 213 . In the case of a thermal hydrogenation process, the dissociated H atoms react with bulk O atoms 211 and/or surface O atoms 212 to create voids 213 . In the nitridation process, N radicals and/or ions occupy voids 213 .

플라즈마 수소화 프로세스 동안, 처리 챔버(400) 내의 처리 환경은 일반적으로, H 원자들, 예컨대, 해리된 H 원자들, H 라디칼들, 및/또는 H 이온들의 존재로 인해 비교적 낮은 더 낮은 농도의 O 원자들을 포함한다는 점을 주목한다. 따라서, 플라즈마 수소화 프로세스 동안의 처리 챔버(400) 내의 처리 환경은 질화 프로세스 동안의 처리 챔버(400) 내의 처리 환경 또는 금속 질화물 층의 증착 동안의 처리 챔버 내의 처리 환경에서보다 더 낮은 농도의 O 원자들을 포함할 수 있다. 그러나, 수소화 또는 질화 양쪽 모두의 경우에, 더 낮은 농도의 O 원자들은 일반적으로 유리하다. 따라서, 일부 실시예들에서, 처리 챔버는 임의의 미량의 O 종을 제거하기 위해 플라즈마 수소화 프로세스 및/또는 질화 프로세스 전에 플라즈마 프로세스, 예컨대, H2 프로세스로 컨디셔닝될 수 있다.During the plasma hydrogenation process, the processing environment within the processing chamber 400 is generally a relatively low, lower concentration of O atoms due to the presence of H atoms, such as dissociated H atoms, H radicals, and/or H ions. Note that they include Thus, the processing environment within the processing chamber 400 during the plasma hydrogenation process produces a lower concentration of O atoms than the processing environment within the processing chamber 400 during the nitridation process or the processing environment within the processing chamber during the deposition of the metal nitride layer. may include However, in both hydrogenation or nitridation cases, lower concentrations of O atoms are generally advantageous. Accordingly, in some embodiments, the processing chamber may be conditioned with a plasma process, eg, an H 2 process, prior to the plasma hydrogenation process and/or nitridation process to remove any trace O species.

본원에 설명된 수소화/질화 프로세스 또는 단일 단계 플라즈마 수소화 및 질화 프로세스로 처리될 금속 질화물 층이, 약 200 Å 이하의 두께를 갖는 박막인 경우, ICP 프로세스는 일반적으로, 수소화 또는 질화 동안 금속 질화물 층을 손상시킬 가능성이 더 적다. 구체적으로, ICP 프로세스에서 플라즈마 시스는 전형적으로, CCP 챔버에서의 플라즈마 시스보다 더 작고, 그러므로, 이를 통해 이동하는 이온들은 전형적으로, 비례적으로 더 적은 에너지, 예를 들어, 대략 수십 eV, 예컨대, 10 내지 20 eV의 에너지를 갖는다. 대조적으로, CCP 챔버에서의 이온들은 전형적으로, 대략 수백 eV(예를 들어, > 200-400 eV)의 에너지들을 갖고, 결과적으로 금속 질화물 층에 상당한 손상을 생성할 수 있다. 또한, ICP 프로세스는, 다른 유형들의 처리 챔버들에서 사용되는 CCP 및 원격 플라즈마 공급원들에 비해, ICP 처리 챔버에서 기판에 근접하여 일반적으로 형성되는 이온들, 라디칼들, 및 다른 플라즈마 여기된 종의 더 높은 밀도로 인해, CCP 또는 원격 플라즈마 프로세스의 사용에 의한 것보다, 금속 질화물 층으로부터의 더 많은 산소 제거를 제공할 수 있다. 비교하면, CCP 및 원격 플라즈마 공급원들로부터의 라디칼들의 농도는 비교적 낮다.When the metal nitride layer to be subjected to the hydrogenation/nitridation process or single step plasma hydrogenation and nitridation process described herein is a thin film having a thickness of about 200 Å or less, the ICP process generally removes the metal nitride layer during hydrogenation or nitridation. Less likely to damage Specifically, plasma sheaths in ICP processes are typically smaller than plasma sheaths in CCP chambers, and therefore ions traveling therethrough typically have proportionally less energy, eg, on the order of several tens of eV, eg, It has an energy of 10 to 20 eV. In contrast, ions in a CCP chamber typically have energies on the order of several hundred eV (eg, >200-400 eV) and can consequently create significant damage to the metal nitride layer. In addition, the ICP process produces more ions, radicals, and other plasma excited species that are normally formed in proximity to the substrate in an ICP processing chamber compared to CCP and remote plasma sources used in other types of processing chambers. Due to the high density, it can provide more oxygen removal from the metal nitride layer than by the use of a CCP or remote plasma process. In comparison, the concentration of radicals from CCP and remote plasma sources is relatively low.

플라즈마 프로세스를 위한 플라즈마가 인-시튜로 형성되는 실시예들에서, 플라즈마는 유도 코일 요소들(410), 제1 임피던스 정합 네트워크(412), RF 전원(414), 및 일부 실시예들에서, 제2 임피던스 정합 네트워크(424) 및 바이어싱 전원(426)을 통해 형성될 수 있다. 그러한 실시예들에서, 플라즈마 프로세스는 특정 플라즈마 종(즉, 이온들, 중성 원자들, 및/또는 라디칼들)을 생성하도록 선택되는 하나 이상의 프로세스 가스를 처리 챔버(400) 내로 도입하는 것을 포함할 수 있다. 더 구체적으로, 플라즈마 수소화 프로세스의 경우, 하나 이상의 프로세스 가스는 플라즈마 여기된 수소 종을 생성하도록 선택되는 한편, 플라즈마 질화 프로세스의 경우, 하나 이상의 프로세스 가스는 플라즈마 여기된 질소 종을 생성하도록 선택된다. 따라서, 플라즈마 수소화 프로세스의 경우, 하나 이상의 프로세스 가스는 수소(H2) 및/또는 D2를 포함할 수 있고, 플라즈마 질화 프로세스의 경우, 하나 이상의 프로세스 가스는 질소(N2) 또는 암모니아(NH3)를 포함할 수 있다. 대안적으로 또는 추가적으로, 플라즈마 프로세스는 하나 이상의 캐리어 및/또는 불활성 가스, 예컨대, 아르곤(Ar)을 처리 챔버(400) 내로 도입하는 것을 포함할 수 있다. 단일 단계 플라즈마 수소화 및 질화 프로세스의 경우, 하나 이상의 프로세스 가스는 수소(H2), D2, 질소(N2), 암모니아(NH3), 또는 히드라진(N2H4)을 포함할 수 있다.In embodiments in which the plasma for the plasma process is formed in-situ, the plasma is formed by the induction coil elements 410 , the first impedance matching network 412 , the RF power source 414 , and, in some embodiments, the second 2 may be formed through an impedance matching network 424 and a biasing power supply 426 . In such embodiments, the plasma process may include introducing into the processing chamber 400 one or more process gases selected to generate a particular plasma species (ie, ions, neutral atoms, and/or radicals). have. More specifically, for a plasma hydrogenation process, the one or more process gases are selected to generate plasma excited hydrogen species, while for a plasma nitridation process, the one or more process gases are selected to produce plasma excited nitrogen species. Thus, for a plasma hydrogenation process, the one or more process gases may include hydrogen (H 2 ) and/or D 2 , and for a plasma nitridation process, the one or more process gases may include nitrogen (N 2 ) or ammonia (NH 3 ). ) may be included. Alternatively or additionally, the plasma process may include introducing one or more carriers and/or an inert gas, such as argon (Ar), into the processing chamber 400 . For a single stage plasma hydrogenation and nitridation process, the one or more process gases may include hydrogen (H 2 ), D 2 , nitrogen (N 2 ), ammonia (NH 3 ), or hydrazine (N 2 H 4 ).

일부 실시예들에서, 플라즈마 수소화 프로세스는, 플라즈마로부터 제공되는 반응성 종을 형성하는, 수소(H2)로 본질적으로 구성되는 프로세스 가스를 포함하는 플라즈마의 형성을 주로 포함한다. H2를 사용하여 형성되는 플라즈마(예를 들어, 유도성 결합된 플라즈마)를 사용한 수소 함유 종의 형성은, H2 함유 프로세스 가스를 사용하는 열 수소화 프로세스보다 상당히 더 많은 수소 함유 라디칼들 및 이온들을 가질 것이고, 따라서, 플라즈마 수소화 프로세스의 유효성을 개선하고, 비순수 수소 함유 반응성 가스들을 사용할 때 발견되는 원하지 않는 반응들을 감소시킨다는 점을 주목할 것이다.In some embodiments, the plasma hydrogenation process primarily includes formation of a plasma comprising a process gas consisting essentially of hydrogen (H 2 ) that forms a reactive species provided from the plasma. Formation of a hydrogen-containing species using a plasma formed using H 2 (eg, inductively coupled plasma) produces significantly more hydrogen-containing radicals and ions than a thermal hydrogenation process using a H 2 containing process gas. will have, thus improving the effectiveness of the plasma hydrogenation process and reducing unwanted reactions found when using impure hydrogen containing reactive gases.

일부 실시예들에서, 하나 이상의 프로세스 가스는 RF 전원, 예컨대, RF 전원(414)에 의해 활성화된다. RF 전력은 2% 내지 70% 듀티 사이클로 펄싱될 수 있고, 약 100 W 내지 약 2500 W 범위일 수 있다. RF 전력은 약 100 W 내지 약 2500 W 범위의 연속파일 수 있다. 프로세스 챔버는 플라즈마 프로세스 동안 약 10 mTorr 내지 약 200 mTorr 범위의 챔버 압력을 가질 수 있는 한편, 프로세스 온도, 예를 들어, 페디스털(404)의 온도는 20 ℃ 내지 약 500 ℃의 범위일 수 있다.In some embodiments, one or more process gases are activated by an RF power source, eg, RF power source 414 . The RF power may be pulsed with a 2% to 70% duty cycle and may range from about 100 W to about 2500 W. The RF power may be a continuous wave in the range of about 100 W to about 2500 W. The process chamber may have a chamber pressure in the range of about 10 mTorr to about 200 mTorr during the plasma process, while the process temperature, e.g., the temperature of the pedestal 404, may range from 20 °C to about 500 °C. .

예시적인 실시예에서, 플라즈마 수소화 프로세스는 약 400 ℃ 내지 약 500 ℃인 프로세스 온도, 약 5 mTorr 내지 약 20 mTorr인 챔버 압력, 약 1000 W 내지 약 2000 W인 RF 전력, 및 약 175 V 내지 약 250 V인 바이어싱 전압으로 수행되며, 이때 H2 유동은 약 20 sccm 내지 약 40 sccm이고 Ar 유동은 약 400 sccm 내지 약 500 sccm이고 기간은 약 50 초 내지 약 300 초이다. 프로세스 챔버(400) 내부의 플라즈마로부터 생성된 플라즈마 여기된 수소 종은, 부분적으로 형성된 전도성 구조(예를 들어, 전도성 구조(100))의 금속 질화물 층(예를 들어, 금속 질화물 층(103))의 노출된 표면 상에 존재하는 산화물들의 일부 또는 전부를 감소시킬 수 있다. 일부 실시예들에서, 플라즈마 여기된 수소 종은 또한, 전도성 구조의 금속 질화물 층 또는 다른 금속 층들, 예컨대, 전도성 구조(100)의 제1 금속 층(102)의 벌크 물질에 존재하는 O 원자들의 일부 또는 전부를 감소시킬 수 있다. O 원자들의 그러한 감소는 도 2d 및 3b와 함께 위에서 설명된다.In an exemplary embodiment, the plasma hydrogenation process comprises a process temperature of from about 400 °C to about 500 °C, a chamber pressure from about 5 mTorr to about 20 mTorr, an RF power from about 1000 W to about 2000 W, and an RF power from about 175 V to about 250 V, wherein the H 2 flow is from about 20 seem to about 40 seem and the Ar flow is from about 400 seem to about 500 seem and the duration is from about 50 seconds to about 300 seconds. Plasma excited hydrogen species generated from the plasma inside the process chamber 400 is a metal nitride layer (eg, metal nitride layer 103 ) of a partially formed conductive structure (eg, conductive structure 100 ). Some or all of the oxides present on the exposed surface of In some embodiments, the plasma excited hydrogen species also includes some of the O atoms present in the bulk material of the metal nitride layer or other metal layers of the conductive structure, eg, the first metal layer 102 of the conductive structure 100 . Or you can reduce all of them. Such reduction of O atoms is described above in conjunction with Figures 2d and 3b.

다른 예시적인 실시예에서, 플라즈마 질화 프로세스는 약 400 ℃ 내지 약 500 ℃인 프로세스 온도, 약 5 mTorr 내지 약 25 mTorr인 챔버 압력, 약 1000 W 내지 약 2000 W인 RF 전력, 및 약 175 V 내지 약 250 V인 바이어싱 전압으로 수행되며, 이때 NH3 유동은 약 20 sccm 내지 약 40 sccm이고 N2 유동은 약 400 sccm 내지 약 600 sccm이고, Ar 유동은 약 400 sccm 내지 약 500 sccm이고 기간은 약 50 초 내지 약 300 초이다. 프로세스 챔버(400) 내부의 플라즈마로부터 생성된 플라즈마 여기된 질소 종은 부분적으로 형성된 전도성 구조의 금속 질화물 층의 노출된 표면(예를 들어, 금속 질화물 층(103)의 표면(201))을 포화시킬 수 있다. 일부 실시예들에서, 플라즈마 여기된 질소 종은 또한, 전도성 구조의 금속 질화물 층 또는 다른 금속 층들의 벌크 물질에 존재하는 빈공간들을 채울 수 있다. 그러한 질화는 도 2e 및 3c와 함께 위에서 설명된다.In another exemplary embodiment, the plasma nitridation process is performed at a process temperature between about 400° C. and about 500° C., a chamber pressure between about 5 mTorr and about 25 mTorr, an RF power between about 1000 W and about 2000 W, and between about 175 V and about 175 V carried out with a biasing voltage of 250 V, wherein the NH 3 flow is from about 20 sccm to about 40 sccm, the N 2 flow is from about 400 sccm to about 600 sccm, the Ar flow is from about 400 sccm to about 500 sccm and the duration is about 50 seconds to about 300 seconds. Plasma excited nitrogen species generated from the plasma inside the process chamber 400 will saturate the exposed surface of the metal nitride layer of the partially formed conductive structure (eg, the surface 201 of the metal nitride layer 103 ). can In some embodiments, the plasma excited nitrogen species may also fill voids present in the bulk material of the metal nitride layer or other metal layers of the conductive structure. Such nitridation is described above in conjunction with Figures 2e and 3c.

일부 실시예들에서, 단일 단계 플라즈마 수소화 및 질화 프로세스는 약 30초 내지 약 150초의 지속기간 동안, 약 10 mTorr 내지 약 100 mTorr의 챔버 압력으로, 약 350 ℃ 내지 약 500 ℃의 처리 온도(예컨대, 기판 페디스털 온도)에서, 약 300 W 내지 약 2000 W의 RF 전력, 약 5 sccm 내지 약 100 sccm의 NH3의 유량, 약 50 sccm 내지 약 1000 sccm의 N2의 유량, 약 1 내지 약 1000 sccm의 헬륨(He) 유량으로 수행되고, 기판 바이어스는 약 2 MHz 내지 약 160 MHz의 주파수 및 약 0 kW 내지 약 10 kW의 바이어스 전력으로 인가된다.In some embodiments, the single step plasma hydrogenation and nitridation process is performed at a processing temperature (e.g., between about 350° C. and about 500° C. substrate pedestal temperature), an RF power of about 300 W to about 2000 W, a flow rate of NH 3 from about 5 sccm to about 100 sccm, a flow rate of N 2 from about 50 sccm to about 1000 sccm, from about 1 to about 1000 sccm of helium (He) flow rate, and the substrate bias is applied at a frequency of about 2 MHz to about 160 MHz and a bias power of about 0 kW to about 10 kW.

일부 실시예들에서, 단일 단계 플라즈마 수소화 및 질화 프로세스는 약 85초 내지 약 95초의 지속기간 동안, 약 15 mTorr 내지 약 25 mTorr의 챔버 압력으로, 약 350 ℃ 내지 약 500 ℃의 처리 온도에서, 약 300 W 내지 약 1600 W의 RF 전력, 약 10 sccm 내지 약 40 sccm의 NH3의 유량, 약 200 sccm 내지 약 550 sccm의 N2의 유량, 약 200 내지 약 550 sccm의 Ar의 유량으로 수행되고, 기판 바이어스 전력은 인가되지 않는다.In some embodiments, the single step plasma hydrogenation and nitridation process is performed for a duration of about 85 seconds to about 95 seconds, with a chamber pressure of about 15 mTorr to about 25 mTorr, at a processing temperature of about 350 °C to about 500 °C, about 300 W to about 1600 W of RF power, a flow rate of NH 3 of about 10 sccm to about 40 sccm, a flow rate of N 2 of about 200 sccm to about 550 sccm, and a flow rate of Ar of about 200 to about 550 sccm; No substrate bias power is applied.

플라즈마 프로세스를 위한 플라즈마가 원격으로 형성되는 실시예들에서, 플라즈마는 임의의 기술적으로 실현가능한 원격 플라즈마 공급원을 통해 형성될 수 있다. 그러한 실시예들에서, 플라즈마 프로세스는 플라즈마 여기된 수소 종 또는 플라즈마 여기된 질소 종을 생성하도록 선택되는 하나 이상의 프로세스 가스를 원격 플라즈마 공급원 내로 도입하는 것을 포함할 수 있다. 대안적으로 또는 추가적으로, 원격 플라즈마 프로세스는 하나 이상의 캐리어 및/또는 불활성 가스, 예컨대, 아르곤(Ar)을 원격 플라즈마 공급원 내로 도입하는 것을 포함할 수 있다. 그 다음, 원격으로 생성된 플라즈마 종은 처리 챔버(400) 내로 유동하고, 처리 챔버(400)에 배치된 기판 상에 형성된 전도성 구조의 금속 질화물 층을 처리한다. 위에서 설명된 바와 같이, 플라즈마 종이 플라즈마 여기된 수소 종인지 플라즈마 여기된 질소 종인지에 따라, 금속 질화물 층의 계면 및 벌크 O 원자들이 감소되거나, 금속 질화물 층의 질화가 향상된다.In embodiments where the plasma for the plasma process is formed remotely, the plasma may be formed via any technically feasible remote plasma source. In such embodiments, the plasma process may include introducing into the remote plasma source one or more process gases selected to produce a plasma excited hydrogen species or a plasma excited nitrogen species. Alternatively or additionally, the remote plasma process may include introducing one or more carriers and/or an inert gas, such as argon (Ar), into the remote plasma source. The remotely generated plasma species then flows into the processing chamber 400 and treats a metal nitride layer of conductive structure formed on a substrate disposed in the processing chamber 400 . As described above, depending on whether the plasma species is a plasma excited hydrogen species or a plasma excited nitrogen species, the interfacial and bulk O atoms of the metal nitride layer are reduced, or the nitridation of the metal nitride layer is enhanced.

일부 실시예들에서, 금속 질화물 층을 수소 원자들에 노출시키기 위해, 플라즈마 수소화 프로세스보다는 열 수소화 프로세스가 채용될 수 있다. 그러한 실시예들에서, 열 수소화 프로세스는 일반적으로, 상승된 온도, 예를 들어, 약 500 ℃ 내지 약 650 ℃에서 일어난다. 그러한 상승된 온도들에서, H2 가스는 개별 원자들로 해리되고, 이는, 그 다음, 금속 질화물 층(103)의 O 원자들과 반응하여 빈공간들(213)을 생성할 수 있다. 또한, 그러한 실시예들에서, 열 수소화 프로세스는 일반적으로, 처리 챔버(400)와는 상이한 처리 챔버에서 수행된다. 예를 들어, 일부 실시예들에서, 열 수소화 프로세스는 급속 열 처리 챔버에서 수행된다. 그러한 실시예들에서, 살리사이드화 프로세스가 열 수소화 프로세스와 동시에 수행될 수 있고, 이에 의해, 후속 어닐링 프로세스를 제거한다.In some embodiments, a thermal hydrogenation process rather than a plasma hydrogenation process may be employed to expose the metal nitride layer to hydrogen atoms. In such embodiments, the thermal hydrogenation process generally occurs at an elevated temperature, eg, from about 500 °C to about 650 °C. At such elevated temperatures, the H 2 gas dissociates into individual atoms, which can then react with O atoms of the metal nitride layer 103 to create voids 213 . Also, in such embodiments, the thermal hydrogenation process is generally performed in a different processing chamber than processing chamber 400 . For example, in some embodiments, the thermal hydrogenation process is performed in a rapid thermal processing chamber. In such embodiments, the salicide process may be performed concurrently with the thermal hydrogenation process, thereby eliminating the subsequent annealing process.

금속 질화물 층을 수소 원자들에 노출시키기 위해 열 어닐링 프로세스가 채용되는 실시예들에서, 플라즈마 질화 프로세스는 금속 질화물 층(103)을 공기에 노출시키는 대기 파괴 없이 수행된다. 예를 들어, 그러한 실시예들에서, 다중 챔버 처리 시스템의 하나의 챔버는 열 수소화 프로세스를 수행하도록 구성될 수 있고, 동일한 다중 챔버 처리 시스템의 다른 챔버는 플라즈마 질화 프로세스를 수행하도록 구성될 수 있다. 따라서, 금속 질화물 층(103)이 형성되는 기판은 열 수소화 프로세스를 겪을 수 있고, 그 다음, 공기에 노출되지 않고 플라즈마 질화 챔버로 직접 이송될 수 있다.In embodiments where a thermal annealing process is employed to expose the metal nitride layer to hydrogen atoms, the plasma nitridation process is performed without atmospheric disruption exposing the metal nitride layer 103 to air. For example, in such embodiments, one chamber of a multi-chamber processing system may be configured to perform a thermal hydrogenation process and another chamber of the same multi-chamber processing system may be configured to perform a plasma nitridation process. Accordingly, the substrate on which the metal nitride layer 103 is formed may be subjected to a thermal hydrogenation process and then transferred directly to a plasma nitridation chamber without exposure to air.

도 5는, 본 개시내용의 하나 이상의 양상을 구현하도록 구성된 다중 챔버 처리 시스템(500)의 상면도이다. 다중 챔버 처리 시스템(500)은 반도체 디바이스들을 형성하기 위해 개별 기판들, 예컨대, 규소 웨이퍼들에 대해 하나 이상의 제조 프로세스를 수행하도록 구성된다. 다중 챔버 처리 시스템(500)은 이송 챔버(506), 버퍼 챔버(508), 단일 웨이퍼 로드 록들(510 및 512), 처리 챔버들(514, 516, 518, 520, 522, 및 524), 예열 챔버들(523 및 525), 및 로봇들(526 및 528) 중 일부 또는 전부를 포함한다. 단일 웨이퍼 로드 록들(510 및 512)은 가열 요소들(513)을 포함할 수 있고 버퍼 챔버(508)에 부착된다. 처리 챔버들(514, 516, 518, 및 520)은 이송 챔버(506)에 부착된다. 처리 챔버들(522 및 524)은 버퍼 챔버(508)에 부착된다. 다중 챔버 처리 시스템(500)의 작동은 컴퓨터 시스템(530)에 의해 제어된다. 컴퓨터 시스템(530)은 본원에 제공된 본 발명의 작동들을 구현하도록 구성된 임의의 디바이스 또는 디바이스들의 조합일 수 있다. 이로써, 컴퓨터 시스템(530)은 실행될 때 본 발명의 작동들을 수행하는 소프트웨어로 구성된 제어기 또는 제어기들의 어레이 및/또는 범용 컴퓨터일 수 있다. 적합한 다중 챔버 처리 시스템(500)의 일 예는 캘리포니아주 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)에 의해 제조되는 엔듀라®(Endura®) RTM CL 시스템이다.5 is a top view of a multi-chamber processing system 500 configured to implement one or more aspects of the present disclosure. The multi-chamber processing system 500 is configured to perform one or more manufacturing processes on individual substrates, eg, silicon wafers, to form semiconductor devices. The multi-chamber processing system 500 includes a transfer chamber 506 , a buffer chamber 508 , single wafer load locks 510 and 512 , processing chambers 514 , 516 , 518 , 520 , 522 , and 524 , a preheat chamber s 523 and 525 , and some or all of robots 526 and 528 . The single wafer load locks 510 and 512 may include heating elements 513 and are attached to the buffer chamber 508 . The processing chambers 514 , 516 , 518 , and 520 are attached to the transfer chamber 506 . Process chambers 522 and 524 are attached to buffer chamber 508 . The operation of the multi-chamber processing system 500 is controlled by a computer system 530 . Computer system 530 may be any device or combination of devices configured to implement the operations of the invention provided herein. As such, computer system 530 may be a controller or array of controllers and/or general purpose computer configured with software that, when executed, performs the operations of the present invention. One example of a suitable multi-chamber processing system 500 is an endurance ® LA (Endura ®) RTM CL system manufactured by Applied Materials of Santa Clara, California,, Inc. (Applied Materials, Inc.).

처리 챔버들(514, 516, 518, 520, 522, 및 524) 각각은 반도체 디바이스 내의 전도성 구조, 예컨대, 전계 효과 트랜지스터(FET)를 위한 접촉 구조의 제조의 하나 이상의 프로세스 단계를 수행하도록 구성될 수 있다. 더 구체적으로, 처리 챔버들(514, 516, 518, 520, 522, 및 524)은 하나 이상의 금속 증착 챔버, 표면 세정 및 준비 챔버, 열 어닐링 및/또는 열 수소화 챔버, 및 플라즈마 수소화/질화 챔버를 포함할 수 있다.Each of the processing chambers 514 , 516 , 518 , 520 , 522 , and 524 may be configured to perform one or more process steps of manufacturing a conductive structure in a semiconductor device, such as a contact structure for a field effect transistor (FET). have. More specifically, processing chambers 514, 516, 518, 520, 522, and 524 include one or more metal deposition chambers, surface cleaning and preparation chambers, thermal annealing and/or thermal hydrogenation chambers, and plasma hydrogenation/nitridation chambers. may include

예를 들어, 규소 소스 또는 드레인 구조 상에 형성된 Ti-TiN-Co 스택을 포함하는 접촉 구조의 경우, 일부 실시예들에서, 다중 챔버 처리 시스템(500)은 그러한 전도성 구조의 제조 프로세스의 몇몇 프로세스 단계들을 순차적으로 수행하도록 구성될 수 있다. 그러한 실시예들에서, 처리 챔버(514)는 규소 소스 또는 드레인 구조의 노출된 표면에 대해 표면 세정 및 준비 프로세스를 수행하도록 구성될 수 있고, 처리 챔버(516)는 준비된 규소 소스 또는 드레인 구조 상에 Ti 및 TiN 층들을 순차적으로 증착시키도록 구성될 수 있고, 처리 챔버(522 및/또는 524)는 Ti/TiN 층들 및 소스 또는 드레인 구조에 대해 급속 열 처리(RTP) 또는 다른 열 어닐링 프로세스를 수행함으로써 실리사이드를 형성하도록 구성될 수 있고, 처리 챔버(518)는 어닐링된 Ti/TiN 층들 상에 Co 캡핑 층을 증착시키도록 구성될 수 있고, 처리 챔버(520)는 열 어닐링 프로세스 이전에 또는 이후에 수소화 프로세스에 이어서 질화 프로세스를 수행하도록 구성될 수 있다. 따라서, 그러한 실시예들에서, 완전한 접촉 구조가, 대기 파괴 및 접촉 구조의 하나 이상의 층의 결과적인 원하지 않는 산화 없이 형성될 수 있다.For a contact structure comprising, for example, a Ti—TiN—Co stack formed on a silicon source or drain structure, in some embodiments, the multi-chamber processing system 500 may perform several process steps in the fabrication process of such a conductive structure. may be configured to sequentially perform. In such embodiments, processing chamber 514 may be configured to perform a surface cleaning and preparation process on an exposed surface of a silicon source or drain structure, and processing chamber 516 may be configured to perform a surface cleaning and preparation process on the prepared silicon source or drain structure. The processing chamber 522 and/or 524 may be configured to sequentially deposit Ti and TiN layers, wherein the processing chamber 522 and/or 524 may be configured to perform a rapid thermal treatment (RTP) or other thermal annealing process on the Ti/TiN layers and the source or drain structure. The processing chamber 518 may be configured to form a silicide, the processing chamber 518 may be configured to deposit a Co capping layer on the annealed Ti/TiN layers, and the processing chamber 520 may be configured to hydrogenate prior to or after the thermal annealing process. and may be configured to perform a nitridation process subsequent to the process. Thus, in such embodiments, a complete contact structure can be formed without atmospheric disruption and consequent unwanted oxidation of one or more layers of the contact structure.

대안적인 실시예들에서, 완전한 접촉 구조를 형성하기 위한 모든 프로세스 단계들이 단일 다중 챔버 처리 시스템(500) 상에서 수행되는 것은 아니다. 예를 들어, 일부 실시예들에서, 다중 챔버 처리 시스템(500)은 금속 증착 처리 챔버들을 포함할 수 있는 한편, 열 어닐링 실리사이드화 프로세스는 상이한 기판 처리 시스템 상에서 수행될 수 있다. 그러한 실시예들에서, 열 어닐링 프로세스 전에 대기 파괴가 일어나고, 그러한 대기 파괴는 금속 질화물 층의 계면 표면 상의 그리고 접촉 구조의 금속 질화물 층의 벌크 물질에서의 O 원자들의 존재를 증가시킬 수 있다는 것이 알려져 있다. 그러나, 대기 파괴 이전에, 순차적 플라즈마(또는 열) 수소화/플라즈마 질화 프로세스 또는 단일 단계 플라즈마 수소화 및 질화 프로세스가 수행될 수 있는데, 이는, 다중 챔버 처리 시스템(500)이 금속 증착 챔버들 및 하나 이상의 플라즈마 처리 챔버 양쪽 모두로 구성될 수 있기 때문이다. 따라서, 다중 챔버 처리 시스템(500)은, 제1 금속 층(102) 및 금속 질화물 층(103)의 증착 후에, 그러나 기판이 다중 챔버 처리 시스템(500)으로부터 제거되고 공기에 노출되기 전에, 기판에 대해 순차적 수소화/질화 프로세스 또는 단일 단계 플라즈마 수소화 및 질화 프로세스를 수행하도록 구성될 수 있다. 위에서 논의된 바와 같이, 대기 파괴 이전에 금속 질화물 층(103)의 노출된 표면의 질화는, 대기 파괴 동안 그리고 후속 열 어닐링 프로세스 동안, 노출된 표면의 산화를 크게 감소시킬 수 있다.In alternative embodiments, not all process steps to form a complete contact structure are performed on a single multi-chamber processing system 500 . For example, in some embodiments, the multi-chamber processing system 500 may include metal deposition processing chambers, while the thermal annealing silicidation process may be performed on a different substrate processing system. It is known that, in such embodiments, atmospheric disruption occurs prior to the thermal annealing process, and such atmospheric disruption may increase the presence of O atoms on the interfacial surface of the metal nitride layer and in the bulk material of the metal nitride layer of the contact structure. . However, prior to atmospheric destruction, a sequential plasma (or thermal) hydrogenation/plasma nitridation process or a single step plasma hydrogenation and nitridation process may be performed, wherein the multi-chamber processing system 500 comprises metal deposition chambers and one or more plasmas. This is because it can consist of both processing chambers. Thus, the multi-chamber processing system 500 may be applied to the substrate after deposition of the first metal layer 102 and the metal nitride layer 103 , but before the substrate is removed from the multi-chamber processing system 500 and exposed to air. may be configured to perform a sequential hydrogenation/nitridation process or a single step plasma hydrogenation and nitridation process. As discussed above, nitridation of the exposed surface of the metal nitride layer 103 prior to atmospheric destruction can greatly reduce oxidation of the exposed surface during atmospheric destruction and during the subsequent thermal annealing process.

일부 실시예들에서, 다중 챔버 처리 시스템(500)은 하나 이상의 열 어닐링 및 플라즈마 처리 챔버를 포함할 수 있다. 그러한 실시예들에서, 순차적 수소화 및 질화 프로세스 또는 단일 단계 플라즈마 수소화 및 질화 프로세스가 열 어닐링 프로세스 후에 수행될 수 있고, 이에 의해, 어닐링 이전 대기 파괴에 의해 그리고 열 어닐링 프로세스 자체에 의해 도입된 O 원자들을 제거한다. 전형적으로, 열 어닐링 프로세스들은, 열 처리 동안 처리 구성요소들(예를 들어, 시일들, 프로세스 키트 구성요소들, 펌프들 등)이 달성하는 높은 온도들로 인해, 대부분의 진보된 디바이스 노드들에 대해 요구되는 바람직하게 낮은 산소 수준들을 유지할 수 없다.In some embodiments, multi-chamber processing system 500 may include one or more thermal annealing and plasma processing chambers. In such embodiments, a sequential hydrogenation and nitridation process or a single step plasma hydrogenation and nitridation process may be performed after the thermal annealing process, thereby removing O atoms introduced by atmospheric destruction prior to annealing and by the thermal annealing process itself. Remove. Typically, thermal annealing processes are difficult for most advanced device nodes due to the high temperatures that processing components (eg, seals, process kit components, pumps, etc.) achieve during thermal processing. cannot maintain the preferably low oxygen levels required for

대안적으로 또는 추가적으로, 순차적 수소화/질화 프로세스 또는 단일 단계 플라즈마 수소화 및 질화 프로세스가 열 어닐링 프로세스 전에 수행될 수 있다. 따라서, 그러한 실시예들에서, 금속 질화물 층(103)의 벌크 부분에 존재하는 계면 O 원자들 및 O 원자들은, 심지어, 금속 질화물 층의 증착 후에 그리고 열 어닐링 프로세스 전에 대기 파괴가 일어나지 않더라도, 열 어닐링 프로세스를 수행하기 전에 감소되거나 제거될 수 있다. 그러므로, 일부 구성들에서, 순차적 수소화 및 플라즈마 질화 프로세스 또는 단일 단계 플라즈마 수소화 및 질화 프로세스는 열 어닐링 프로세스 전에 그리고 또한, 열 어닐링 프로세스 후에, 그러나 대기 파괴가 일어나기 전에 수행될 수 있다.Alternatively or additionally, a sequential hydrogenation/nitridation process or a single step plasma hydrogenation and nitridation process may be performed prior to the thermal annealing process. Thus, in such embodiments, interfacial O atoms and O atoms present in the bulk portion of the metal nitride layer 103 are subjected to thermal annealing, even if atmospheric destruction does not occur after deposition of the metal nitride layer and prior to the thermal annealing process. It may be reduced or eliminated prior to performing the process. Therefore, in some configurations, a sequential hydrogenation and plasma nitridation process or a single step plasma hydrogenation and nitridation process can be performed before and also after the thermal annealing process, but before atmospheric destruction occurs.

일부 실시예들에서, 다중 챔버 처리 시스템(500)은 캡핑 층(104) 및/또는 전도성 층(106)을 증착시키도록 구성된 하나 이상의 금속 증착 챔버, 및 순차적 수소화 및 질화 프로세스 또는 단일 단계 플라즈마 수소화 및 질화 프로세스를 수행하기 위한 하나 이상의 플라즈마 처리 챔버를 포함할 수 있다. 그러한 실시예들에서, 순차적 수소화 및 질화 프로세스 또는 단일 단계 플라즈마 수소화 및 질화 프로세스는 전도성 구조에서의 캡핑 층의 증착 이전에 수행될 수 있고, 이에 의해, 대기 파괴들에 의해 그리고 실리사이드(105)를 형성하기 위한 열 어닐링 프로세스에 의해 도입된 계면 및 벌크 O 원자들을 제거한다. 그러한 실시예들에서, 순차적 수소화 및 질화 프로세스와 캡핑 층(104) 및/또는 전도성 층(106)의 증착 사이에 대기 파괴가 일어나지 않는다는 점을 주목한다. 따라서, 그러한 실시예들에서, 금속 질화물 층의 벌크 부분에 존재하는 계면 O 원자들 및 O 원자들은 열 어닐링 프로세스와 캡핑 층(104)의 증착 사이에 대기 파괴가 일어날 때 감소되거나 제거될 수 있다.In some embodiments, the multi-chamber processing system 500 includes one or more metal deposition chambers configured to deposit the capping layer 104 and/or the conductive layer 106 , and a sequential hydrogenation and nitridation process or a single step plasma hydrogenation and It may include one or more plasma processing chambers for performing a nitridation process. In such embodiments, a sequential hydrogenation and nitridation process or a single step plasma hydrogenation and nitridation process may be performed prior to deposition of the capping layer in the conductive structure, thereby forming silicide 105 and by atmospheric destruction. Remove interfacial and bulk O atoms introduced by a thermal annealing process to It is noted that in such embodiments, atmospheric disruption does not occur between the sequential hydrogenation and nitridation process and the deposition of the capping layer 104 and/or the conductive layer 106 . Thus, in such embodiments, interfacial O atoms and O atoms present in the bulk portion of the metal nitride layer may be reduced or eliminated when atmospheric destruction occurs between the thermal annealing process and deposition of the capping layer 104 .

접촉 구조의 벌크 및 계면 산소의 감소Reduction of bulk and interfacial oxygen in contact structures

도 6은, 본 개시내용의 일부 실시예들에 따른, 접촉 구조의 벌크 및 계면 산소를 감소시키기 위한 프로세스 단계들의 흐름도를 제시한다. 도 7a-7e는, 본 개시내용의 다양한 실시예들에 따른, 도 6의 프로세스의 상이한 스테이지들에 대응하는 반도체 디바이스의 개략적인 단면도들이다. 도 7a-7e는, 애퍼쳐(109)를 채우는, 제1 금속 층(102), 금속 질화물 층(103) 및 캡핑 층(104)이 선택적으로 증착되는 것으로 예시하지만(예를 들어, 층들은 도 1에 도시된 바와 같이 애퍼쳐(109) 위에 등각으로 형성되지 않음), 이는 본원에 설명되는 본 개시내용의 범위를 제한하는 것으로 의도되지 않으며, 따라서, 제1 금속 층(102), 금속 질화물 층(103) 및 캡핑 층(104)은 선택적으로 또는 비선택적으로 형성될 수 있으며 하나 이상의 추가적인 층을 포함할 수 있다.6 presents a flow diagram of process steps for reducing bulk and interfacial oxygen of a contact structure, in accordance with some embodiments of the present disclosure. 7A-7E are schematic cross-sectional views of a semiconductor device corresponding to different stages of the process of FIG. 6 , in accordance with various embodiments of the present disclosure; 7A-7E illustrate that a first metal layer 102 , a metal nitride layer 103 , and a capping layer 104 are selectively deposited, filling the aperture 109 (eg, the layers are shown in FIG. 1 ), which is not conformally formed over aperture 109 ), which is not intended to limit the scope of the present disclosure described herein, and thus first metal layer 102 , metal nitride layer 103 and capping layer 104 may be formed selectively or non-selectively and may include one or more additional layers.

단계(601) 이전에, 세정 프로세스 또는 다른 표면 준비 프로세스가, 접촉부가 형성될 반도체 기판의 표면, 예컨대, 도 7a의 소스 또는 드레인 구조(101)의 노출된 표면(701)에 대해 수행될 수 있다. 일부 실시예들에서, 표면(701) 상의 자연 산화물을 제거하기 위해 건식 식각 프로세스가 수행될 수 있다. 예를 들어, 종래의 플라즈마 식각, 또는 원격 플라즈마 보조 건식 식각 프로세스, 예컨대, 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스 인코포레이티드로부터 입수가능한 시코니TM(SiCoNiTM) 식각 프로세스가 수행될 수 있다. 시코니TM 식각 프로세스에서, 접촉부가 형성될 반도체 기판의 표면은 H2, NF3, 및/또는 NH3 플라즈마 종, 예를 들어, 플라즈마 여기된 수소 및 플루오린 종들에 노출된다. 예를 들어, 일부 실시예들에서, 그러한 표면은 H2, NF3, 및 NH3 플라즈마에 대한 동시 노출을 겪을 수 있다. 시코니TM 식각 프로세스는 시코니TM 사전세정 챔버에서 수행될 수 있으며, 이는, 프로듀서TM(ProducerTM) GT, 센츄라TM(CenturaTM) AP 및 엔듀라 플랫폼을 포함하는 다양한 다중 처리 플랫폼들 중 하나에 통합될 수 있고, 이들 모두 어플라이드 머티어리얼스로부터 입수가능하다.Prior to step 601 , a cleaning process or other surface preparation process may be performed on the surface of the semiconductor substrate on which the contact is to be formed, eg, on the exposed surface 701 of the source or drain structure 101 of FIG. 7A . . In some embodiments, a dry etch process may be performed to remove native oxide on surface 701 . For instance, a conventional plasma etch, or a remote plasma-assisted dry etching process, for example, California, Applied Materials of Santa Clara, Murray tier real scan, Inc. ray possible Francisco you get from lactide TM may be carried out (SiCoNi TM) etch process have. In the Siconi etch process, the surface of the semiconductor substrate on which the contact is to be formed is exposed to H 2 , NF 3 , and/or NH 3 plasma species, eg, plasma excited hydrogen and fluorine species. For example, in some embodiments, such a surface may undergo simultaneous exposure to H 2 , NF 3 , and NH 3 plasmas. Shiko you TM etching process Shiko you TM can take place over a pre-clean chamber, which, Producer TM (Producer TM) GT, metallocene chyura TM (Centura TM) any of a variety of multiple processing platforms, including AP and endurance la platform , all available from Applied Materials.

방법(600)은, 도 7b에 도시된 바와 같이, 제1 금속 층(102) 및 금속 질화물 층(103)이 반도체 기판 상에 증착되는 단계(601)에서 시작한다. 예를 들어, 일부 실시예들에서, Ti 층에 이어서 TiN 장벽 층이 증착된다. 임의의 적합한 PVD, CVD, 또는 ALD 프로세스가 그러한 증착을 수행하기 위해 채용될 수 있다. 따라서, 증착 프로세스는 선택적 프로세스 또는 비선택적 증착 프로세스일 수 있다. 선택적 증착 프로세스에서, 제1 금속 층(102) 및 금속 질화물 층(103)은 반도체 기판(110)의 다른 표면들이 아니라 표면(701) 상에 증착되는 반면, 비선택적 프로세스에서는, 제1 금속 층(102) 및 금속 질화물 층(103)은 반도체 기판(110)의 모든 마스킹되지 않은 표면들 상에 증착될 수 있다. 일부 실시예들에서, 단계(601)의 증착은 위에서 설명된 표면 준비 프로세스 후에 대기 파괴 없이 수행된다. 즉, 반도체 기판은 표면 준비 프로세스와 단계(601)의 증착 사이에 대기에 노출되지 않는다. 그러한 실시예들에서, 단계(601)의 증착 및 표면 준비 프로세스는 각각, 동일한 다중 챔버 처리 시스템, 예컨대, 다중 챔버 처리 시스템(500) 상의 상이한 챔버들에 의해 수행될 수 있다.The method 600 begins at step 601 where a first metal layer 102 and a metal nitride layer 103 are deposited on a semiconductor substrate, as shown in FIG. 7B . For example, in some embodiments, a Ti layer is followed by a TiN barrier layer deposited. Any suitable PVD, CVD, or ALD process may be employed to perform such deposition. Accordingly, the deposition process may be a selective process or a non-selective deposition process. In the selective deposition process, the first metal layer 102 and the metal nitride layer 103 are deposited on the surface 701 rather than the other surfaces of the semiconductor substrate 110, whereas in the non-selective process, the first metal layer ( 102 and metal nitride layer 103 may be deposited on all unmasked surfaces of semiconductor substrate 110 . In some embodiments, the deposition of step 601 is performed without atmospheric disruption after the surface preparation process described above. That is, the semiconductor substrate is not exposed to the atmosphere between the surface preparation process and the deposition of step 601 . In such embodiments, the deposition and surface preparation processes of step 601 may each be performed by different chambers on the same multi-chamber processing system, eg, multi-chamber processing system 500 .

단계(603)에서, 제1 금속 층(102), 금속 질화물 층(103), 및 소스 또는 드레인 구조(101)를 포함하는 반도체 기판(110)에 대해 열 어닐링 프로세스가 수행된다. 열 어닐링 프로세스는 도 7c에 도시된 바와 같이 실리사이드(105)를 형성한다. 예를 들어, 일부 실시예들에서, 약 500 ℃ 내지 약 600 ℃인 피크 온도에 도달하는 스파이크 어닐링 프로세스가 단계(603)에서 수행될 수 있다. 대안적으로, 단계(601)에서 증착된 제1 금속 층(102)과 소스 또는 드레인 구조(101) 사이에 실리사이드(105)를 형성하기 위해 임의의 다른 적합한 어닐링 프로세스가 대신 수행될 수 있다.In step 603 , a thermal annealing process is performed on the semiconductor substrate 110 including the first metal layer 102 , the metal nitride layer 103 , and the source or drain structure 101 . The thermal annealing process forms silicide 105 as shown in FIG. 7C . For example, in some embodiments, a spike annealing process to reach a peak temperature that is between about 500° C. and about 600° C. may be performed at step 603 . Alternatively, any other suitable annealing process may be performed instead to form the silicide 105 between the source or drain structure 101 and the first metal layer 102 deposited in step 601 .

일부 실시예들에서, 단계(603)를 수행하기 위한 챔버는, 단계(601)의 금속 증착을 수행하는 동일한 다중 챔버 처리 시스템의 챔버로서 구성될 수 있다. 따라서, 그러한 실시예들에서, 단계(603)의 열 어닐링 프로세스는 단계(601)의 금속 증착 후에 대기 파괴 없이 수행되고, 이에 의해, 금속 질화물 층(103)의 표면(702) 상에 존재하는 계면 O를 더 감소시킨다. 그러나, 다중 챔버 처리 시스템의 그러한 구성은 위에서 논의된 이유들 때문에 드물며, 일반적으로, 단계(601)와 단계(603) 사이에 대기 파괴가 일어난다.In some embodiments, the chamber for performing step 603 may be configured as a chamber of the same multi-chamber processing system performing the metal deposition of step 601 . Thus, in such embodiments, the thermal annealing process of step 603 is performed without atmospheric destruction after the metal deposition of step 601 , whereby the interface present on the surface 702 of the metal nitride layer 103 . O is further reduced. However, such configurations of multi-chamber processing systems are rare for the reasons discussed above, and generally atmospheric disruption occurs between steps 601 and 603 .

단계(604)에서, 순차적 수소화/플라즈마 질화 프로세스가 금속 질화물 층(103)의 표면(702)에 대해 수행된다. 즉, 표면(702)은, 도 7d에 도시된 바와 같이, 수소 원자들 및 플라즈마 여기된 질소 종(703)에 노출된다. 일부 실시예들에서, 플라즈마 수소화 프로세스에 이어서 플라즈마 질화 프로세스가 단계(604)에서 수행된다. 수소화 프로세스가 플라즈마 수소화 프로세스인 실시예들에서, 플라즈마 수소화 프로세스 및 플라즈마 질화 프로세스 양쪽 모두는 처리 챔버(400)에서, 도 4와 함께 위에서 설명된 프로세스 파라미터들을 사용하여 수행될 수 있다. 대안적으로, 플라즈마 수소 프로세스는 다중 챔버 처리 시스템(500)의 처리 챔버들(514, 516, 518, 520, 522, 및 524) 중 하나에서 수행될 수 있는 한편, 플라즈마 질화 프로세스는 처리 챔버들(514, 516, 518, 520, 522, 및 524) 중 다른 챔버에서 수행될 수 있다.In step 604 , a sequential hydrogenation/plasma nitridation process is performed on the surface 702 of the metal nitride layer 103 . That is, surface 702 is exposed to hydrogen atoms and plasma excited nitrogen species 703 , as shown in FIG. 7D . In some embodiments, a plasma hydrogenation process followed by a plasma nitridation process is performed at step 604 . In embodiments where the hydrogenation process is a plasma hydrogenation process, both the plasma hydrogenation process and the plasma nitridation process may be performed in the processing chamber 400 using the process parameters described above in conjunction with FIG. 4 . Alternatively, the plasma hydrogen process may be performed in one of the processing chambers 514 , 516 , 518 , 520 , 522 , and 524 of the multi-chamber processing system 500 , while the plasma nitridation process is performed in the processing chambers ( 514, 516, 518, 520, 522, and 524).

이전에 언급된 바와 같이, 일부 실시예들에서, 금속 질화물 층(103)의 표면(702)은 열 수소화 프로세스를 통해 수소 원자들에 노출된다. 그러한 실시예들에서, 단계(604)의 열 수소화 프로세스는 다중 챔버 처리 시스템(500)의 처리 챔버들(514, 516, 518, 520, 522, 및 524) 중 하나, 예를 들어, 프로세스 가스로서 H2 가스를 사용하도록 구성된 급속 열 처리 챔버에서 수행된다. 또한, 그러한 실시예들에서, 플라즈마 질화 프로세스는 처리 챔버들(514, 516, 518, 520, 522, 및 524) 중 다른 챔버, 예컨대, 도 4의 플라즈마 처리 챔버(400)와 유사한 처리 챔버에서 수행된다. 따라서, 심지어, 열 수소화 프로세스 및 플라즈마 질화 프로세스가, 상이한 처리 챔버에서 각각 수행되더라도, 이 2개의 프로세스들 사이에 대기 파괴가 일어나지 않는다.As previously mentioned, in some embodiments, the surface 702 of the metal nitride layer 103 is exposed to hydrogen atoms through a thermal hydrogenation process. In such embodiments, the thermal hydrogenation process of step 604 is performed as one of the processing chambers 514 , 516 , 518 , 520 , 522 , and 524 of the multi-chamber processing system 500 , eg, as a process gas. It is performed in a rapid thermal processing chamber configured to use H 2 gas. Also, in such embodiments, the plasma nitridation process is performed in another of the processing chambers 514 , 516 , 518 , 520 , 522 , and 524 , such as a processing chamber similar to the plasma processing chamber 400 of FIG. 4 . do. Therefore, even if the thermal hydrogenation process and the plasma nitridation process are respectively performed in different processing chambers, atmospheric destruction does not occur between these two processes.

단계(605)에서, 도 7e에 도시된 바와 같이, 어닐링된 제1 금속 층(102) 및 금속 질화물 층(103) 상에 캡핑 층(104)이 증착된다. 예를 들어, 일 실시예에서, 금속 캡핑 층은 Co 층 또는 코발트 함유 합금의 층이다. 금속 질화물 층(103)의 표면(702) 상에 존재할 수 있는 계면 O 원자들이 단계(604) 동안 제거되기 때문에, 캡핑 층(104)과 금속 질화물 층(103) 사이의 접착은 종래의 기법들을 통해 형성된 접촉 구조들에서의 접착에 비해 개선된다. 또한, 금속 질화물 층(103) 내의 O 원자들의 제거는 전도성 구조(100)의 전기 저항률을 감소시킨다.In step 605 , a capping layer 104 is deposited over the annealed first metal layer 102 and the metal nitride layer 103 , as shown in FIG. 7E . For example, in one embodiment, the metal capping layer is a layer of Co or a layer of a cobalt containing alloy. Since interfacial O atoms that may be present on the surface 702 of the metal nitride layer 103 are removed during step 604 , the adhesion between the capping layer 104 and the metal nitride layer 103 is achieved through conventional techniques. This is an improvement over adhesion in the formed contact structures. Also, the removal of O atoms in the metal nitride layer 103 reduces the electrical resistivity of the conductive structure 100 .

일부 실시예들에서, 단계들(604 및 605)은 동일한 다중 챔버 처리 시스템 상에서 수행되어, 단계(604)의 순차적 수소화 및 질화 프로세스들 후에 대기 파괴가 일어나지 않는다. 결과적으로, 대기에 대한 노출 동안 일어날 수 있는 금속 질화물 층(103)의 산화가 회피된다. 다른 실시예들에서, 단계(604)의 순차적 수소화 및 질화 처리를 수행하기 위한 처리 챔버는, 단계(605)를 수행하기 위한 처리 챔버와 상이한 다중 챔버 처리 시스템 상에 구성될 수 있다. 그러한 실시예들에서, 단계(604)의 질화 프로세스는 금속 질화물 층(103)의 표면을 완전히 질화하고, 이에 의해, 단계들(604 및 605) 사이의 대기 파괴 동안 발생할 수 있는 산화를 최소화하거나 다른 방식으로 방지한다는 점을 주목한다.In some embodiments, steps 604 and 605 are performed on the same multi-chamber processing system such that atmospheric disruption does not occur after the sequential hydrogenation and nitridation processes of step 604 . As a result, oxidation of the metal nitride layer 103 that may occur during exposure to the atmosphere is avoided. In other embodiments, the processing chamber for performing the sequential hydrogenation and nitridation process of step 604 may be configured on a different multi-chamber processing system than the processing chamber for performing step 605 . In such embodiments, the nitridation process of step 604 completely nitridizes the surface of metal nitride layer 103 , thereby minimizing or otherwise minimizing oxidation that may occur during atmospheric destruction between steps 604 and 605 . Note that this is prevented in some way.

도 8은, 본 개시내용의 일부 실시예들에 따른, 접촉 구조의 벌크 및 계면 산소를 감소시키기 위한 프로세스 단계들의 흐름도를 제시한다. 단계(801) 이전에, 세정 프로세스 또는 다른 표면 준비 프로세스가, 도 7과 함께 위에서 설명된 바와 같이 수행될 수 있다.8 presents a flow diagram of process steps for reducing bulk and interfacial oxygen of a contact structure, in accordance with some embodiments of the present disclosure. Prior to step 801 , a cleaning process or other surface preparation process may be performed as described above in conjunction with FIG. 7 .

방법(800)은 금속 층(102) 및 금속 질화물 층(103)이 소스 또는 드레인 구조(101) 상에 증착되는 단계(801)에서 시작한다. 단계(801)는 방법(600)의 단계(601)와 실질적으로 유사할 수 있다.Method 800 begins at step 801 in which a metal layer 102 and a metal nitride layer 103 are deposited on the source or drain structure 101 . Step 801 may be substantially similar to step 601 of method 600 .

단계(802)에서, 순차적 수소화/플라즈마 질화 프로세스가 금속 질화물 층(103)의 표면(702)에 대해 수행된다. 즉, 표면(702)은, 수소 원자들 및 플라즈마 여기된 질소 종에 노출된다. 단계(802)는 방법(600)의 단계(604)와 실질적으로 유사할 수 있다. 그러나, 단계(604)와 달리, 단계(802)의 순차적 수소화/플라즈마 질화 프로세스는 열 어닐링 프로세스 이전에 수행된다는 점을 주목한다. 또한, 일부 실시예들에서, 단계(802)는, 단계(803)를 수행하기 위한 열 어닐링 챔버, 예컨대, 급속 열 처리 챔버를 포함하는 다중 챔버 처리 시스템의 일부이도록 구성된 챔버에서 수행된다. 그러한 실시예들에서, 단계(801)에서 증착된 제1 금속 층(102) 및 금속 질화물 층(103) 내의 O 원자들의 효과는 더 감소되는데, 이는 그러한 O 원자들이 단계(803)의 어닐링 프로세스 전에 제거되기 때문이다.In step 802 , a sequential hydrogenation/plasma nitridation process is performed on the surface 702 of the metal nitride layer 103 . That is, surface 702 is exposed to hydrogen atoms and plasma excited nitrogen species. Step 802 may be substantially similar to step 604 of method 600 . However, it is noted that, unlike step 604, the sequential hydrogenation/plasma nitridation process of step 802 is performed prior to the thermal annealing process. Also, in some embodiments, step 802 is performed in a chamber configured to be part of a multi-chamber processing system including a thermal annealing chamber for performing step 803, eg, a rapid thermal processing chamber. In such embodiments, the effect of O atoms in first metal layer 102 and metal nitride layer 103 deposited in step 801 is further reduced, which means that such O atoms are removed prior to the annealing process of step 803 . because it is removed.

단계(803)에서, 제1 금속 층(102), 금속 질화물 층(103), 및 소스 또는 드레인 구조(101)를 포함하는 반도체 기판(110)에 대해 열 어닐링 프로세스가 수행된다. 단계(803)는 방법(600)의 단계(603)와 실질적으로 유사할 수 있다. 대안적으로, 단계(802)에서 열 수소화 프로세스가 일어나는 실시예들에서는, 단계(802)에서 열 어닐링 프로세스가 수행되고 단계(803)는 생략될 수 있다. 예를 들어, 일부 실시예들에서, 실리사이드(105)가 형성되는 열 어닐링 프로세스는 단계(802)의 열 수소화 프로세스와 동일한 처리 챔버에서 수행된다. 그러한 실시예들에서, 열 어닐링 프로세스는 열 수소화 프로세스와 동시에, 열 수소화 프로세스 직전에, 또는 열 수소화 프로세스 직후에 수행될 수 있다.In step 803 , a thermal annealing process is performed on the semiconductor substrate 110 including the first metal layer 102 , the metal nitride layer 103 , and the source or drain structure 101 . Step 803 may be substantially similar to step 603 of method 600 . Alternatively, in embodiments where the thermal hydrogenation process occurs in step 802, a thermal annealing process is performed in step 802 and step 803 may be omitted. For example, in some embodiments, the thermal annealing process in which the silicide 105 is formed is performed in the same processing chamber as the thermal hydrogenation process of step 802 . In such embodiments, the thermal annealing process may be performed concurrently with the thermal hydrogenation process, immediately before the thermal hydrogenation process, or immediately after the thermal hydrogenation process.

선택적 단계(804)에서, 플라즈마 처리 프로세스가 금속 질화물 층(103)의 표면(702)에 대해 수행된다. 단계(804)는 방법(600)의 단계(604)와 실질적으로 유사할 수 있다. 따라서, 단계(804)가 수행되는 방법(800)의 실시예들에서, 순차적 수소화/질화 프로세스는 단계(803)의 열 어닐링 프로세스 전후에 수행된다. 일부 실시예들에서, 단계(804)에서 수행되는 순차적 수소화/질화 프로세스는 단계(802)에서 수행되는 플라즈마 처리 프로세스와 실질적으로 동일하다. 다른 실시예들에서, 단계(804)의 순차적 수소화/질화 프로세스는 단계(802)의 순차적 수소화/질화 프로세스와 상이할 수 있다. 예를 들어, 단계(802)에서 채용되는 순차적 수소화/질화 프로세스의 프로세스 파라미터들은 단계(804)에서 채용되는 순차적 수소화/질화 프로세스의 프로세스 파라미터들과 상이할 수 있다.In an optional step 804 , a plasma treatment process is performed on the surface 702 of the metal nitride layer 103 . Step 804 may be substantially similar to step 604 of method 600 . Accordingly, in embodiments of method 800 where step 804 is performed, a sequential hydrogenation/nitridation process is performed before and after the thermal annealing process of step 803 . In some embodiments, the sequential hydrogenation/nitridation process performed at step 804 is substantially the same as the plasma treatment process performed at step 802 . In other embodiments, the sequential hydrogenation/nitridation process of step 804 may be different from the sequential hydrogenation/nitridation process of step 802 . For example, the process parameters of the sequential hydrogenation/nitridation process employed in step 802 may be different from the process parameters of the sequential hydrogenation/nitridation process employed in step 804 .

단계(805)에서, 캡핑 층(104) 및/또는 전도성 층(106)은 어닐링된 제1 금속 층(102) 및 금속 질화물 층(103) 상에 증착된다. 단계(805)는 방법(600)의 단계(605)와 실질적으로 유사할 수 있다. 유사하게, 일부 실시예들에서, 단계들(804 및 805)은 동일한 다중 챔버 처리 시스템 상에서 수행될 수 있어서, 단계(804)의 플라즈마 처리 프로세스 후에 대기 파괴가 일어나지 않는다. 결과적으로, 공기에 대한 노출 동안 일어날 수 있는 금속 질화물 층(103)의 산화가 회피되고, 캡핑 층(104)과 금속 질화물 층(103) 사이의 접착은 종래의 기법들을 통해 형성된 접촉 구조들에서의 접착에 비해 개선된다.In step 805 , a capping layer 104 and/or a conductive layer 106 is deposited over the annealed first metal layer 102 and the metal nitride layer 103 . Step 805 may be substantially similar to step 605 of method 600 . Similarly, in some embodiments, steps 804 and 805 may be performed on the same multi-chamber processing system such that atmospheric disruption does not occur after the plasma treatment process of step 804 . As a result, oxidation of the metal nitride layer 103, which may occur during exposure to air, is avoided, and the adhesion between the capping layer 104 and the metal nitride layer 103 occurs in contact structures formed through conventional techniques. improved compared to adhesion.

도 9는, 본 개시내용의 일부 실시예들에 따른, 접촉 구조의 벌크 및 계면 산소를 감소시키기 위한 프로세스 단계들의 흐름도를 제시한다. 단계(901) 이전에, 세정 프로세스 또는 다른 표면 준비 프로세스가, 방법(600)과 함께 위에서 설명된 바와 같이 수행될 수 있다. 도시된 바와 같이, 방법(900)은 제1 금속 층(102) 및 금속 질화물 층(103)이 소스 또는 드레인 구조(101) 상에 증착되는 단계(901)에서 시작한다. 단계(901)는 방법(600)의 단계(601)와 실질적으로 유사할 수 있다. 단계(902)에서, 순차적 수소화/질화 프로세스가 금속 질화물 층(103)의 표면(702)에 대해 수행된다. 단계(902)는 방법(800)의 단계(802)와 실질적으로 유사할 수 있다. 단계(903)에서, 제1 금속 층(102), 금속 질화물 층(103), 및 소스 또는 드레인 구조(101)를 포함하는 반도체 기판(110)에 대해 열 어닐링 프로세스가 수행된다. 단계(903)는 방법(600)의 단계(603)와 실질적으로 유사할 수 있다. 단계(905)에서, 어닐링된 제1 금속 층(102) 및 금속 질화물 층(103) 상에 캡핑 층(104)이 증착된다. 단계(905)는 방법(600)의 단계(605)와 실질적으로 유사할 수 있다. 따라서, 방법(900)에서, 순차적 수소화/질화 프로세스는 단계(903)의 열 어닐링 프로세스 이전에 수행되지만, 단계(903)의 열 어닐링 프로세스 이후에는 수행되지 않는다. 순차적 수소화/질화 프로세스는 일반적으로, 플라즈마 또는 열 수소화 프로세스 및 플라즈마 질화 프로세스를 포함한다.9 presents a flow diagram of process steps for reducing bulk and interfacial oxygen in a contact structure, in accordance with some embodiments of the present disclosure. Prior to step 901 , a cleaning process or other surface preparation process may be performed as described above in conjunction with method 600 . As shown, the method 900 begins at step 901 in which a first metal layer 102 and a metal nitride layer 103 are deposited on the source or drain structure 101 . Step 901 may be substantially similar to step 601 of method 600 . In step 902 , a sequential hydrogenation/nitridation process is performed on the surface 702 of the metal nitride layer 103 . Step 902 may be substantially similar to step 802 of method 800 . In step 903 , a thermal annealing process is performed on the semiconductor substrate 110 including the first metal layer 102 , the metal nitride layer 103 , and the source or drain structure 101 . Step 903 may be substantially similar to step 603 of method 600 . At step 905 , a capping layer 104 is deposited over the annealed first metal layer 102 and the metal nitride layer 103 . Step 905 may be substantially similar to step 605 of method 600 . Thus, in method 900 , a sequential hydrogenation/nitridation process is performed prior to the thermal annealing process of step 903 , but not after the thermal annealing process of step 903 . The sequential hydrogenation/nitridation process generally includes a plasma or thermal hydrogenation process and a plasma nitridation process.

기판 상에 접촉 구조를 형성하기 위한 방법들(600 및 800)이 설명되지만, 방법들(600 및 800)은 기판 상에 다른 전도성 구조들을 형성하기 위해서도 채용될 수 있다. 따라서, 금속 질화물 층을 포함하는 임의의 전도성 구조는 방법(600 또는 800)에 의해 형성되는 것으로부터 이익을 얻을 수 있다.Although methods 600 and 800 for forming a contact structure on a substrate are described, methods 600 and 800 may be employed to form other conductive structures on a substrate as well. Accordingly, any conductive structure comprising a metal nitride layer may benefit from being formed by the method 600 or 800 .

EOT가 감소된 금속 게이트 구조Metal gate structure with reduced EOT

본 개시내용의 다양한 실시예들에 따르면, 스택의 유효 산화물 두께(EOT)를 감소시키기 위해 순차적 수소화 및 질화 프로세스가 고-k 유전체/금속 게이트 스택의 제조에 채용된다. 그러한 실시예들에서, 스택의 EOT는, 스택의 고-k 유전체 층이 종래의 기법들을 통해 단순히 두께가 감소되거나 다른 방식으로 스케일링될 때 일어나는 것으로 알려진 증가된 누설 및 플랫밴드 전압 시프트의 부수적인 상충관계 없이 감소된다. 하나의 그러한 스택이 도 10에 예시된다.In accordance with various embodiments of the present disclosure, a sequential hydrogenation and nitridation process is employed in the fabrication of a high-k dielectric/metal gate stack to reduce the effective oxide thickness (EOT) of the stack. In such embodiments, the EOT of the stack is a concomitant trade-off of increased leakage and flatband voltage shift known to occur when the high-k dielectric layer of the stack is simply reduced in thickness or otherwise scaled through conventional techniques. reduced regardless. One such stack is illustrated in FIG. 10 .

도 10은 본 개시내용의 실시예에 따라 형성된 금속 게이트 구조(1000)의 단면도를 예시한다. 금속 게이트 구조(1000)는 반도체 디바이스의 일부, 예컨대, MOSFET 또는 다른 FET으로서 반도체 기판(1001) 상에 형성된다. 금속 게이트 구조(1000)는 반도체 기판(1001) 상에 형성되는 다수의 물질 층들의 스택이고, 예를 들어, 반도체 기판(1001) 상에 배치되는 계면 층(1002), 계면 층(1002) 상에 배치되는 고-k 유전체 층(1003), 고-k 유전체 층(1003) 상에 배치되는 금속 질화물 캡핑 층(1004), 및 금속 질화물 캡핑 층(1004) 상에 배치되는 금속 게이트 전극 층(1005)을 포함한다. 도 10에 예시된 실시예에서, 금속 게이트 구조(1000)의 다양한 층들은 반도체 기판(1001) 상에 형성된 단순 막 스택으로서 도시된다. 실제로, 금속 게이트 구조(1000)는 도 1의 절연 물질(120)과 유사한 절연 또는 유전체 물질로 형성된 접촉 웰 또는 다른 공동에 형성될 수 있다. 따라서, 계면 층(1002), 고-k 유전체 층(1003), 금속 질화물 캡핑 층(1004), 및 금속 게이트 전극 층(1005) 중 하나 이상은 그러한 공동 내에 등각으로 증착된 물질 층들일 수 있다.10 illustrates a cross-sectional view of a metal gate structure 1000 formed in accordance with an embodiment of the present disclosure. The metal gate structure 1000 is formed on the semiconductor substrate 1001 as part of a semiconductor device, eg, a MOSFET or other FET. Metal gate structure 1000 is a stack of multiple material layers formed on semiconductor substrate 1001 , for example, interfacial layer 1002 disposed on semiconductor substrate 1001 , interfacial layer 1002 on A high-k dielectric layer 1003 disposed over the high-k dielectric layer 1003 , a metal nitride capping layer 1004 disposed over the high-k dielectric layer 1003 , and a metal gate electrode layer 1005 disposed over the metal nitride capping layer 1004 . includes In the embodiment illustrated in FIG. 10 , the various layers of the metal gate structure 1000 are shown as a simple film stack formed on the semiconductor substrate 1001 . In practice, the metal gate structure 1000 may be formed in a contact well or other cavity formed of an insulating or dielectric material similar to the insulating material 120 of FIG. 1 . Accordingly, one or more of the interfacial layer 1002 , the high-k dielectric layer 1003 , the metal nitride capping layer 1004 , and the metal gate electrode layer 1005 may be layers of material conformally deposited within such a cavity.

반도체 기판(1001)은 금속 게이트 구조(1000)가 형성될 수 있는 임의의 적합한 반도체 기판일 수 있다. 이로써, 반도체 기판(1001)은, Si(Si), Ge(게르마늄), 규소-게르마늄(Si-Ge), 규소-게르마늄-탄소(SiGeC), 갈륨(Ga), 비화갈륨(GaAs), 비화인듐(InAs), 인화인듐(InP), 및 모든 다른 III/V 또는 II/VI 화합물 반도체들을 포함하지만 이에 제한되지 않는 임의의 적합한 반도체 물질로 형성될 수 있다. 대안적으로 또는 추가적으로, 반도체 기판(1001)은 층상 반도체, 예컨대, 예를 들어, Si/Si-Ge, 절연체상 반도체(SOI) 또는 절연체상 Si-Ge(SiGOI)일 수 있다. 또한, 일부 실시예들에서, 반도체 기판(1001)은 계면 산화물층(1002)에 근접한 도핑되고/거나 도핑되지 않은 영역들, 예컨대, n-도핑된 또는 p-도핑된 영역을 포함한다.The semiconductor substrate 1001 may be any suitable semiconductor substrate on which the metal gate structure 1000 may be formed. Accordingly, the semiconductor substrate 1001 is formed of Si (Si), Ge (germanium), silicon-germanium (Si-Ge), silicon-germanium-carbon (SiGeC), gallium (Ga), gallium arsenide (GaAs), and indium arsenide. It may be formed of any suitable semiconductor material including, but not limited to, (InAs), indium phosphide (InP), and all other III/V or II/VI compound semiconductors. Alternatively or additionally, the semiconductor substrate 1001 may be a layered semiconductor, such as, for example, Si/Si-Ge, semiconductor on insulator (SOI) or Si-Ge on insulator (SiGOI). Further, in some embodiments, the semiconductor substrate 1001 includes doped and/or undoped regions proximate the interfacial oxide layer 1002 , such as an n-doped or p-doped region.

계면 산화물 층(1002)은 반도체 기판(1001) 상에, 반도체 기판(1001)과 고-k 유전체 층(1003) 사이 배치되고, 금속 게이트 구조(1000)에서의 응용에 적합한 계면 산화물 층으로서 구성된다. 반도체 기판(1001)이 Si 함유 물질을 포함하는 실시예들에서, 계면 산화물(1002) 층은 산화규소(SiOX), 산질화규소(SiNO, Si2NO, Si2N2O), 및/또는 질화된 산화규소를 포함할 수 있다. 반도체 기판(1001)이 Si 함유 반도체 물질 이외의 것인 실시예들에서, 계면 산화물 층(1002)은 반도체 산화물, 반전도성 산질화물 및/또는 질화된 반전도성 산화물을 포함할 수 있다.The interfacial oxide layer 1002 is disposed on the semiconductor substrate 1001 , between the semiconductor substrate 1001 and the high-k dielectric layer 1003 , and is configured as an interfacial oxide layer suitable for application in the metal gate structure 1000 . . In embodiments in which the semiconductor substrate 1001 comprises a Si-containing material, the interfacial oxide 1002 layer may be silicon oxide (SiO X ), silicon oxynitride (SiNO, Si 2 NO, Si 2 N 2 O), and/or It may include nitrided silicon oxide. In embodiments where the semiconductor substrate 1001 is other than a Si containing semiconductor material, the interfacial oxide layer 1002 may include a semiconductor oxide, a semiconducting oxynitride, and/or a nitrided semiconducting oxide.

계면 산화물 층(1002)은 임의의 적합한 열 또는 습식 성장 기법, 예를 들어, 산화 또는 산질화를 통해 형성될 수 있다. 예를 들어, 제한 없이, 계면 산화물 층(1002)은 반도체 기판(1001)의 세정된 표면, 예컨대, HF 최종 처리된 반도체 표면을 수산화암모늄, 과산화수소 및 물의 혼합물로 처리하는 것을 포함하는 습식 화학적 산화 프로세스에 의해 형성될 수 있다. 대안적으로, 계면 산화물 층(1002)은 HF 최종 처리된 반도체 표면을 오존화된 수용액들에서 처리함으로써 형성될 수 있다. 대안적으로, 계면 산화물 층(1002)은 임의의 적합한 열 산화 기법에 의해 형성될 수 있다.The interfacial oxide layer 1002 may be formed via any suitable thermal or wet growth technique, such as oxidation or oxynitridation. For example, and without limitation, the interfacial oxide layer 1002 may be formed by a wet chemical oxidation process comprising treating a cleaned surface of the semiconductor substrate 1001 , eg, a HF final treated semiconductor surface, with a mixture of ammonium hydroxide, hydrogen peroxide and water. can be formed by Alternatively, the interfacial oxide layer 1002 may be formed by treating the HF final treated semiconductor surface in ozonated aqueous solutions. Alternatively, the interfacial oxide layer 1002 may be formed by any suitable thermal oxidation technique.

계면 산화물 층(1002)의 두께는 금속 게이트 구조(1000)가 일부인 반도체 디바이스의 함수이다. 추가적으로, 계면 산화물 층(1002)은 고-k 유전체 층(1003), 금속 질화물 캡핑 층(1004), 및 금속 게이트 전극 층(1005)보다 상당히 더 얇다. 전형적으로, 계면 산화물 층(1002)은 약 0.5 내지 2.0 nm의 두께를 갖지만, 일부 실시예들에서 계면 산화물 층(1002)은 더 두꺼울 수 있다. 일부 실시예들에서, 금속 게이트 구조(1000)의 형성에 후속하여 일어나는 디바이스 제조를 위한 열 프로세스들은 계면 산화물 층(1002)의 두께를 더 증가시킬 수 있다.The thickness of the interfacial oxide layer 1002 is a function of the semiconductor device of which the metal gate structure 1000 is a part. Additionally, the interfacial oxide layer 1002 is significantly thinner than the high-k dielectric layer 1003 , the metal nitride capping layer 1004 , and the metal gate electrode layer 1005 . Typically, the interfacial oxide layer 1002 has a thickness of about 0.5-2.0 nm, although in some embodiments the interfacial oxide layer 1002 may be thicker. In some embodiments, thermal processes for device fabrication that occur subsequent to formation of the metal gate structure 1000 may further increase the thickness of the interfacial oxide layer 1002 .

고-k 유전체 층(1003)은 금속 게이트 구조(1000)의 게이트 유전체 층 또는 다른 유전체 층일 수 있고, 소위 "고-k 유전체" 물질을 포함한다. 더 구체적으로, 고-k 유전체 층(1003)은, 적어도 약 4.0, 또는 이상적으로는 적어도 약 10.0의 유전 상수를 갖는 물질과 같은, SiO2의 유전 상수보다 큰 유전 상수를 갖는 하나 이상의 물질을 포함한다. 추가적으로, 고-k 유전체 층(1003)에 포함된 고-k 유전체 물질은 집적 회로에 사용하기에 적합하다. 따라서, 높은 유전 상수에 추가적으로, 고-k 유전체 층(1003)에 포함된 하나 이상의 고-k 유전체 물질은 또한 이상적으로는, 도펀트들의 확산을 방지하는 능력, 파괴 성능을 절충할 수 있는 소수의 전기적 결함들, 양호한 열 안정성, 및 높은 재결정화 온도를 갖는다. 고-k 유전체 층(1003)에 사용하기에 적합한 그러한 고-k 유전체 물질들의 예들은, 제한 없이, 질화규소, 산질화규소, 금속 산화물들, 금속 질화물들, 금속 산질화물들 및/또는 금속 실리케이트들을 포함한다. 일부 실시예들에서, 고-k 유전체 층(1003)은 산화하프늄(HfxOy), 산화지르코늄(ZrO2), 하프늄 실리케이트 산화물들(HfxSi1-xOy) 또는 다른 하프늄 기재 유전체들, 산화란타넘들(La2O3), 산화알루미늄(Al2O3), 산화티타늄(TiO2), 스트론튬 티타네이트(SrTiO3), 란타넘 알루미네이트(LaAlO3), 산화이트륨(Y2O3), 하프늄 실리케이트 산화물들(HfxSi1-xOy), 산화란타넘들(La2O3), 및/또는 이들의 다층 스택들 중 하나 이상을 포함한다.The high-k dielectric layer 1003 may be a gate dielectric layer or other dielectric layer of the metal gate structure 1000 and includes a so-called “high-k dielectric” material. More specifically, the high-k dielectric layer 1003 includes one or more materials having a dielectric constant greater than that of SiO 2 , such as a material having a dielectric constant of at least about 4.0, or ideally at least about 10.0. do. Additionally, the high-k dielectric material included in the high-k dielectric layer 1003 is suitable for use in integrated circuits. Thus, in addition to the high dielectric constant, the one or more high-k dielectric materials included in the high-k dielectric layer 1003 also ideally have few electrical properties that can compromise the ability to prevent diffusion of dopants, breakdown performance. It has defects, good thermal stability, and a high recrystallization temperature. Examples of such high-k dielectric materials suitable for use in the high-k dielectric layer 1003 include, without limitation, silicon nitride, silicon oxynitride, metal oxides, metal nitrides, metal oxynitrides and/or metal silicates. do. In some embodiments, the high-k dielectric layer 1003 is hafnium oxide (Hf x O y ), zirconium oxide (ZrO 2 ), hafnium silicate oxides (Hf x Si 1-x O y ), or other hafnium based dielectric. , lanthanum oxides (La 2 O 3 ), aluminum oxide (Al 2 O 3 ), titanium oxide (TiO 2 ), strontium titanate (SrTiO 3 ), lanthanum aluminate (LaAlO 3 ), yttrium oxide (Y 2 ) O 3 ), hafnium silicate oxides (Hf x Si 1-x O y ), lanthanum oxides (La 2 O 3 ), and/or multilayer stacks thereof.

고-k 유전체 층(1003)은 열 성장 프로세스, 예컨대, 예를 들어, 산화, 질화 또는 산질화 프로세스를 포함하는 임의의 적합한 증착 방법을 통해 형성될 수 있다. 대안적으로, 고-k 유전체 층(1003)은 화학 기상 증착(CVD), 플라즈마 강화 화학 기상 증착(PECVD), 금속유기 화학 기상 증착(MOCVD), 원자 층 증착(ALD), 증발, 반응성 스퍼터링, 화학 용액 증착 및/또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 하나 이상의 증착 프로세스에 의해 형성될 수 있다.The high-k dielectric layer 1003 may be formed via any suitable deposition method including a thermal growth process, such as, for example, an oxidation, nitridation or oxynitridation process. Alternatively, the high-k dielectric layer 1003 may be formed by chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), metal-organic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering, may be formed by one or more deposition processes including, but not limited to, chemical solution deposition and/or any combination thereof.

고-k 유전체 층(1003)의 두께(1003A)는, 층에 포함된 유전체 물질, 고-k 유전체 층(1003)을 형성하는 데 사용되는 프로세스, 및 금속 게이트 구조(1000)가 포함되는 반도체 디바이스의 기하형상 및 작동에 따라 달라질 수 있다. 일부 실시예들에서, 고-k 유전체 층(1003)의 두께(1003A)는 약 1.0 nm 내지 약 20 nm이다.The thickness 1003A of the high-k dielectric layer 1003 is determined by the dielectric material included in the layer, the process used to form the high-k dielectric layer 1003 , and the semiconductor device in which the metal gate structure 1000 is included. may vary depending on the geometry and operation of In some embodiments, the thickness 1003A of the high-k dielectric layer 1003 is between about 1.0 nm and about 20 nm.

금속 질화물 캡핑 층(1004)은, 고-k 유전체 층(1003) 상의 전기 전도성 보호 층으로서 전형적으로 구성되는 고-k 유전체 층(1003) 상에 배치되는 금속 층이다. 따라서, 일부 실시예들에서, 금속 질화물 캡핑 층(1004)은 반도체 기판(1001) 및/또는 고-k 유전체 층(1003)의 원하지 않는 산화를 방지하도록 구성된다. 또한, 그러한 실시예들에서, 금속 질화물 캡핑 층(1004)은 또한, 금속 질화물 캡핑 층(1004)의 증착 후에 일어나는 열 어닐링 프로세스 동안 고-k 유전체 층(1003)으로부터의 산소의 확산을 허용하도록 구성될 수 있다. 그러한 실시예들에서, 금속 질화물 캡핑 층(1004)은 또한, 열 어닐링 프로세스 동안 고-k 유전체 층(1003)과 금속 질화물 캡핑 층(1004) 사이에 형성되는 계면 층(1009)으로부터의 산소의 확산을 허용하도록 구성될 수 있다.The metal nitride capping layer 1004 is a metal layer disposed on the high-k dielectric layer 1003 , which is typically configured as an electrically conductive protective layer on the high-k dielectric layer 1003 . Accordingly, in some embodiments, the metal nitride capping layer 1004 is configured to prevent unwanted oxidation of the semiconductor substrate 1001 and/or the high-k dielectric layer 1003 . Further, in such embodiments, the metal nitride capping layer 1004 is also configured to allow diffusion of oxygen from the high-k dielectric layer 1003 during a thermal annealing process that occurs after deposition of the metal nitride capping layer 1004 . can be In such embodiments, the metal nitride capping layer 1004 also allows diffusion of oxygen from the interfacial layer 1009 formed between the high-k dielectric layer 1003 and the metal nitride capping layer 1004 during the thermal annealing process. can be configured to allow

일부 실시예들에서, 금속 질화물 캡핑 층(1004)은 금속 질화물, 예컨대, TiN, 질화탄탈럼(TaN), 탄탈럼 규소 질화물(TaSiN) 등을 포함한다. 일부 실시예들에서, 고-k 유전체 층(1003) 상의 질화물 캡핑 층(1004)의 증착은 고-k 유전체 층(1003)과 금속 질화물 캡핑 층(1004) 사이의 계면에 배치되는 계면 층(1009)의 형성을 초래할 수 있다는 점을 주목한다. 일부 실시예들에 따르면, 계면 층(1009)은, 본원에 설명된 바와 같이, 순차적 플라즈마 수소화 및 질화 프로세스가 금속 질화물 캡핑 층(1004)의 노출된 표면에 적용될 때, 후속하여 제거되거나 두께가 감소된다.In some embodiments, the metal nitride capping layer 1004 includes a metal nitride, such as TiN, tantalum nitride (TaN), tantalum silicon nitride (TaSiN), or the like. In some embodiments, the deposition of the nitride capping layer 1004 on the high-k dielectric layer 1003 is an interfacial layer 1009 disposed at the interface between the high-k dielectric layer 1003 and the metal nitride capping layer 1004 . ) can lead to the formation of According to some embodiments, the interfacial layer 1009 is subsequently removed or reduced in thickness when a sequential plasma hydrogenation and nitridation process is applied to the exposed surface of the metal nitride capping layer 1004 , as described herein. do.

금속 질화물 캡핑 층(1004)은 PVD 프로세스, CVD 프로세스, PECVD 프로세스, MOCVD 프로세스, ALD 증발 프로세스, 반응성 스퍼터링, 화학 용액 증착 및/또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 임의의 적합한 증착 방법을 통해 형성될 수 있다.The metal nitride capping layer 1004 may be formed by any suitable deposition method including, but not limited to, a PVD process, a CVD process, a PECVD process, a MOCVD process, an ALD evaporation process, reactive sputtering, chemical solution deposition, and/or any combination thereof. can be formed through

일부 실시예들에서, 금속 질화물 캡핑 층(1004)은 고-k 유전체 층(1003) 및 금속 게이트 전극 층(1005)보다 상당히 더 얇다. 예를 들어, 고-k 유전체 층(1003)이, 약 20 nm 내지 약 40 nm의 두께(1003A)를 갖는 HfO2 층이고, 금속 게이트 전극 층(1005)이, 약 20 nm 내지 약 40 nm의 두께를 갖는 TiN 층인 금속 게이트 구조(1000)의 실시예에서, 금속 질화물 캡핑 층(1004)은 약 5 nm 내지 약 15 nm의 두께(1004A)를 가질 수 있다.In some embodiments, the metal nitride capping layer 1004 is significantly thinner than the high-k dielectric layer 1003 and the metal gate electrode layer 1005 . For example, the high-k dielectric layer 1003 is a HfO 2 layer having a thickness 1003A of about 20 nm to about 40 nm, and the metal gate electrode layer 1005 has a thickness of about 20 nm to about 40 nm. In an embodiment of the metal gate structure 1000 that is a TiN layer having a thickness, the metal nitride capping layer 1004 may have a thickness 1004A of about 5 nm to about 15 nm.

일부 실시예들에서, 금속 질화물 캡핑 층(1004)의 두께(1004A)는 고-k 유전체 층(1003) 및/또는 계면 층(1009)으로부터의 산소 원자들의 확산을 용이하게 하도록 선택된다. 구체적으로, 그러한 실시예들에서, 두께(1004A)는, 금속 질화물 캡핑 층(1004)의 증착 후에 일어나는 열 어닐링 프로세스 동안 O 원자들이 고-k 유전체 층(1003) 및/또는 계면 층(1009)으로부터 확산하도록 선택된다. 그러한 실시예들에서, 두께(1004A)는, 열 어닐링 프로세스 동안 금속 질화물 캡핑 층(1004)을 통한 O 원자들의 확산 길이 미만이도록 선택된다. 일 예에서, 하나의 그러한 열 어닐링 프로세스는, 1-2초의 지속기간 및 약 700 내지 약 900 ℃의 피크 온도 동안 금속 게이트 구조(1000)에 대해 수행되는 스파이크 어닐링 프로세스이다.In some embodiments, the thickness 1004A of the metal nitride capping layer 1004 is selected to facilitate diffusion of oxygen atoms from the high-k dielectric layer 1003 and/or the interfacial layer 1009 . Specifically, in such embodiments, thickness 1004A is such that O atoms are freed from high-k dielectric layer 1003 and/or interfacial layer 1009 during a thermal annealing process that occurs after deposition of metal nitride capping layer 1004 . chosen to spread. In such embodiments, the thickness 1004A is selected to be less than the diffusion length of O atoms through the metal nitride capping layer 1004 during the thermal anneal process. In one example, one such thermal annealing process is a spike annealing process performed on the metal gate structure 1000 for a duration of 1-2 seconds and a peak temperature of about 700 to about 900 degrees Celsius.

금속 게이트 전극 층(1005)은 금속 질화물 캡핑 층(1004) 상에 형성된 금속 층이고, 하나 이상의 증착된 금속 층을 포함한다. 일부 실시예들에서, 금속 게이트 전극 층(1005)은 금속 게이트 구조(1000)의 게이트 전극 및/또는 일함수 금속으로서 구성된다. 그러한 실시예들에서, 금속 게이트 전극 층(1005)에 포함된 하나 이상의 금속 층은 금속 게이트 구조(1000)의 그리고 금속 게이트 구조(1000)가 포함되는 반도체 디바이스의 작동을 용이하게 하는 집합적 게이트 전극 일함수 값을 갖도록 선택된다. 금속 게이트 전극(1005)은, CVD, PECVD, MOCVD, ALD, 증발, 반응성 스퍼터링, 화학 용액 증착 및/또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 임의의 적합한 증착 방법을 통해 형성될 수 있다.The metal gate electrode layer 1005 is a metal layer formed on the metal nitride capping layer 1004 and includes one or more deposited metal layers. In some embodiments, the metal gate electrode layer 1005 is configured as a gate electrode and/or a work function metal of the metal gate structure 1000 . In such embodiments, one or more metal layers included in metal gate electrode layer 1005 are collective gate electrodes that facilitate operation of metal gate structure 1000 and of a semiconductor device in which metal gate structure 1000 is included. is chosen to have a work function value. The metal gate electrode 1005 may be formed via any suitable deposition method including, but not limited to, CVD, PECVD, MOCVD, ALD, evaporation, reactive sputtering, chemical solution deposition, and/or any combination thereof. .

일부 실시예들에서, 금속 게이트 전극 층(1005)은 p-금속 게이트 물질, 예컨대, TiN이다. 대안적으로, 일부 실시예들에서, 금속 게이트 전극 층(1005)은 n-금속 게이트이다. 금속 게이트 전극층(1005)에 사용하기에 적합한 n-금속들은 티타늄 알루미늄 탄화물(TixAlC)을 포함한다.In some embodiments, the metal gate electrode layer 1005 is a p-metal gate material, such as TiN. Alternatively, in some embodiments, the metal gate electrode layer 1005 is an n-metal gate. Suitable n-metals for use in the metal gate electrode layer 1005 include titanium aluminum carbide (Ti x AlC).

EOT가 감소된 금속 게이트 구조의 형성Formation of metal gate structures with reduced EOT

다양한 실시예들에 따르면, 금속 게이트 구조(1000)의 제조 동안, 금속 게이트 전극 층(1005)의 증착 전에 금속 질화물 캡핑 층(1004)에 대해 순차적 플라즈마 수소화 및 질화 프로세스가 수행된다. 그러한 실시예들에서, 금속 게이트 구조(1000)의 EOT는 감소되는 반면, 금속 게이트 구조(1000)의 누설 전류는 예상되는 크기보다 더 낮게 증가된다. 또한, 그러한 실시예들에서, 금속 게이트 구조(1000)는 감소된 EOT와 정상적으로 연관된 플랫밴드 전압 시프트를 거의 또는 전혀 보이지 않는다.During fabrication of the metal gate structure 1000 , a sequential plasma hydrogenation and nitridation process is performed on the metal nitride capping layer 1004 prior to deposition of the metal gate electrode layer 1005 , according to various embodiments. In such embodiments, the EOT of the metal gate structure 1000 is reduced, while the leakage current of the metal gate structure 1000 is increased to a lower than expected magnitude. Further, in such embodiments, the metal gate structure 1000 exhibits little or no flatband voltage shift normally associated with reduced EOT.

예를 들어, 금속 게이트 구조(1000)의 일 실시예에서, 계면 산화물 층(1002)은 약 1-2 nm의 두께를 갖고, 고-k 유전체 층(1003)은 약 2-3 nm의 두께(1003A)를 갖고, 금속 질화물 캡핑 층(1004)은 약 3-4 nm의 두께(1004A)를 갖는다. 그러한 실시예에서, 본원에 설명된 순차적 플라즈마 수소화 및 질화 프로세스로 금속 질화물 캡핑 층(1004)을 처리하는 하나의 측정가능한 효과는, 금속 게이트 구조(1000)의 측정된 EOT를 대략 1 Å만큼(즉, 약 9 Å에서 약 8 Å로) 감소시키는 것이다. 금속 질화물 캡핑 층(1004)의 그러한 처리의 다른 효과는, 약 2.4배만큼(즉, 약 0.268 A/cm2로부터 약 .658 A/cm2로)의 (-1 V의 플랫밴드 전압에서의) 누설 전류의 증가이다. 대조적으로, 관련 기술분야에 알려진 잘 확립된 스케일링 추세들에 따르면, 금속 게이트 구조(1000)의 EOT가, 대신에, 종래의 기법들에 의해, 예컨대, 두께(1003A)를 약 1 Å만큼 스케일링하는 것에 의해 감소될 때, 누설 전류는 대략 10배만큼 증가할 것으로 예상된다. 따라서, 본원에 설명된 순차적 플라즈마 수소화 및 질화 프로세스를 사용한 금속 질화물 캡핑 층(1004)의 처리는, 금속 질화물 캡핑 층(1004)의 두께(1004A)를 단순히 스케일링하는 것과 연관된 것과 같이, 증가된 누설 전류의 대략 1/4로 금속 게이트 구조(1000)의 EOT를 감소시키는 효과를 갖는다는 것을 발견했다.For example, in one embodiment of the metal gate structure 1000, the interfacial oxide layer 1002 has a thickness of about 1-2 nm, and the high-k dielectric layer 1003 has a thickness of about 2-3 nm ( 1003A), and the metal nitride capping layer 1004 has a thickness 1004A of about 3-4 nm. In such an embodiment, one measurable effect of treating the metal nitride capping layer 1004 with the sequential plasma hydrogenation and nitridation process described herein is to reduce the measured EOT of the metal gate structure 1000 by approximately 1 Å (i.e., , from about 9 Å to about 8 Å). Another effect of such treatment of the metal nitride capping layer 1004 is by about 2.4 times (ie, from about 0.268 A/cm 2 to about 0.658 A/cm 2 ) (at a flatband voltage of −1 V). is an increase in leakage current. In contrast, according to well-established scaling trends known in the art, the EOT of the metal gate structure 1000 instead scales the thickness 1003A by about 1 Å, eg, by conventional techniques. When reduced by Thus, treatment of the metal nitride capping layer 1004 using the sequential plasma hydrogenation and nitridation process described herein increases leakage current, such as that associated with simply scaling the thickness 1004A of the metal nitride capping layer 1004 . was found to have the effect of reducing the EOT of the metal gate structure 1000 by approximately one-fourth of

또한, 금속 게이트 구조(1000)에서 측정된 플랫밴드 전압 시프트는, 위에서 설명된 EOT의 감소에도 불구하고, 금속 게이트 구조(1000)가 순차적 플라즈마 수소화 및 질화 프로세스로 형성될 때 실질적으로 일정하게 유지되는 것으로 나타났다. 따라서, 금속 질화물 캡핑 층(1004)에 대한 순차적 플라즈마 수소화 및 질화 프로세스의 적용은, 플랫밴드 전압 시프트 없이 그리고 디바이스 설계에 대한 결과적인 영향 없이, 감소된 EOT를 갖는 금속 게이트 구조(1000)의 제조를 가능하게 한다.Further, the flatband voltage shift measured in the metal gate structure 1000 remains substantially constant when the metal gate structure 1000 is formed in a sequential plasma hydrogenation and nitridation process, despite the reduction in EOT described above. appeared to be Thus, application of a sequential plasma hydrogenation and nitridation process to the metal nitride capping layer 1004 facilitates the fabrication of the metal gate structure 1000 with reduced EOT without a flatband voltage shift and without consequential impact on device design. make it possible

도 11은, 본 개시내용의 다양한 실시예들에 따른, 금속 게이트 구조의 EOT를 감소시키기 위한 프로세스 단계들의 흐름도를 제시한다. 도 12a-12j는, 본 개시내용의 다양한 실시예들에 따른, 도 11의 프로세스의 상이한 스테이지들에 대응하는 반도체 디바이스의 개략적인 단면도들이다.11 presents a flow diagram of process steps for reducing the EOT of a metal gate structure, in accordance with various embodiments of the present disclosure. 12A-12J are schematic cross-sectional views of a semiconductor device corresponding to different stages of the process of FIG. 11 , in accordance with various embodiments of the present disclosure.

방법(1100)은 도 12a에 도시된 바와 같이 고-k 유전체 층(1003)이 계면 산화물 층(1002) 상에 증착되는 단계(1101)에서 시작한다. 고-k 유전체 층(1003)은 도 10과 함께 위에서 설명된 임의의 적합한 증착 방법을 통해 형성될 수 있다.The method 1100 begins at step 1101 where a high-k dielectric layer 1003 is deposited on the interfacial oxide layer 1002 as shown in FIG. 12A . The high-k dielectric layer 1003 may be formed via any suitable deposition method described above in conjunction with FIG. 10 .

단계(1102)에서, 도 12b에 도시된 바와 같이, 금속 질화물 캡핑 층(1004)이 고-k 유전체 층(1003) 상에 증착된다. 금속 질화물 캡핑 층(1004)은 도 10과 함께 위에서 설명된 임의의 적합한 증착 방법을 통해 형성될 수 있다. 일부 실시예들에서, 금속 질화물 캡핑 층(1004)의 증착은 고-k 유전체 층(1003)과 금속 질화물 캡핑 층(1004) 사이의 계면에 배치되는 계면 층(1009)의 형성을 초래한다. 그러한 실시예들에서, 계면 층(1009)은 일반적으로, 단계(1102)의 증착 프로세스 동안 처리 환경에 존재하는 오염물질에 의해 혼입된 O 원자들 및/또는 빈공간들(도 2a의 빈공간들(213)과 유사할 수 있음)을 포함한다.In step 1102 , a metal nitride capping layer 1004 is deposited over the high-k dielectric layer 1003 , as shown in FIG. 12B . The metal nitride capping layer 1004 may be formed via any suitable deposition method described above in conjunction with FIG. 10 . In some embodiments, the deposition of the metal nitride capping layer 1004 results in the formation of an interfacial layer 1009 disposed at the interface between the high-k dielectric layer 1003 and the metal nitride capping layer 1004 . In such embodiments, the interfacial layer 1009 generally contains O atoms and/or voids (voids in FIG. 2A ) entrained by contaminants present in the processing environment during the deposition process of step 1102 . (213)).

선택적 단계(1103)에서, 도 12b에 도시된 노출된 표면(1201)이 공기에 노출된다. 예를 들어, 일부 실시예들에서, 금속 질화물 캡핑 층(1004)은 하나의 처리 시스템, 예컨대, 도 5의 다중 챔버 처리 시스템(500)에서 증착되는 한편, 반도체 기판(1001)에 대해 수행될 다음 처리 단계는 상이한 처리 시스템에서 수행된다. 따라서, 그러한 실시예들에서, 반도체 기판(1001)은 금속 질화물 층(1004)의 증착 후에 공기에 노출된다. 금속 질화물 캡핑 층(1004)이 다중 챔버 처리 시스템의 하나의 챔버에서 증착되고 단계(1104)가, 동일한 다중 챔버 처리 시스템의 1개 또는 2개의 다른 처리 챔버에서 수행되는 실시예들에서, 선택적 단계(1103)는 수행되지 않는다.In an optional step 1103, the exposed surface 1201 shown in FIG. 12B is exposed to air. For example, in some embodiments, the metal nitride capping layer 1004 is deposited in one processing system, eg, the multi-chamber processing system 500 of FIG. 5 , while the next to be performed on the semiconductor substrate 1001 . The processing steps are performed in different processing systems. Accordingly, in such embodiments, the semiconductor substrate 1001 is exposed to air after deposition of the metal nitride layer 1004 . In embodiments where the metal nitride capping layer 1004 is deposited in one chamber of a multi-chamber processing system and step 1104 is performed in one or two different processing chambers of the same multi-chamber processing system, an optional step ( 1103) is not performed.

단계(1102)에서 증착된 금속 질화물 캡핑 층(1004)이, 후속하여 제거되는 희생 금속 질화물 층인 실시예들에서, 방법(1100)은 단계(1131)로 진행한다. 단계(1102)에서 증착된 금속 질화물 캡핑 층(1004)이 금속 게이트 구조(1000)에 유지되는 실시예들에서, 방법(1100)은 단계(1104)로 진행한다. 일부 실시예들에서, 희생 금속 질화물 층은, 금속 질화물 캡핑 층(1004)의 제거에 선택적인 후속 습식 또는 건식 식각 프로세스의 사용에 의해 제거될 수 있다.In embodiments where the metal nitride capping layer 1004 deposited in step 1102 is a sacrificial metal nitride layer that is subsequently removed, the method 1100 proceeds to step 1131 . In embodiments in which the metal nitride capping layer 1004 deposited in step 1102 remains on the metal gate structure 1000 , the method 1100 proceeds to step 1104 . In some embodiments, the sacrificial metal nitride layer may be removed by use of a subsequent wet or dry etch process that is optional for removal of the metal nitride capping layer 1004 .

단계(1104)에서, 도 12c에 도시된 바와 같이, 금속 질화물 캡핑 층(1004)의 표면(1201)에 대해 순차적 플라즈마 수소화 및 질화 프로세스가 수행된다. 플라즈마 수소화 및 질화 프로세스는 도 4와 함께 위에서 설명된 플라즈마 수소화 및 질화 프로세스와 실질적으로 유사할 수 있다. 또한, 플라즈마 수소화 프로세스는 비산화 플라즈마 여기된 수소 종을 포함하고, 어떠한 산화 플라즈마 여기된 수소 종도 포함하지 않는다.In step 1104 , a sequential plasma hydrogenation and nitridation process is performed on the surface 1201 of the metal nitride capping layer 1004 , as shown in FIG. 12C . The plasma hydrogenation and nitridation process may be substantially similar to the plasma hydrogenation and nitridation process described above in conjunction with FIG. 4 . In addition, the plasma hydrogenation process includes non-oxidizing plasma excited hydrogen species and no oxidizing plasma excited hydrogen species.

일부 실시예들에서, 단계(1104)의 플라즈마 수소화 프로세스는 약 30초 내지 약 150초의 지속기간 동안, 약 20 mTorr 내지 약 100 mTorr의 챔버 압력으로, 약 400 ℃ 내지 약 500 ℃의 처리 온도(예컨대, 기판 페디스털 온도)에서, 약 500 W 내지 약 1500 W의 RF 전력, 약 20 sccm 내지 약 100 sccm의 H2의 유량, 및 약 900 sccm 내지 약 980 sccm의 Ar의 유량으로 수행된다. 일부 실시예들에서, H2의 유량은 챔버 내로 도입되는 전체 프로세스 가스들의 약 1% 내지 약 15%이다. 일부 실시예들에서, 단계(1104)의 플라즈마 수소화 프로세스는 약 85초 내지 약 95초의 지속기간 동안, 약 45 mTorr 내지 약 55 mTorr의 챔버 압력으로, 약 425 ℃ 내지 약 475 ℃의 처리 온도에서, 약 700 W 내지 약 800 W의 RF 전력, 약 45 sccm 내지 약 55 sccm의 H2의 유량, 및 약 965 sccm 내지 약 955 sccm의 Ar의 유량으로 수행된다.In some embodiments, the plasma hydrogenation process of step 1104 is performed at a processing temperature (e.g., between about 400° C. and about 500° C.) with a chamber pressure of between about 20 mTorr and about 100 mTorr, for a duration of between about 30 seconds and about 150 seconds. , substrate pedestal temperature), with an RF power of about 500 W to about 1500 W, a flow rate of H 2 of about 20 sccm to about 100 sccm, and a flow rate of Ar of about 900 sccm to about 980 sccm. In some embodiments, the flow rate of H 2 is between about 1% and about 15% of the total process gases introduced into the chamber. In some embodiments, the plasma hydrogenation process of step 1104 comprises a process temperature of about 425 °C to about 475 °C, with a chamber pressure of about 45 mTorr to about 55 mTorr, for a duration of about 85 seconds to about 95 seconds, RF power of about 700 W to about 800 W, a flow rate of H 2 of about 45 seem to about 55 seem, and a flow rate of Ar of about 965 seem to about 955 seem.

일부 실시예들에서, 단계(1104)의 플라즈마 질화 프로세스는 약 30초 내지 약 150초의 지속기간 동안, 약 10 mTorr 내지 약 50 mTorr의 챔버 압력으로, 약 400 ℃ 내지 약 500 ℃의 처리 온도에서, 약 500 W 내지 약 1500 W의 RF 전력, 전체 프로세스 가스 유량의 약 1% 내지 약 10%의 NH3의 유량, 전체 프로세스 가스 유량의 약 45% 내지 약 55%의 N2의 유량, 및 프로세스 가스 유동의 나머지와 동일하도록 선택된 Ar의 유량으로 수행된다. 일부 실시예들에서, 단계(1104)의 플라즈마 질화 프로세스는 약 85초 내지 약 95초의 지속기간 동안, 약 15 mTorr 내지 약 25 mTorr의 챔버 압력으로, 약 425 ℃ 내지 약 475 ℃의 처리 온도에서, 약 700 W 내지 약 800 W의 RF 전력, 전체 프로세스 가스 유량의 약 2% 내지 약 3%의 NH3의 유량, 전체 프로세스 가스 유량의 약 45% 내지 약 55%의 N2의 유량, 및 프로세스 가스 유동의 나머지와 동일하도록 선택된 Ar의 유량으로 수행된다.In some embodiments, the plasma nitridation process of step 1104 is performed at a processing temperature of about 400 °C to about 500 °C, with a chamber pressure of about 10 mTorr to about 50 mTorr, for a duration of about 30 seconds to about 150 seconds; RF power from about 500 W to about 1500 W, a flow rate of NH 3 from about 1% to about 10% of the total process gas flow rate, a flow rate of N 2 from about 45% to about 55% of the total process gas flow rate, and process gas It is performed with a flow rate of Ar selected to be equal to the remainder of the flow. In some embodiments, the plasma nitridation process of step 1104 is performed at a processing temperature of about 425° C. to about 475° C., with a chamber pressure of about 15 mTorr to about 25 mTorr, for a duration of about 85 seconds to about 95 seconds; RF power of about 700 W to about 800 W, a flow rate of NH 3 of about 2% to about 3% of the total process gas flow rate, a flow rate of N 2 of about 45% to about 55% of the total process gas flow rate, and process gas It is performed with a flow rate of Ar selected to be equal to the remainder of the flow.

요약하면, 단계(1104)에서, 표면(1201)은 플라즈마 수소화 프로세스에서 생성된 플라즈마 여기된 수소 종에 노출되고, 표면(1201) 상에 존재하는 산화물들의 일부 또는 전부가 감소된다. 추가적으로, 일부 실시예들에서, 그러한 플라즈마 여기된 수소 종은 또한, 금속 질화물 캡핑 층(1004)의 벌크 물질에 존재하는 산소(O) 원자들의 일부 또는 전부를 감소시킬 수 있다. 또한, 단계(1104)에서, 표면(1201)은 플라즈마 질화 프로세스에서 생성된 플라즈마 여기된 질소 종에 노출되고, 이에 의해, 표면(1201)을 N 원자들로 포화시키고, 일부 실시예들에서, 금속 질화물 캡핑 층(1004)의 벌크 물질에 존재하는 빈공간들을 N 원자들로 채운다. 따라서, 일부 실시예들에서, 도 12d에 도시된 바와 같이, 계면 층(1009)은 제거되거나 상당히 감소된다.In summary, in step 1104 , surface 1201 is exposed to plasma excited hydrogen species generated in a plasma hydrogenation process, and some or all of the oxides present on surface 1201 are reduced. Additionally, in some embodiments, such plasma excited hydrogen species may also reduce some or all of the oxygen (O) atoms present in the bulk material of the metal nitride capping layer 1004 . Also, in step 1104 , surface 1201 is exposed to plasma excited nitrogen species generated in a plasma nitridation process, thereby saturating surface 1201 with N atoms, and in some embodiments, a metal The voids present in the bulk material of the nitride capping layer 1004 are filled with N atoms. Accordingly, in some embodiments, as shown in FIG. 12D , the interfacial layer 1009 is eliminated or significantly reduced.

일부 실시예들에서, 단계(1104)의 플라즈마 수소화 프로세스는 단계(1104)의 플라즈마 질화 프로세스와 동일한 처리 챔버에서, 예를 들어, 도 4의 프로세스 챔버(400)에서 수행된다. 대안적으로, 단계(1104)의 플라즈마 수소화 프로세스는 다중 챔버 처리 시스템의 제1 처리 챔버에서 수행되는 한편, 단계(1104)의 플라즈마 질화 프로세스는 동일한 다중 챔버 처리 시스템의 제2 처리 챔버에서 수행된다. 어느 경우든, 표면(1201)은 단계(1104)의 플라즈마 수소화 프로세스와 플라즈마 질화 프로세스 사이에서 공기에 노출되지 않는다는 점을 주목한다. 따라서, 어느 실시예에서나, 표면(1201)은 플라즈마 여기된 수소 종에 노출된 후에 그리고 플라즈마 여기된 질소 종에 노출되기 전에 공기에 노출되지 않는다.In some embodiments, the plasma hydrogenation process of step 1104 is performed in the same processing chamber as the plasma nitridation process of step 1104 , eg, process chamber 400 of FIG. 4 . Alternatively, the plasma hydrogenation process of step 1104 is performed in a first processing chamber of a multi-chamber processing system, while the plasma nitridation process of step 1104 is performed in a second processing chamber of the same multi-chamber processing system. Note that in either case, surface 1201 is not exposed to air between the plasma hydrogenation process and the plasma nitridation process of step 1104 . Thus, in either embodiment, surface 1201 is not exposed to air after exposure to plasma excited hydrogen species and prior to exposure to plasma excited nitrogen species.

일부 실시예들에서, 처리 챔버에서 플라즈마 수소화 프로세스를 수행하기 전에, 예를 들어, 처리 챔버의 미량의 산소 오염물질을 감소시키기 위해, 무산소 컨디셔닝 프로세스가 처리 챔버에서 수행된다. 그러한 실시예들에서, 처리 챔버는, 기판이 챔버에 배치되지 않은 상태에서, 위에서 설명된 플라즈마 수소화 프로세스를 통해 기판이 처리되기 전에 무산소 플라즈마로 처리된다. 기판을 챔버에 도입하기 전의 프로세스 챔버의 그러한 플라즈마 처리는 종종 플라즈마 웨이퍼별(PEW) 프로세스 또는 PEW 처리로 지칭된다.In some embodiments, prior to performing a plasma hydrogenation process in the processing chamber, an anaerobic conditioning process is performed in the processing chamber, eg, to reduce trace oxygen contaminants in the processing chamber. In such embodiments, the processing chamber is treated with an oxygen-free plasma before the substrate is treated via the plasma hydrogenation process described above, with no substrate disposed in the chamber. Such plasma treatment of a process chamber prior to introducing a substrate into the chamber is often referred to as a plasma-by-wafer (PEW) process or PEW treatment.

일부 실시예들에서, 그러한 PEW 프로세스는 하나 이상의 산소 무함유 가스들, 예컨대, N2, NH3, Ar, H2, 또는 이들의 임의의 적합한 조합을 프로세스 챔버 내로 도입하는 것, 및 산소가 없는 플라즈마를 형성하기 위해 하나 이상의 가스를 활성화하는 것을 포함한다. 대안적으로, PEW 프로세스는 플라즈마 함유 라디칼들 및/또는 N, H, 또는 NH3의 이온들, 또는 이들의 임의의 적합한 조합을 프로세스 챔버 내로 도입하는 것을 포함할 수 있고, 여기서 플라즈마는 프로세스 챔버 외부의 원격 플라즈마 공급원에서 형성된다. 일 실시예에서, NH3 가스 또는 NH3와 Ar 가스들의 조합이 프로세스 챔버 내로 도입된다. 다른 실시예에서, H2 가스 또는 H2와 Ar 가스들의 조합이 프로세스 챔버 내로 도입된다. 또 다른 실시예에서, N2 가스 또는 N2와 Ar 가스들의 조합이 프로세스 챔버 내로 도입된다.In some embodiments, such a PEW process includes introducing one or more oxygen-free gases, eg, N 2 , NH 3 , Ar, H 2 , or any suitable combination thereof, into the process chamber, and oxygen-free and activating one or more gases to form a plasma. Alternatively, the PEW process may include introducing plasma containing radicals and/or ions of N, H, or NH 3 , or any suitable combination thereof, into the process chamber, wherein the plasma is outside the process chamber. formed from a remote plasma source. In one embodiment, NH 3 gas or a combination of NH 3 and Ar gases is introduced into the process chamber. In another embodiment, H 2 gas or a combination of H 2 and Ar gases is introduced into the process chamber. In another embodiment, N 2 gas or a combination of N 2 and Ar gases is introduced into the process chamber.

전형적으로, 기판을 도입하기 전의 처리 챔버의 플라즈마 처리는 수소 및/또는 질소를 함유하는 플라즈마를 프로세스 챔버에 형성하거나 도입하는 단계를 수반한다. 일부 실시예들에서, PEW 프로세스 동안 처리 챔버 내부의 플라즈마로부터 생성된 라디칼들, 예컨대, N*, NH*, 및/또는 H*는 처리 챔버 내의 미량의 O 원자들과 반응한다.Typically, plasma treatment of a processing chamber prior to introducing a substrate involves forming or introducing a plasma containing hydrogen and/or nitrogen into the process chamber. In some embodiments, radicals generated from the plasma inside the processing chamber during the PEW process, eg, N * , NH * , and/or H * react with trace amounts of O atoms in the processing chamber.

일부 실시예들에서, PEW 프로세스 동안, 처리 챔버 내로 도입되는 하나 이상의 가스는 RF 전원, 예컨대, 도 4의 RF 전원(414)에 의해 활성화된다. RF 전력은 2% 내지 70% 듀티 사이클로 펄싱될 수 있고, 약 100 W 내지 약 2500 W 범위일 수 있다. RF 전력은 약 100 W 내지 약 2500 W 범위의 연속파일 수 있다. 그러한 실시예들에서, 단계(1104)의 PEW 프로세스는 약 20초 내지 약 100초의 지속기간 동안, 약 10 mTorr 내지 약 200 mTorr의 챔버 압력으로, 약 400 ℃ 내지 약 500 ℃의 처리 온도에서, 약 250 W 내지 약 750 W의 RF 전력, 약 50 sccm 내지 약 200 sccm의 H2의 유량, 및 약 450 sccm 내지 약 550 sccm의 O2의 유량으로 수행된다.In some embodiments, during the PEW process, one or more gases introduced into the processing chamber are activated by an RF power source, such as the RF power source 414 of FIG. 4 . The RF power may be pulsed with a 2% to 70% duty cycle and may range from about 100 W to about 2500 W. The RF power may be a continuous wave in the range of about 100 W to about 2500 W. In such embodiments, the PEW process of step 1104 may be performed for a duration of about 20 seconds to about 100 seconds, with a chamber pressure of about 10 mTorr to about 200 mTorr, at a processing temperature of about 400 °C to about 500 °C, about RF power of 250 W to about 750 W, a flow rate of H 2 of about 50 seem to about 200 seem, and a flow rate of O 2 of about 450 seem to about 550 seem.

선택적 단계(1105)에서, 노출된 표면(1201)이 공기에 노출된다. 예를 들어, 일부 실시예들에서, 위에서 설명된 순차적 수소화 및 질화 프로세스는 하나의 처리 시스템에서 수행되는 반면, 반도체 기판(1001)에 대해 수행될 다음 처리 단계는 상이한 처리 시스템에서 수행된다. 따라서, 그러한 실시예들에서, 반도체 기판(1001)은 금속 질화물 층(1004)의 증착 후에 공기에 노출된다. 순차적 수소화 및 질화 프로세스가 다중 챔버 처리 시스템의 하나의 챔버에서 수행되고 단계(1106)는 동일한 다중 챔버 처리 시스템의 다른 처리 챔버에서 수행되는 실시예들에서, 선택적 단계(1105)는 수행되지 않는다.In an optional step 1105 , the exposed surface 1201 is exposed to air. For example, in some embodiments, the sequential hydrogenation and nitridation processes described above are performed in one processing system, while the next processing step to be performed on the semiconductor substrate 1001 is performed in a different processing system. Accordingly, in such embodiments, the semiconductor substrate 1001 is exposed to air after deposition of the metal nitride layer 1004 . In embodiments where sequential hydrogenation and nitridation processes are performed in one chamber of a multi-chamber processing system and step 1106 is performed in another processing chamber of the same multi-chamber processing system, optional step 1105 is not performed.

희생 규소 함유 층이 금속 게이트 구조(1000)의 형성의 일부로서 후속하여 증착되고 제거되는 실시예들에서, 방법(1100)은 단계(1105)로부터 단계(1121)로 진행한다. 금속 게이트 구조(1000)를 형성할 시에 희생 규소 층이 증착되지 않는 실시예들에서, 방법(1100)은 단계(1106)로 진행한다. 희생 규소 함유 층은, 증착된 층을 형성하기 위해 하나 이상의 규소 함유 전구체 가스를 사용하는 CVD 또는 ALD 프로세스를 사용하여 형성될 수 있다.In embodiments in which the sacrificial silicon-containing layer is subsequently deposited and removed as part of formation of the metal gate structure 1000 , the method 1100 proceeds from step 1105 to step 1121 . In embodiments in which a sacrificial silicon layer is not deposited upon forming the metal gate structure 1000 , the method 1100 proceeds to step 1106 . The sacrificial silicon-containing layer may be formed using a CVD or ALD process that uses one or more silicon-containing precursor gases to form the deposited layer.

단계(1106)에서, 열 어닐링 프로세스, 예컨대, 캡-이후 어닐링이 반도체 기판(1001), 계면 층(1002), 고-k 유전체 층(1003), 및 금속 질화물 캡핑 층(1004)에 대해 수행된다. 예를 들어, 일부 실시예들에서, 약 600 내지 900 ℃의 피크 온도에 도달하는 스파이크 어닐링 프로세스가 단계(1106)에서 수행된다. 캡-이후 어닐링은, 계면을 평활화하고, 불포화 결합들을 복구하고, 열 에너지를 금속 질화물 캡핑 층 내에 주입하기 위해, 부분적으로 형성된 금속 게이트 구조(1000)에 대해 수행된다.At step 1106 , a thermal annealing process, such as a post-cap anneal, is performed on the semiconductor substrate 1001 , the interfacial layer 1002 , the high-k dielectric layer 1003 , and the metal nitride capping layer 1004 . . For example, in some embodiments, a spike annealing process that reaches a peak temperature of about 600-900° C. is performed at step 1106 . A post-cap anneal is performed on the partially formed metal gate structure 1000 to smooth the interface, repair unsaturated bonds, and inject thermal energy into the metal nitride capping layer.

단계(1107)에서, 도 12e에 도시된 바와 같이, 처리된 금속 질화물 캡핑 층(1004) 상에 금속 게이트 전극 층(1005)이 증착되고, 이에 의해, 금속 게이트 구조(1000)의 형성을 완료한다. 금속 게이트 전극(1005)은 도 10과 함께 위에서 설명된 임의의 적합한 증착 방법을 통해 형성될 수 있다.In step 1107 , a metal gate electrode layer 1005 is deposited on the treated metal nitride capping layer 1004 , as shown in FIG. 12E , thereby completing the formation of the metal gate structure 1000 . . The metal gate electrode 1005 may be formed via any suitable deposition method described above in conjunction with FIG. 10 .

단계(1121)에서, 도 12f에 도시된 바와 같이, 희생 규소 층(1202)이 금속 질화물 캡핑 층(1004) 상에 증착된다. 단계(1121)는, 단계(1104)의 순차적 플라즈마 수소화 및 질화 프로세스 및 단계(1105)의 선택적 공기 노출에 의해 금속 질화물 캡핑 층(1004)의 표면(1201)이 처리된 후에 수행된다.In step 1121 , a sacrificial silicon layer 1202 is deposited over the metal nitride capping layer 1004 , as shown in FIG. 12F . Step 1121 is performed after surface 1201 of metal nitride capping layer 1004 has been treated by the sequential plasma hydrogenation and nitridation process of step 1104 and selective air exposure of step 1105 .

희생 규소 층(1202)은 임의의 적합한 규소 함유 물질, 예컨대, 비정질 규소를 포함할 수 있고, 관련 기술분야에 알려진 임의의 적합한 증착 프로세스, 예컨대, CVD 프로세스를 사용하여 증착될 수 있다. 희생 규소 층(1202)은, 후속 열 어닐링 프로세스, 예컨대, 소위 캡-이후 어닐링 프로세스 동안 금속 질화물 캡핑 층(1004), 계면 층(1009)(여전히 존재하는 경우), 및 고-k 유전체 층(1003)에서의 산화물들의 형성을 감소시키기 위해, 금속 질화물 캡핑 층(1004) 상에 증착된다. 일부 실시예들에서, 캡-이후 어닐링 프로세스는 대기 열 어닐링 프로세스를 포함한다. 결과적으로, 계면 층(1002), 고-k 유전체 층(1003), 및 금속 질화물 캡핑 층(1004)을 포함하는, 금속 게이트 구조(1000)의 매우 얇은 층들의 추가적인 산화가 일어날 수 있고, 이에 의해, 금속 게이트 구조(1000)의 EOT를 증가시킨다. 그러나, 희생 규소 층(1202)의 존재는 캡-이전 어닐링 프로세스 동안 금속 게이트 구조(1000)의 층들을 대기 O 원자들로부터 차폐할 수 있다. 추가적으로, 희생 규소 층(1202)은, 열 어닐링 프로세스 동안 고-k 유전체 층(1003), 계면 층(1009)(여전히 존재하는 경우), 및 금속 질화물 캡핑 층(1004)으로부터 확산하는 O 원자들과 반응할 수 있고, 이에 의해, O 원자들을 유지할 수 있다. 따라서, 희생 규소 층(1202)은 후속 열 어닐링 프로세스 동안 금속 게이트 구조(1000)의 부분들의 원하지 않는 산화에 대한 가능성을 최소화하거나 제거한다.The sacrificial silicon layer 1202 may comprise any suitable silicon-containing material, such as amorphous silicon, and may be deposited using any suitable deposition process known in the art, such as a CVD process. The sacrificial silicon layer 1202 is formed by a metal nitride capping layer 1004 , an interfacial layer 1009 (if still present), and a high-k dielectric layer 1003 during a subsequent thermal annealing process, such as a so-called post-cap anneal process. ), deposited on the metal nitride capping layer 1004 . In some embodiments, the post-cap anneal process comprises an atmospheric thermal anneal process. As a result, further oxidation of the very thin layers of the metal gate structure 1000 , including the interfacial layer 1002 , the high-k dielectric layer 1003 , and the metal nitride capping layer 1004 , may occur, thereby , increases the EOT of the metal gate structure 1000 . However, the presence of the sacrificial silicon layer 1202 may shield the layers of the metal gate structure 1000 from atmospheric O atoms during the pre-cap anneal process. Additionally, the sacrificial silicon layer 1202 is formed with O atoms diffusing from the high-k dielectric layer 1003 , the interfacial layer 1009 (if still present), and the metal nitride capping layer 1004 during the thermal annealing process. react, thereby retaining O atoms. Thus, the sacrificial silicon layer 1202 minimizes or eliminates the potential for unwanted oxidation of portions of the metal gate structure 1000 during a subsequent thermal anneal process.

단계(1122)에서, 열 어닐링 프로세스, 예컨대, 캡-이후 어닐링이 반도체 기판(1001), 계면 층(1002), 고-k 유전체 층(1003), 금속 질화물 캡핑 층(1004), 및 희생 규소 층(1202)에 대해 수행된다. 단계(1122)의 열 어닐링 프로세스는, 위에서 설명된, 단계(1106)의 열 어닐링 프로세스와 실질적으로 유사할 수 있다.At step 1122 , a thermal annealing process, such as post-cap anneal, is performed on the semiconductor substrate 1001 , the interfacial layer 1002 , the high-k dielectric layer 1003 , the metal nitride capping layer 1004 , and the sacrificial silicon layer 1202 is performed. The thermal annealing process of step 1122 may be substantially similar to the thermal annealing process of step 1106 , described above.

단계(1123)에서, 희생 규소 층(1202)이 금속 게이트 구조(1000)로부터 제거된다. 임의의 기술적으로 실현가능한 제거 프로세스가 단계(1123)에서 채용될 수 있고, 선택적 습식 식각 프로세스, 플라즈마 기반 건식 식각 프로세스, 화학적 기계적 연마 프로세스, 또는 이들의 임의의 조합을 포함할 수 있다. 그 다음, 방법(1100)은 단계(1107)로 진행하고, 이 단계에서 금속 게이트 구조(1000)의 최종 층이 증착된다.In step 1123 , the sacrificial silicon layer 1202 is removed from the metal gate structure 1000 . Any technically feasible removal process may be employed at step 1123 and may include a selective wet etch process, a plasma-based dry etch process, a chemical mechanical polishing process, or any combination thereof. The method 1100 then proceeds to step 1107 , in which the final layer of the metal gate structure 1000 is deposited.

단계(1131)에서, 도 12g에 도시된 바와 같이, 희생 규소 층(1203)이 금속 질화물 캡핑 층(1004) 상에 증착된다. 희생 규소 층(1203)은 단계(1131)에서 증착된 희생 규소 층(1202)과 실질적으로 유사할 수 있다. 그러나, 단계(1131)에서, 금속 질화물 캡핑 층(1004)은 순차적 플라즈마 수소화 및 질화 프로세스로 처리되지 않았다는 점을 주목한다. 결과적으로, 금속 질화물 캡핑 층(1004)은, 도시된 바와 같이, 계면 층(1009)을 여전히 포함할 수 있다.In step 1131 , a sacrificial silicon layer 1203 is deposited over the metal nitride capping layer 1004 , as shown in FIG. 12G . The sacrificial silicon layer 1203 may be substantially similar to the sacrificial silicon layer 1202 deposited in step 1131 . Note, however, that in step 1131, the metal nitride capping layer 1004 has not been treated with a sequential plasma hydrogenation and nitridation process. Consequently, the metal nitride capping layer 1004 may still include the interfacial layer 1009 , as shown.

단계(1132)에서, 열 어닐링 프로세스, 예컨대, 캡-이후 어닐링이 반도체 기판(1001), 계면 층(1002), 고-k 유전체 층(1003), 금속 질화물 캡핑 층(1004), 계면 층(1009), 및 희생 규소 층(1203)에 대해 수행된다. 단계(1132)의 열 어닐링 프로세스는, 위에서 설명된, 단계(1106)의 열 어닐링 프로세스와 실질적으로 유사할 수 있다.In step 1132 , a thermal annealing process, eg, post-cap annealing, is performed on the semiconductor substrate 1001 , the interfacial layer 1002 , the high-k dielectric layer 1003 , the metal nitride capping layer 1004 , the interfacial layer 1009 . ), and the sacrificial silicon layer 1203 . The thermal annealing process of step 1132 may be substantially similar to the thermal annealing process of step 1106 , described above.

단계(1133)에서, 도 12h에 도시된 바와 같이, 희생 규소 층(1203), 금속 질화물 캡핑 층(1004) 및 계면 층(1009)이 금속 게이트 구조(1000)로부터 제거된다. 임의의 기술적으로 실현가능한 제거 프로세스 또는 프로세스들의 조합이 단계(1123)에서 채용될 수 있고, 선택적 습식 식각 프로세스, 플라즈마 기반 건식 식각 프로세스, 화학적 기계적 연마 프로세스, 또는 이들의 임의의 조합을 포함할 수 있다. 그 다음, 방법(1100)은 단계(1134)로 진행한다.In step 1133 , the sacrificial silicon layer 1203 , the metal nitride capping layer 1004 , and the interfacial layer 1009 are removed from the metal gate structure 1000 , as shown in FIG. 12H . Any technically feasible removal process or combination of processes may be employed in step 1123 and may include a selective wet etch process, a plasma-based dry etch process, a chemical mechanical polishing process, or any combination thereof. . The method 1100 then proceeds to step 1134 .

단계(1134)에서, 도 12i에 도시된 바와 같이, 최종 금속 질화물 캡핑 층(1204)이 고-k 유전체 층(1003) 상에 증착된다. 최종 금속 질화물 캡핑 층(1204)은 금속 질화물 캡핑 층(1004)과 실질적으로 유사할 수 있고, 계면 층(1009)을 포함할 수 있다.At step 1134 , a final metal nitride capping layer 1204 is deposited over the high-k dielectric layer 1003 , as shown in FIG. 12I . The final metal nitride capping layer 1204 may be substantially similar to the metal nitride capping layer 1004 , and may include an interfacial layer 1009 .

선택적 단계(1135)에서, 도 12i에 도시된 노출된 표면(1205)이 공기에 노출된다. 예를 들어, 일부 실시예들에서, 최종 금속 질화물 캡핑 층(1204)은 하나의 처리 시스템에서 증착되는 한편, 반도체 기판(1001)에 대해 수행될 다음 처리 단계, 즉, 단계(1136)는 상이한 처리 시스템에서 수행된다. 따라서, 그러한 실시예들에서, 반도체 기판(1001)은 최종 금속 질화물 층(1204)의 증착 후에 공기에 노출된다. 최종 금속 질화물 캡핑 층(1204)이 다중 챔버 처리 시스템의 하나의 챔버에서 증착되고 단계(1136)가, 동일한 다중 챔버 처리 시스템의 1개 또는 2개의 다른 처리 챔버에서 수행되는 실시예들에서, 선택적 단계(1135)는 수행되지 않는다.In an optional step 1135 , the exposed surface 1205 shown in FIG. 12I is exposed to air. For example, in some embodiments, the final metal nitride capping layer 1204 is deposited in one processing system while the next processing step to be performed on the semiconductor substrate 1001 , ie, step 1136 , is a different processing. performed in the system. Accordingly, in such embodiments, the semiconductor substrate 1001 is exposed to air after deposition of the final metal nitride layer 1204 . In embodiments where the final metal nitride capping layer 1204 is deposited in one chamber of a multi-chamber processing system and step 1136 is performed in one or two other processing chambers of the same multi-chamber processing system, an optional step (1135) is not performed.

단계(1136)에서, 도 12j에 도시된 바와 같이, 최종 금속 질화물 캡핑 층(1204)의 표면(1205)에 대해 순차적 플라즈마 수소화 및 질화 프로세스가 수행된다. 단계(1136)에서 수행된 순차적 플라즈마 수소화 및 질화 프로세스는 단계(1104)에서 채용된 것과 실질적으로 유사할 수 있다. 결과적으로, 계면 층(1009)은 단계(1136) 동안 제거되거나 감소될 수 있고, 이에 의해, 최종 금속 질화물 캡핑 층(1204), 계면 층(1009), 및 일부 실시예들에서, 고-k 유전체 층(1003)에 존재하는 O 원자들을 제거한다. 결과적으로, 금속 게이트 구조(1000)의 EOT는 고-k 유전체 층(1003)의 두께(1003A)를 스케일링하지 않고 감소된다.At step 1136 , a sequential plasma hydrogenation and nitridation process is performed on the surface 1205 of the final metal nitride capping layer 1204 , as shown in FIG. 12J . The sequential plasma hydrogenation and nitridation process performed in step 1136 may be substantially similar to that employed in step 1104 . Consequently, the interfacial layer 1009 may be removed or reduced during step 1136 , thereby resulting in the final metal nitride capping layer 1204 , the interfacial layer 1009 , and, in some embodiments, the high-k dielectric. O atoms present in the layer 1003 are removed. As a result, the EOT of the metal gate structure 1000 is reduced without scaling the thickness 1003A of the high-k dielectric layer 1003 .

단계(1136)에서 순차적 플라즈마 수소화 및 질화 프로세스가 수행된 후, 방법(1100)은 단계(1107)로 진행하고, 이 단계에서 금속 게이트 구조(1000)의 최종 층이 증착된다. 단계(1136 및 1107)가, 상이한 처리 시스템들에서 수행되는 실시예들에서, 반도체 기판(1001)은 필수적으로 공기에 노출된다. 그러나, 단계(1136)의 플라즈마 질화 프로세스는 최종 금속 질화물 캡핑 층(1204)의 노출된 표면(1205)을 완전히 또는 거의 완전히 질화할 수 있기 때문에, 이 공기 노출 동안 일반적으로, 표면의 산화가 거의 또는 전혀 발생하지 않는다.After the sequential plasma hydrogenation and nitridation process is performed at step 1136 , the method 1100 proceeds to step 1107 , where the final layer of the metal gate structure 1000 is deposited. In embodiments where steps 1136 and 1107 are performed in different processing systems, the semiconductor substrate 1001 is essentially exposed to air. However, since the plasma nitridation process of step 1136 can completely or nearly completely nitridize the exposed surface 1205 of the final metal nitride capping layer 1204, during this air exposure, generally, oxidation of the surface is minimal or It doesn't happen at all.

단일 단계 질화-수소화 처리Single-step nitridation-hydrogenation treatment

방법(1300)은 도 14a에 도시된 바와 같이 고-k 유전체 층(1003)이 계면 산화물 층(1002) 상에 증착되는 단계(1301)에서 시작한다. 계면 산화물 층(1002)은 임의의 적절한 방법, 예컨대, 아래놓인 반도체 기판(1001)의 화학적 산화, 아래놓인 기판의 열 산화, 원자 층 증착(ALD), 화학 기상 증착(CVD) 등에 의해 증착될 수 있다. 고-k 유전체 층(1003)은 도 10과 함께 위에서 설명된 임의의 적합한 증착 방법을 통해 형성될 수 있다. 고-k 유전체 층(1003)은 산화될 수 있는 임의의 고-k 물질을 포함할 수 있다. 일 실시예에 따르면, 고-k 유전체 층(1003)은 이산화규소(SiO2) 또는 산화하프늄(HfO2)을 포함한다.The method 1300 begins at step 1301 in which a high-k dielectric layer 1003 is deposited on the interfacial oxide layer 1002 as shown in FIG. 14A . The interfacial oxide layer 1002 may be deposited by any suitable method, such as chemical oxidation of the underlying semiconductor substrate 1001, thermal oxidation of the underlying substrate, atomic layer deposition (ALD), chemical vapor deposition (CVD), or the like. have. The high-k dielectric layer 1003 may be formed via any suitable deposition method described above in conjunction with FIG. 10 . The high-k dielectric layer 1003 may include any high-k material capable of being oxidized. According to one embodiment, the high-k dielectric layer 1003 includes silicon dioxide (SiO 2 ) or hafnium oxide (HfO 2 ).

단계(1302)에서, 도 14b에 도시된 바와 같이, 캡핑 층(1404)이 고-k 유전체 층(1003) 상에 증착된다. 캡핑 층(1404)은 도 10과 함께 위에서 설명된 임의의 적합한 증착 방법을 통해 형성될 수 있다. 캡핑 층(1404)은 금속 질화물을 포함할 수 있다. 일 실시예에 따르면, 캡핑 층은 금속 질화물, 예컨대, 질화티타늄(TiN), 질화텅스텐(WN), 질화탄탈럼(TaN) 또는 티타늄 규소 질화물(TiSiN)을 포함할 수 있다. 일부 실시예들에서, 캡핑 층(1404)의 증착은 고-k 유전체 층(1003)과 캡핑 층(1404) 사이의 계면에 배치되는 계면 층(1409)의 형성을 초래한다. 그러한 실시예들에서, 계면 층(1409)은 일반적으로, 결함들, 예컨대, 단계(1302)의 증착 프로세스 동안 처리 환경에 존재하는 오염물질에 의해 혼입된 O 원자들 및/또는 빈공간들(도 2a의 빈공간들(213)과 유사할 수 있음)을 포함한다. 결함들은, 결함으로부터 결함으로의 전자 호핑으로 인해 원하지 않는 전하 전달을 허용할 수 있다. 전하 전달은 전류 누설 또는 유전체 파괴를 야기할 수 있고, 금속 게이트 구조(1000)의 전기적 신뢰성을 감소시킨다.In step 1302 , a capping layer 1404 is deposited over the high-k dielectric layer 1003 , as shown in FIG. 14B . The capping layer 1404 may be formed via any suitable deposition method described above in conjunction with FIG. 10 . The capping layer 1404 may include a metal nitride. According to an embodiment, the capping layer may include a metal nitride, such as titanium nitride (TiN), tungsten nitride (WN), tantalum nitride (TaN), or titanium silicon nitride (TiSiN). In some embodiments, the deposition of the capping layer 1404 results in the formation of an interfacial layer 1409 disposed at the interface between the high-k dielectric layer 1003 and the capping layer 1404 . In such embodiments, the interfacial layer 1409 generally contains defects such as O atoms and/or voids (Fig. may be similar to the empty spaces 213 of 2a). Defects can allow for unwanted charge transfer due to electron hopping from defect to defect. Charge transfer can cause current leakage or dielectric breakdown, reducing the electrical reliability of the metal gate structure 1000 .

선택적 단계(1303)에서, 도 14b에 도시된 노출된 표면(1401)이 공기에 노출된다. 예를 들어, 일부 실시예들에서, 캡핑 층(1404)은 하나의 처리 시스템, 예컨대, 도 5의 다중 챔버 처리 시스템(500)에서 증착되는 한편, 반도체 기판(1001)에 대해 수행될 다음 처리 단계는 상이한 처리 시스템에서 수행된다. 따라서, 그러한 실시예들에서, 반도체 기판(1001)은 캡핑 층(1404)의 증착 후에 공기에 노출된다. 캡핑 층(1404)이 다중 챔버 처리 시스템의 하나의 챔버에서 증착되고 단계(1304)가, 동일한 다중 챔버 처리 시스템의 1개 또는 2개의 다른 처리 챔버에서 수행되는 실시예들에서, 선택적 단계(1303)는 수행되지 않는다.In an optional step 1303, the exposed surface 1401 shown in FIG. 14B is exposed to air. For example, in some embodiments, the capping layer 1404 is deposited in one processing system, eg, the multi-chamber processing system 500 of FIG. 5 , while the next processing step to be performed on the semiconductor substrate 1001 . is performed in different processing systems. Accordingly, in such embodiments, the semiconductor substrate 1001 is exposed to air after deposition of the capping layer 1404 . In embodiments where the capping layer 1404 is deposited in one chamber of a multi-chamber processing system and step 1304 is performed in one or two different processing chambers of the same multi-chamber processing system, optional step 1303 is not performed

단계(1302)에서 증착된 캡핑 층(1404)이, 후속하여 제거되는 희생 층인 실시예들에서, 방법(1400)은 단계(1331)로 진행한다. 단계(1302)에서 증착된 캡핑 층(1404)이 금속 게이트 구조(1000)에 유지되는 실시예들에서, 방법(1300)은 단계(1304)로 진행한다. 일부 실시예들에서, 희생 층은, 캡핑 층(1404)의 제거에 선택적인 후속 습식 또는 건식 식각 프로세스의 사용에 의해 제거될 수 있다.In embodiments where the capping layer 1404 deposited in step 1302 is a sacrificial layer that is subsequently removed, the method 1400 proceeds to step 1331 . In embodiments in which the capping layer 1404 deposited in step 1302 remains on the metal gate structure 1000 , the method 1300 proceeds to step 1304 . In some embodiments, the sacrificial layer may be removed by use of a subsequent wet or dry etch process that is optional for removal of the capping layer 1404 .

단계(1304)에서, 도 14c에 도시된 바와 같이, 캡핑 층(1404)의 표면(1401)에 대해 단일 단계 플라즈마 수소화 및 질화 프로세스가 수행된다. 단일 단계 플라즈마 수소화 및 질화 프로세스들은 작업물, 예컨대, 금속 게이트 구조(1000)를 프로세스 플라즈마에 노출시키는 단계를 포함하고, 프로세스 플라즈마는 질소 함유 가스 및 수소 함유 가스를 포함한다. 일부 실시예들에서, 수소 함유 가스는 본질적으로, 질소 및 수소 양쪽 모두를 함유하는 가스, 예컨대, 암모니아(NH3), 히드라진(N2H4), 또는 수소 아지드(HN3)를 포함한다. 일 예에서, 수소 함유 가스는 암모니아(NH3)를 포함하고, 질소 함유 가스는 (N2)를 포함한다. 일 실시예에 따르면, 프로세스 플라즈마는 수소 및 질소 양쪽 모두를 함유하는 단일 가스, 예컨대, 히드라진(N2H4) 또는 암모니아(NH3)를 포함할 수 있다. 일 실시예에 따르면, 프로세스 플라즈마는 추가적인 중성 캐리어 가스, 예컨대, 아르곤(Ar) 또는 헬륨(He)을 포함할 수 있다. 일 예에서, 프로세스 플라즈마에 함유된 프로세스 가스들은 본질적으로, 암모니아(NH3), 질소(N2), 및 중성 캐리어 가스, 예컨대, 아르곤(Ar) 또는 헬륨(He)을 포함한다. 추가적으로, 단계(1304)의 단일 단계 플라즈마 수소화 및 질화 프로세스 동안 바이어싱 전원(426)에 의해 기판에 바이어스가 인가될 수 있다. 바이어싱 전원(426)은 일반적으로, RF 전원(414)과 유사하게, 약 2 MHz 내지 약 160 MHz 범위의 조정가능한 주파수 및 약 0 kW 내지 약 10 kW의 전력을 갖는 RF 신호를 생성할 수 있다. 바이어스 전력은 증착된 원자들을 재배열함으로써 성장된 막의 등각성을 개선한다.In step 1304 , a single step plasma hydrogenation and nitridation process is performed on the surface 1401 of the capping layer 1404 , as shown in FIG. 14C . Single stage plasma hydrogenation and nitridation processes include exposing a workpiece, eg, metal gate structure 1000 , to a process plasma, the process plasma comprising a nitrogen containing gas and a hydrogen containing gas. In some embodiments, the hydrogen containing gas essentially comprises a gas containing both nitrogen and hydrogen, such as ammonia (NH 3 ), hydrazine (N 2 H 4 ), or hydrogen azide (HN 3 ). . In one example, the hydrogen-containing gas comprises ammonia (NH 3 ) and the nitrogen-containing gas comprises (N 2 ). According to one embodiment, the process plasma may comprise a single gas containing both hydrogen and nitrogen, such as hydrazine (N 2 H 4 ) or ammonia (NH 3 ). According to one embodiment, the process plasma may include an additional neutral carrier gas, such as argon (Ar) or helium (He). In one example, the process gases contained in the process plasma essentially include ammonia (NH 3 ), nitrogen (N 2 ), and a neutral carrier gas such as argon (Ar) or helium (He). Additionally, a bias may be applied to the substrate by a biasing power source 426 during the single stage plasma hydrogenation and nitridation process of step 1304 . The biasing power supply 426 can generally generate an RF signal having a tunable frequency ranging from about 2 MHz to about 160 MHz and a power from about 0 kW to about 10 kW, similar to the RF power supply 414 . . The bias power improves conformality of the grown film by rearranging the deposited atoms.

일부 실시예들에서, 단계(1304)의 단일 단계 플라즈마 수소화 및 질화 프로세스는 약 30초 내지 약 150초의 지속기간 동안, 약 10 mTorr 내지 약 100 mTorr의 챔버 압력으로, 약 350 ℃ 내지 약 500 ℃의 처리 온도(예컨대, 기판 페디스털 온도)에서, 약 300 W 내지 약 2000 W의 RF 전력, 약 5 sccm 내지 약 100 sccm의 NH3의 유량, 약 50 sccm 내지 약 1000 sccm의 N2의 유량, 약 1 내지 약 1000 sccm의 헬륨(He) 유량으로 수행되고, 기판 바이어스는 약 2 MHz 내지 약 160 MHz의 주파수 및 약 0 kW 내지 약 10 kW의 바이어스 전력으로 인가된다.In some embodiments, the single step plasma hydrogenation and nitridation process of step 1304 is performed at a temperature of about 350° C. to about 500° C., with a chamber pressure of about 10 mTorr to about 100 mTorr, for a duration of about 30 seconds to about 150 seconds. At a processing temperature (eg, substrate pedestal temperature), an RF power of about 300 W to about 2000 W, a flow rate of NH 3 from about 5 sccm to about 100 sccm, a flow rate of N 2 from about 50 sccm to about 1000 sccm, A helium (He) flow rate of about 1 to about 1000 sccm is performed, and the substrate bias is applied at a frequency of about 2 MHz to about 160 MHz and a bias power of about 0 kW to about 10 kW.

일부 실시예들에서, 단계(1304)의 단일 단계 플라즈마 수소화 및 질화 프로세스는 약 85초 내지 약 95초의 지속기간 동안, 약 15 mTorr 내지 약 25 mTorr의 챔버 압력으로, 약 425 ℃ 내지 약 475 ℃의 처리 온도에서, 약 900 W 내지 약 1100 W의 RF 전력, 약 15 sccm 내지 약 35 sccm의 NH3의 유량, 약 450 sccm 내지 약 550 sccm의 N2의 유량, 약 450 sccm 내지 약 500 sccm의 Ar의 유량으로 수행되고, 기판 바이어스 전력은 인가되지 않는다.In some embodiments, the single step plasma hydrogenation and nitridation process of step 1304 may be performed at a temperature between about 425° C. and about 475° C., with a chamber pressure of between about 15 mTorr and about 25 mTorr, for a duration of between about 85 seconds and about 95 seconds. At the processing temperature, an RF power of about 900 W to about 1100 W, a flow rate of NH 3 of about 15 sccm to about 35 sccm, a flow rate of N 2 of about 450 sccm to about 550 sccm, Ar of about 450 sccm to about 500 sccm is performed at a flow rate of , and no substrate bias power is applied.

요약하면, 단계(1304)에서, 표면(1401)은 플라즈마 프로세스에서 생성된 플라즈마 여기된 수소 및 질소 종들에 노출되고, 표면(1401) 상에 존재하는 산화물들의 일부 또는 전부는 질화물들로 변환된다. 따라서, 일부 실시예들에서, 도 14d에 도시된 바와 같이, 계면 층(1409) 두꺼워짐이 제거되거나 두꺼워짐이 상당히 감소된다. 계면 층(1409)은 여전히 남아 있지만, 층의 두꺼워짐은 일어나지 않는다. 계면 층(1409)의 질화 또는 감소는 EOT를 감소시키고, 금속 게이트 구조(1000)의 일함수를 변화시킨다.In summary, in step 1304 , surface 1401 is exposed to plasma excited hydrogen and nitrogen species generated in a plasma process, and some or all of the oxides present on surface 1401 are converted to nitrides. Thus, in some embodiments, as shown in FIG. 14D , interfacial layer 1409 thickening is eliminated or the thickening is significantly reduced. The interfacial layer 1409 still remains, but no thickening of the layer occurs. Nitriding or reducing the interfacial layer 1409 reduces the EOT and changes the workfunction of the metal gate structure 1000 .

일부 실시예들에서, 단계(1304)의 단일 단계 플라즈마 수소화 및 질화 프로세스를 수행하기 전에, 예를 들어, 처리 챔버의 미량의 산소 오염물질을 감소시키기 위해, 무산소 컨디셔닝 프로세스가 처리 챔버에서 수행된다. 그러한 실시예들에서, 처리 챔버는, 기판이 챔버에 배치되지 않은 상태에서, 위에서 설명된 단일 단계 플라즈마 수소화 및 질화 프로세스를 통해 기판이 처리되기 전에 무산소 플라즈마로 처리된다.In some embodiments, prior to performing the single step plasma hydrogenation and nitridation process of step 1304, an anaerobic conditioning process is performed in the processing chamber, eg, to reduce trace oxygen contaminants in the processing chamber. In such embodiments, the processing chamber is treated with an oxygen-free plasma before the substrate is treated via the single step plasma hydrogenation and nitridation process described above, with no substrate placed in the chamber.

선택적 단계(1305)에서, 노출된 표면(1401)이 공기에 노출된다. 예를 들어, 일부 실시예들에서, 단계(1304)의 위에서 설명된 단일 단계 플라즈마 수소화 및 질화 프로세스는 하나의 처리 시스템에서 수행되는 반면, 반도체 기판(1001)에 대해 수행될 다음 처리 단계는 상이한 처리 시스템에서 수행된다. 따라서, 그러한 실시예들에서, 반도체 기판(1001)은 층(1404)의 증착 후에 공기에 노출된다. 단계(1304)의 단일 단계 플라즈마 수소화 및 질화 프로세스가 다중 챔버 처리 시스템의 하나의 챔버에서 수행되고 단계(1306)는 동일한 다중 챔버 처리 시스템의 다른 처리 챔버에서 수행되는 실시예들에서, 선택적 단계(1305)는 수행되지 않는다.In an optional step 1305 , the exposed surface 1401 is exposed to air. For example, in some embodiments, the single step plasma hydrogenation and nitridation process described above of step 1304 is performed in one processing system, while the next processing step to be performed on the semiconductor substrate 1001 is a different treatment. performed in the system. Accordingly, in such embodiments, the semiconductor substrate 1001 is exposed to air after deposition of the layer 1404 . In embodiments where the single step plasma hydrogenation and nitridation process of step 1304 is performed in one chamber of a multi-chamber processing system and step 1306 is performed in another processing chamber of the same multi-chamber processing system, optional step 1305 ) is not performed.

희생 규소 함유 층이 금속 게이트 구조(1000)의 형성의 일부로서 후속하여 증착되고 제거되는 실시예들에서, 방법(1300)은 단계(1305)로부터 단계(1321)로 진행한다. 금속 게이트 구조(1000)를 형성할 시에 희생 규소 층이 증착되지 않는 실시예들에서, 방법(1300)은 단계(1306)로 진행한다. 희생 규소 함유 층은, 증착된 층을 형성하기 위해 하나 이상의 규소 함유 전구체 가스를 사용하는 CVD 또는 ALD 프로세스를 사용하여 형성될 수 있다.In embodiments in which the sacrificial silicon-containing layer is subsequently deposited and removed as part of formation of the metal gate structure 1000 , the method 1300 proceeds from step 1305 to step 1321 . In embodiments in which a sacrificial silicon layer is not deposited upon forming the metal gate structure 1000 , the method 1300 proceeds to step 1306 . The sacrificial silicon-containing layer may be formed using a CVD or ALD process that uses one or more silicon-containing precursor gases to form the deposited layer.

단계(1306)에서, 열 어닐링 프로세스, 예컨대, 캡-이후 어닐링이 반도체 기판(1001), 계면 층(1002), 고-k 유전체 층(1003), 및 캡핑 층(1404)에 대해 수행된다. 예를 들어, 일부 실시예들에서, 약 600 내지 약 900 ℃의 피크 온도에 도달하는 스파이크 어닐링 프로세스가 단계(1306)에서 수행된다. 캡-이후 어닐링은, 계면을 평활화하고, 불포화 결합들을 복구하고, 열 에너지를 캡핑 층(1404) 내에 주입하기 위해, 부분적으로 형성된 금속 게이트 구조(1000)에 대해 수행된다.At step 1306 , a thermal annealing process, eg, a post-cap anneal, is performed on the semiconductor substrate 1001 , the interfacial layer 1002 , the high-k dielectric layer 1003 , and the capping layer 1404 . For example, in some embodiments, a spike annealing process that reaches a peak temperature of about 600 to about 900 °C is performed at step 1306 . A post-cap anneal is performed on the partially formed metal gate structure 1000 to smooth the interface, repair unsaturated bonds, and inject thermal energy into the capping layer 1404 .

단계(1307)에서, 도 14e에 도시된 바와 같이, 처리된 캡핑 층(1404) 상에 금속 게이트 전극 층(1005)이 증착되고, 이에 의해, 금속 게이트 구조(1000)의 형성을 완료한다. 금속 게이트 전극(1005)은 도 10과 함께 위에서 설명된 임의의 적합한 증착 방법을 통해 형성될 수 있다.In step 1307 , a metal gate electrode layer 1005 is deposited on the processed capping layer 1404 , as shown in FIG. 14E , thereby completing the formation of the metal gate structure 1000 . The metal gate electrode 1005 may be formed via any suitable deposition method described above in conjunction with FIG. 10 .

단계(1321)에서, 도 14f에 도시된 바와 같이, 희생 규소 층(1202)이 캡핑 층(1404) 상에 증착된다. 단계(1321)는, 단계(1304)의 단일 단계 플라즈마 수소화 및 질화 프로세스 및 단계(1305)의 선택적 공기 노출에 의해 캡핑 층(1404)의 표면(1401)이 처리된 후에 수행된다.In step 1321 , a sacrificial silicon layer 1202 is deposited over the capping layer 1404 , as shown in FIG. 14F . Step 1321 is performed after surface 1401 of capping layer 1404 has been treated by the single step plasma hydrogenation and nitridation process of step 1304 and selective air exposure of step 1305 .

단계(1322)에서, 열 어닐링 프로세스, 예컨대, 캡-이후 어닐링이 반도체 기판(1001), 계면 층(1002), 고-k 유전체 층(1003), 캡핑 층(1404), 및 희생 규소 층(1202)에 대해 수행된다. 단계(1322)의 열 어닐링 프로세스는, 위에서 설명된, 단계(1306)의 열 어닐링 프로세스와 실질적으로 유사할 수 있다.At step 1322 , a thermal annealing process, eg, post-cap anneal, is performed on the semiconductor substrate 1001 , the interfacial layer 1002 , the high-k dielectric layer 1003 , the capping layer 1404 , and the sacrificial silicon layer 1202 . ) is performed for The thermal annealing process of step 1322 may be substantially similar to the thermal annealing process of step 1306, described above.

단계(1323)에서, 희생 규소 층(1202)이 금속 게이트 구조(1000)로부터 제거된다. 임의의 기술적으로 실현가능한 제거 프로세스가 단계(1323)에서 채용될 수 있고, 선택적 습식 식각 프로세스, 플라즈마 기반 건식 식각 프로세스, 화학적 기계적 연마 프로세스, 또는 이들의 임의의 조합을 포함할 수 있다. 그 다음, 방법(1300)은 단계(1307)로 진행하고, 이 단계에서 금속 게이트 구조(1000)의 최종 층이 증착된다.In step 1323 , the sacrificial silicon layer 1202 is removed from the metal gate structure 1000 . Any technically feasible removal process may be employed in step 1323 and may include a selective wet etch process, a plasma-based dry etch process, a chemical mechanical polishing process, or any combination thereof. The method 1300 then proceeds to a step 1307 , in which the final layer of the metal gate structure 1000 is deposited.

단계(1331)에서, 도 14g에 도시된 바와 같이, 희생 규소 층(1203)이 캡핑 층(1404) 상에 증착된다. 희생 규소 층(1203)은 단계(1331)에서 증착된 희생 규소 층(1202)과 실질적으로 유사할 수 있다. 그러나, 단계(1331)에서, 캡핑 층(1404)은 단일 단계 플라즈마 수소화 및 질화 프로세스로 처리되지 않았다는 점을 주목한다. 결과적으로, 캡핑 층(1404)은, 도시된 바와 같이, 계면 층(1409)을 여전히 포함할 수 있다.In step 1331 , a sacrificial silicon layer 1203 is deposited over the capping layer 1404 , as shown in FIG. 14G . The sacrificial silicon layer 1203 may be substantially similar to the sacrificial silicon layer 1202 deposited in step 1331 . Note, however, that in step 1331, capping layer 1404 has not been subjected to a single step plasma hydrogenation and nitridation process. Consequently, capping layer 1404 may still include interfacial layer 1409 , as shown.

단계(1332)에서, 열 어닐링 프로세스, 예컨대, 캡-이후 어닐링이 반도체 기판(1001), 계면 층(1002), 고-k 유전체 층(1003), 캡핑 층(1404), 계면 층(1409), 및 희생 규소 층(1203)에 대해 수행된다. 단계(1332)의 열 어닐링 프로세스는, 위에서 설명된, 단계(1306)의 열 어닐링 프로세스와 실질적으로 유사할 수 있다.At step 1332 , a thermal annealing process, eg, post-cap annealing, is performed on the semiconductor substrate 1001 , the interfacial layer 1002 , the high-k dielectric layer 1003 , the capping layer 1404 , the interfacial layer 1409 , and the sacrificial silicon layer 1203 . The thermal annealing process of step 1332 may be substantially similar to the thermal annealing process of step 1306, described above.

단계(1333)에서, 도 14h에 도시된 바와 같이, 희생 규소 층(1203), 캡핑 층(1404) 및 계면 층(1409)이 금속 게이트 구조(1000)로부터 제거된다. 선택적 습식 식각 프로세스, 플라즈마 기반 건식 식각 프로세스, 화학적 기계적 연마 프로세스, 또는 이들의 임의의 조합을 포함하는 임의의 기술적으로 실현가능한 제거 프로세스 또는 프로세스들의 조합이 단계(1333)에서 채용될 수 있다. 그 다음, 방법(1300)은 단계(1334)로 진행한다.In step 1333 , the sacrificial silicon layer 1203 , the capping layer 1404 , and the interfacial layer 1409 are removed from the metal gate structure 1000 , as shown in FIG. 14H . Any technically feasible removal process or combination of processes including a selective wet etch process, a plasma-based dry etch process, a chemical mechanical polishing process, or any combination thereof may be employed in step 1333 . The method 1300 then proceeds to step 1334 .

단계(1334)에서, 도 14i에 도시된 바와 같이, 최종 캡핑 층(1404f)이 고-k 유전체 층(1003) 상에 증착된다. 최종 캡핑 층(1404f)은 캡핑 층(1404)과 동일한 물질로 구성될 수 있고, 최종 캡핑 층은 또한, 계면 층(1409)을 포함할 수 있다.At step 1334 , a final capping layer 1404f is deposited over the high-k dielectric layer 1003 , as shown in FIG. 14I . The final capping layer 1404f may be composed of the same material as the capping layer 1404 , and the final capping layer may also include an interfacial layer 1409 .

선택적 단계(1335)에서, 도 14i에 도시된 노출된 표면(1405)이 공기에 노출된다. 예를 들어, 일부 실시예들에서, 최종 캡핑 층(1404f)은 하나의 처리 시스템에서 증착되는 한편, 반도체 기판(1001)에 대해 수행될 다음 처리 단계, 즉, 단계(1336)는 상이한 처리 시스템에서 수행된다. 따라서, 그러한 실시예들에서, 반도체 기판(1001)은 최종 캡핑 층(1404f)의 증착 후에 공기에 노출된다. 최종 캡핑 층(1404f)이 다중 챔버 처리 시스템의 하나의 챔버에서 증착되고 단계(1336)가, 동일한 다중 챔버 처리 시스템의 1개 또는 2개의 다른 처리 챔버에서 수행되는 실시예들에서, 선택적 단계(1335)는 수행되지 않는다.In an optional step 1335 , the exposed surface 1405 shown in FIG. 14I is exposed to air. For example, in some embodiments, the final capping layer 1404f is deposited in one processing system while the next processing step to be performed on the semiconductor substrate 1001 , ie, step 1336 , is in a different processing system. is carried out Accordingly, in such embodiments, the semiconductor substrate 1001 is exposed to air after deposition of the final capping layer 1404f. In embodiments where the final capping layer 1404f is deposited in one chamber of a multi-chamber processing system and step 1336 is performed in one or two different processing chambers of the same multi-chamber processing system, optional step 1335 ) is not performed.

단계(1336)에서, 도 14j에 도시된 바와 같이, 최종 캡핑 층(1404)의 표면(1405)에 대해 단일 단계 플라즈마 수소화 및 질화 프로세스가 수행된다. 단계(1336)에서 수행된 단일 단계 플라즈마 수소화 및 질화 프로세스는 단계(1304)에서 채용된 것과 실질적으로 유사할 수 있다. 결과적으로, 계면 층(1409) 두꺼워짐은 단계(1336) 동안 제거되거나 감소될 수 있고, 이에 의해, 최종 캡핑 층(1404f), 계면 층(1009), 및 일부 실시예들에서, 고-k 유전체 층(1003)에 존재하는 O 원자들을 제거한다. 결과적으로, 금속 게이트 구조(1000)의 EOT는 고-k 유전체 층(1003)의 두께(1003A)를 스케일링하지 않고 감소된다.At step 1336 , a single step plasma hydrogenation and nitridation process is performed on the surface 1405 of the final capping layer 1404 , as shown in FIG. 14J . The single step plasma hydrogenation and nitridation process performed in step 1336 may be substantially similar to that employed in step 1304 . As a result, interfacial layer 1409 thickening can be removed or reduced during step 1336 , thereby resulting in final capping layer 1404f , interfacial layer 1009 , and, in some embodiments, high-k dielectric. O atoms present in the layer 1003 are removed. As a result, the EOT of the metal gate structure 1000 is reduced without scaling the thickness 1003A of the high-k dielectric layer 1003 .

단계(1336)에서 단일 단계 플라즈마 수소화 및 질화 프로세스가 수행된 후, 방법(1300)은 단계(1307)로 진행하고, 이 단계에서 금속 게이트 구조(1000)의 최종 층이 증착된다. 단계(1336 및 1307)가, 상이한 처리 시스템들에서 수행되는 실시예들에서, 반도체 기판(1001)은 필수적으로 공기에 노출된다. 그러나, 단계(1336)의 플라즈마 질화 프로세스는 최종 캡핑 층(1404f)의 노출된 표면(1405)을 완전히 또는 거의 완전히 질화할 수 있기 때문에, 이 공기 노출 동안 일반적으로, 표면의 산화가 거의 또는 전혀 발생하지 않는다.After the single step plasma hydrogenation and nitridation process is performed at step 1336 , the method 1300 proceeds to step 1307 , where the final layer of the metal gate structure 1000 is deposited. In embodiments where steps 1336 and 1307 are performed in different processing systems, the semiconductor substrate 1001 is essentially exposed to air. However, since the plasma nitridation process of step 1336 can completely or nearly completely nitridize the exposed surface 1405 of the final capping layer 1404f, generally little or no oxidation of the surface occurs during this air exposure. I never do that.

본원에 개시된 실시예들에서, 종래의 방법들을 통해 형성된 유사한 구조에 비해 감소된 EOT를 갖는 금속 게이트 구조의 형성을 가능하게 하기 위해, 순차적 수소화 및 질화 프로세스, 또는 단일 단계 수소화 및 질화 프로세스가 채용된다. 플라즈마 수소화 프로세스에 이어서 플라즈마 질화 프로세스가 막 스택의 금속 질화물 층에 대해 수행되고, 이에 의해, 일부 실시예에서, 막 스택의 층들 내에 배치된 O 원자들을 제거하고, 일부 실시예들에서, 막 스택 내에 배치된 산소 함유 계면 층의 두꺼워짐을 감소시키거나 방지하고, 일부 실시예들에서, N 원자들을 막 스택의 층들에 추가한다. 결과적으로, 금속 게이트 구조의 EOT는 수반되는 플랫밴드 전압 시프트가 거의 또는 전혀 없이 감소된다. 또한, 금속 게이트 구조는 종래의 기법들을 통해 형성된 유사한 금속 게이트 구조와 연관된 누설 전류의 증가의 1/4만큼 적은 증가된 누설 전류로 작동한다.In embodiments disclosed herein, a sequential hydrogenation and nitridation process, or a single step hydrogenation and nitridation process, is employed to enable the formation of a metal gate structure having a reduced EOT compared to a similar structure formed via conventional methods. . A plasma hydrogenation process followed by a plasma nitridation process is performed on the metal nitride layer of the film stack, thereby removing, in some embodiments, O atoms disposed in the layers of the film stack, and, in some embodiments, within the film stack. Reduces or prevents thickening of the disposed oxygen-containing interfacial layer and, in some embodiments, adds N atoms to the layers of the film stack. As a result, the EOT of the metal gate structure is reduced with little or no accompanying flatband voltage shift. In addition, the metal gate structure operates with an increased leakage current that is as little as one-fourth the increase in leakage current associated with a similar metal gate structure formed through conventional techniques.

전술한 내용은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 후속하는 청구항들에 의해 결정된다.While the foregoing relates to embodiments of the present disclosure, other and additional embodiments of the present disclosure may be devised without departing from the basic scope thereof, the scope of which is determined by the claims that follow.

Claims (15)

반도체 디바이스에 구조를 형성하는 방법으로서,
기판의 표면 위에 형성된 고-k 유전체 층 상에 금속 질화물 캡핑 층을 증착시키는 단계; 및
상기 증착된 금속 질화물 캡핑 층의 노출된 표면을, 수소 함유 종을 포함하는 제1 가스 및 질소 함유 종을 포함하는 제2 가스를 포함하는 플라즈마에 노출시키는 단계 - 상기 제1 가스의 상기 수소 함유 종은 질소를 포함함 - 를 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
A method of forming a structure in a semiconductor device, comprising:
depositing a metal nitride capping layer on the high-k dielectric layer formed over the surface of the substrate; and
exposing the exposed surface of the deposited metal nitride capping layer to a plasma comprising a first gas comprising a hydrogen-containing species and a second gas comprising a nitrogen-containing species, the hydrogen-containing species of the first gas wherein the silver comprises nitrogen.
제1항에 있어서,
상기 노출된 표면을 상기 플라즈마에 노출시킨 후에 상기 증착된 금속 질화물 캡핑 층의 상기 노출된 표면 상에 규소 함유 층을 증착시키는 단계;
상기 규소 함유 층에 대해 열 어닐링 프로세스를 수행하는 단계; 및
상기 규소 함유 층을 제거하는 단계를 더 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
According to claim 1,
depositing a silicon-containing layer on the exposed surface of the deposited metal nitride capping layer after exposing the exposed surface to the plasma;
performing a thermal annealing process on the silicon-containing layer; and
and removing the silicon-containing layer.
제1항에 있어서,
상기 고-k 유전체 층 상에 희생 층을 증착시키는 단계;
상기 희생 층 상에 규소 함유 층을 증착시키는 단계;
상기 희생 층 및 상기 규소 함유 층에 대해 열 어닐링 프로세스를 수행하는 단계; 및
상기 고-k 유전체 층 상에 상기 금속 질화물 캡핑 층을 증착시키기 전에 상기 희생 층 및 상기 규소 함유 층을 제거하는 단계를 더 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
According to claim 1,
depositing a sacrificial layer over the high-k dielectric layer;
depositing a silicon-containing layer on the sacrificial layer;
performing a thermal annealing process on the sacrificial layer and the silicon-containing layer; and
and removing the sacrificial layer and the silicon-containing layer prior to depositing the metal nitride capping layer on the high-k dielectric layer.
제1항에 있어서,
상기 금속 질화물 캡핑 층은 티타늄 및 질소를 포함하고, 상기 수소 함유 종은 암모니아를 포함하고, 상기 질소 함유 종은 질소 가스(N2)를 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
According to claim 1,
wherein the metal nitride capping layer comprises titanium and nitrogen, the hydrogen-containing species comprises ammonia, and the nitrogen-containing species comprises nitrogen gas (N 2 ).
제1항에 있어서,
상기 수소 함유 종은 암모니아를 포함하고, 상기 질소 함유 종은 질소 가스(N2)를 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
According to claim 1,
wherein the hydrogen-containing species comprises ammonia and the nitrogen-containing species comprises nitrogen gas (N 2 ).
제5항에 있어서,
상기 노출된 표면을 상기 수소 함유 종 및 상기 질소 함유 종에 노출시키는 단계는 상기 노출된 표면을 아르곤(Ar)에 노출시키는 단계를 더 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
6. The method of claim 5,
and exposing the exposed surface to the hydrogen-containing species and the nitrogen-containing species further comprises exposing the exposed surface to argon (Ar).
반도체 디바이스에 구조를 형성하는 방법으로서,
반도체 기판 상에 고-k 유전체 층을 증착시키는 단계;
상기 고-k 유전체 층 상에 캡핑 층을 증착시키는 단계;
상기 캡핑 층의 노출된 표면을 플라즈마 여기된 수소 종 및 플라즈마 여기된 질소 종에 노출시키는 단계;
상기 노출된 표면을 공기에 노출시키는 단계; 및
특정 시간 동안 특정 온도에서 상기 고-k 유전체 층 및 상기 캡핑 층에 대해 열 어닐링 프로세스를 수행하는 단계를 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
A method of forming a structure in a semiconductor device, comprising:
depositing a high-k dielectric layer on the semiconductor substrate;
depositing a capping layer over the high-k dielectric layer;
exposing the exposed surface of the capping layer to plasma excited hydrogen species and plasma excited nitrogen species;
exposing the exposed surface to air; and
and performing a thermal annealing process on the high-k dielectric layer and the capping layer at a specified temperature for a specified time.
제7항에 있어서,
상기 고-k 유전체 층을 증착시키기 전에, 상기 고-k 유전체 층이 후속하여 형성되는 이산화규소 함유 계면 층을 형성하는 단계를 더 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
8. The method of claim 7,
and prior to depositing the high-k dielectric layer, forming a silicon dioxide-containing interfacial layer on which the high-k dielectric layer is subsequently formed.
제7항에 있어서,
상기 노출된 표면 상에 규소 함유 층을 증착시키는 단계;
상기 규소 함유 층에 대해 2차 열 어닐링 프로세스를 수행하는 단계; 및
상기 규소 함유 층을 제거하는 단계를 더 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
8. The method of claim 7,
depositing a silicon-containing layer on the exposed surface;
performing a secondary thermal annealing process on the silicon-containing layer; and
and removing the silicon-containing layer.
제7항에 있어서,
상기 고-k 유전체 층 상에 희생 층을 증착시키는 단계;
상기 희생 층 상에 규소 함유 층을 증착시키는 단계;
상기 희생 층 및 상기 규소 함유 층에 대해 3차 열 어닐링 프로세스를 수행하는 단계; 및
상기 희생 층 및 상기 규소 함유 층을 제거하는 단계를 더 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
8. The method of claim 7,
depositing a sacrificial layer over the high-k dielectric layer;
depositing a silicon-containing layer on the sacrificial layer;
performing a third thermal annealing process on the sacrificial layer and the silicon-containing layer; and
and removing the sacrificial layer and the silicon-containing layer.
제7항에 있어서,
상기 노출된 표면을 상기 플라즈마 여기된 수소 종 및 상기 플라즈마 여기된 질소 종에 노출시키기 전에, 상기 노출된 표면이 상기 플라즈마 여기된 수소 종에 노출되는 프로세스 챔버에 대해 무산소 플라즈마 처리 프로세스를 수행하는 단계를 더 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
8. The method of claim 7,
prior to exposing the exposed surface to the plasma excited hydrogen species and the plasma excited nitrogen species, performing an anaerobic plasma treatment process on a process chamber in which the exposed surface is exposed to the plasma excited hydrogen species; The method of forming a structure in a semiconductor device, further comprising:
제7항에 있어서,
상기 노출된 표면을 상기 플라즈마 여기된 수소 종 및 상기 플라즈마 여기된 질소 종에 노출시키면서 기판 바이어스를 상기 반도체 기판에 인가하는, 반도체 디바이스에 구조를 형성하는 방법.
8. The method of claim 7,
and applying a substrate bias to the semiconductor substrate while exposing the exposed surface to the plasma excited hydrogen species and the plasma excited nitrogen species.
제7항에 있어서,
상기 플라즈마 여기된 수소 종은 암모니아를 포함하고, 상기 플라즈마 여기된 질소 종은 질소 가스(N2)를 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
8. The method of claim 7,
wherein the plasma excited hydrogen species comprises ammonia and the plasma excited nitrogen species comprises nitrogen gas (N 2 ).
반도체 디바이스에 구조를 형성하는 방법으로서,
반도체 기판 상에 고-k 유전체 층을 증착시키는 단계;
상기 구조의 부분을 형성하기 위해 상기 고-k 유전체 층 상에 캡핑 층을 증착시키는 단계 - 상기 부분은 상기 캡핑 층 및 상기 고-k 유전체 층을 포함하고, 상기 증착된 캡핑 층은 노출된 표면을 가짐 -; 및
상기 노출된 표면을 플라즈마 여기된 수소 종 및 플라즈마 여기된 질소 종에 노출시키는 단계 - 상기 플라즈마 여기된 수소 종은 암모니아를 포함하고, 상기 플라즈마 여기된 질소 종은 질소 가스(N2)를 포함함 - 를 포함하는, 반도체 디바이스에 구조를 형성하는 방법.
A method of forming a structure in a semiconductor device, comprising:
depositing a high-k dielectric layer on the semiconductor substrate;
depositing a capping layer on the high-k dielectric layer to form a portion of the structure, the portion comprising the capping layer and the high-k dielectric layer, the deposited capping layer covering an exposed surface have -; and
exposing the exposed surface to plasma excited hydrogen species and plasma excited nitrogen species, the plasma excited hydrogen species comprising ammonia, the plasma excited nitrogen species comprising nitrogen gas (N 2 ); A method of forming a structure in a semiconductor device comprising:
제14항에 있어서,
상기 노출된 표면을 상기 플라즈마 여기된 수소 종 및 상기 플라즈마 여기된 질소 종에 노출시키면서 기판 바이어스를 상기 반도체 기판에 인가하는, 반도체 디바이스에 구조를 형성하는 방법.
15. The method of claim 14,
and applying a substrate bias to the semiconductor substrate while exposing the exposed surface to the plasma excited hydrogen species and the plasma excited nitrogen species.
KR1020217024647A 2019-01-09 2019-10-18 Methods of Forming Structures in Semiconductor Devices KR102553773B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237022826A KR20230120647A (en) 2019-01-09 2019-10-18 Method of forming a structure in semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/244,051 US10510545B2 (en) 2016-06-20 2019-01-09 Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US16/244,051 2019-01-09
PCT/US2019/056894 WO2020146030A1 (en) 2019-01-09 2019-10-18 Hydrogenation and nitridization processes for modifying effective oxide thickness of a film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237022826A Division KR20230120647A (en) 2019-01-09 2019-10-18 Method of forming a structure in semiconductor device

Publications (2)

Publication Number Publication Date
KR20210102465A true KR20210102465A (en) 2021-08-19
KR102553773B1 KR102553773B1 (en) 2023-07-11

Family

ID=71521286

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217024647A KR102553773B1 (en) 2019-01-09 2019-10-18 Methods of Forming Structures in Semiconductor Devices
KR1020237022826A KR20230120647A (en) 2019-01-09 2019-10-18 Method of forming a structure in semiconductor device

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020237022826A KR20230120647A (en) 2019-01-09 2019-10-18 Method of forming a structure in semiconductor device

Country Status (5)

Country Link
JP (2) JP7439101B2 (en)
KR (2) KR102553773B1 (en)
CN (1) CN113396470A (en)
TW (2) TWI777717B (en)
WO (1) WO2020146030A1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016125104A (en) * 2015-01-06 2016-07-11 株式会社日立国際電気 Method of manufacturing semiconductor device, and substrate processing apparatus and program
US20170125536A1 (en) * 2015-10-28 2017-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US20170178927A1 (en) * 2015-12-17 2017-06-22 Applied Materials, Inc. Methods Of Treating Nitride Films

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW541659B (en) * 2002-04-16 2003-07-11 Macronix Int Co Ltd Method of fabricating contact plug
AU2003264642B2 (en) * 2002-12-02 2009-08-06 Tadahiro Ohmi Semiconductor Device and Method of Manufacturing the Same
TWI408734B (en) * 2005-04-28 2013-09-11 Semiconductor Energy Lab Semiconductor device and method for manufacturing the same
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP5380827B2 (en) 2006-12-11 2014-01-08 ソニー株式会社 Manufacturing method of semiconductor device
JP5220062B2 (en) 2009-06-10 2013-06-26 株式会社日立国際電気 Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
KR20170142998A (en) * 2009-12-25 2017-12-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Method for manufacturing semiconductor device
US8048810B2 (en) * 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US9006860B2 (en) * 2011-12-06 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate features of semiconductor die
US8716118B2 (en) * 2012-01-06 2014-05-06 International Business Machines Corporation Replacement gate structure for transistor with a high-K gate stack
US8901556B2 (en) * 2012-04-06 2014-12-02 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
US9576788B2 (en) * 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
JP6802656B2 (en) * 2015-07-30 2020-12-16 株式会社半導体エネルギー研究所 Method for manufacturing memory cells and method for manufacturing semiconductor devices
US10103027B2 (en) * 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016125104A (en) * 2015-01-06 2016-07-11 株式会社日立国際電気 Method of manufacturing semiconductor device, and substrate processing apparatus and program
US20170125536A1 (en) * 2015-10-28 2017-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US20170178927A1 (en) * 2015-12-17 2017-06-22 Applied Materials, Inc. Methods Of Treating Nitride Films

Also Published As

Publication number Publication date
KR20230120647A (en) 2023-08-17
CN113396470A (en) 2021-09-14
TWI777717B (en) 2022-09-11
JP2022518386A (en) 2022-03-15
TW202027171A (en) 2020-07-16
JP7439101B2 (en) 2024-02-27
KR102553773B1 (en) 2023-07-11
TWI739176B (en) 2021-09-11
JP2024073427A (en) 2024-05-29
WO2020146030A1 (en) 2020-07-16
TW202145372A (en) 2021-12-01

Similar Documents

Publication Publication Date Title
US10431466B2 (en) Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US7446052B2 (en) Method for forming insulation film
KR100645306B1 (en) Method of treating substrate
US7655574B2 (en) Method of modifying insulating film
US9224594B2 (en) Surface preparation with remote plasma
US10504779B2 (en) Hydrogenation and nitridization processes for reducing oxygen content in a film
US20190287805A1 (en) Modifying work function of a metal film with a plasma process
JP4083000B2 (en) Insulating film formation method
US7476916B2 (en) Semiconductor device having a mis-type fet, and methods for manufacturing the same and forming a metal oxide film
US10490649B2 (en) Method of fabricating semiconductor device with adhesion layer
US8633119B2 (en) Methods for manufacturing high dielectric constant films
KR102553773B1 (en) Methods of Forming Structures in Semiconductor Devices
JP4562751B2 (en) Formation method of insulating film
US20120196433A1 (en) Method of manufacturing a semiconductor device
JP2005079563A (en) Manufacturing method for electronic device
TW202412185A (en) Barrier layer for preventing aluminum diffusion
JP2006060155A (en) Semiconductor device and its manufacturing method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant