TWI770051B - 在半導體中的積體電路(ic)結構、使用於半導體的方法及製造互連件的方法 - Google Patents

在半導體中的積體電路(ic)結構、使用於半導體的方法及製造互連件的方法 Download PDF

Info

Publication number
TWI770051B
TWI770051B TW106128145A TW106128145A TWI770051B TW I770051 B TWI770051 B TW I770051B TW 106128145 A TW106128145 A TW 106128145A TW 106128145 A TW106128145 A TW 106128145A TW I770051 B TWI770051 B TW I770051B
Authority
TW
Taiwan
Prior art keywords
layer
interconnect
ild
spacer
interconnect lines
Prior art date
Application number
TW106128145A
Other languages
English (en)
Other versions
TW201828440A (zh
Inventor
曼尼許 錢霍克
啟文 林
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201828440A publication Critical patent/TW201828440A/zh
Application granted granted Critical
Publication of TWI770051B publication Critical patent/TWI770051B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1026Forming openings in dielectrics for dual damascene structures the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Micromachines (AREA)

Abstract

本案揭露用以無遮罩間隙(例如,氣隙)整合入多層互連件的系統與方法,所述多層互連件具有一或更多互連線(例如,金屬互連線)嵌於所述互連件的介電層內。在各種實施例中,所述系統與方法可以作用以降低在互連件間的相鄰通孔間的電短路。在一實施例中,間隔層可以提供至在互連件中之層間介電質(ILD)的遮罩部份中。ILD的這些遮罩部份可以保護在相鄰互連線(例如,金屬互連線)間的區域,在後續的金屬層沈積期間,例如在互連件的製造順序期間,不受到電短路。再者,通孔可以包圍一間隙(例如,氣隙),而不必額外遮罩步驟。再者,此等間隙可以固有地自對準通孔及/或間隔層。再者,這些間隙可以作用以降低電容並藉以增加互連件的效能(電路時序、功率消耗等)。

Description

在半導體中的積體電路(IC)結構、使用於半導體的方法、及製造互連件的方法
本案大致關係於互連件,更明確地說,關係於在半導體互連件中的通孔與間隙。
積體電路與其他電子裝置可以整合入電子系統,例如,消費者電子系統中。積體電路與電子裝置可以包含任意數量的互連件,其包含可以配送信號的金屬信號軌跡。
100‧‧‧互連件
101‧‧‧互連件
104‧‧‧互連線
106‧‧‧互連線
108‧‧‧通孔
109‧‧‧通孔群組
110‧‧‧通孔群組
111‧‧‧短路
114‧‧‧遮罩
116‧‧‧遮罩
201‧‧‧互連件
202‧‧‧第一ILD
203‧‧‧互連件
204‧‧‧互連線
205‧‧‧互連件
206‧‧‧蝕刻停止層
207‧‧‧互連件
208‧‧‧犧牲件
209‧‧‧互連件
210‧‧‧間隔層
211‧‧‧互連件
212‧‧‧間隙
206b‧‧‧第二蝕刻停止層
214‧‧‧第二ILD
208b‧‧‧通孔
216‧‧‧圖案化部份
218‧‧‧金屬層
301‧‧‧兩層互連件
302‧‧‧第一ILD
303‧‧‧互連件
304‧‧‧互連線
305‧‧‧互連件
306‧‧‧蝕刻停止層
307‧‧‧互連件
308‧‧‧犧牲件
309‧‧‧互連件
310‧‧‧間隔層
311‧‧‧互連件
312‧‧‧間隙
313‧‧‧互連件
306b‧‧‧第二蝕刻停止層
314‧‧‧第二ILD
315‧‧‧互連件
316‧‧‧圖案化部
308b‧‧‧通孔
317‧‧‧互連件
319‧‧‧互連件
320‧‧‧第二金屬層
401‧‧‧互連件
402‧‧‧第一ILD
403‧‧‧互連件
404‧‧‧互連線
405‧‧‧互連件
406‧‧‧第一DSA材料
407‧‧‧互連件
408‧‧‧第二DSA材料
409‧‧‧互連件
410‧‧‧溝渠
411‧‧‧互連件
412‧‧‧犧牲件
413‧‧‧兩層互連件
414‧‧‧間隔層
415‧‧‧互連件
416‧‧‧間隙
417‧‧‧互連件
418‧‧‧蝕刻停止層
419‧‧‧互連件
420‧‧‧第二ILD
421‧‧‧互連件
422‧‧‧第二ILD
423‧‧‧互連件
424‧‧‧溝渠
425‧‧‧互連件
426‧‧‧第二金屬層
428‧‧‧填充通孔
現將參考附圖,其中並未必然依比例繪製,及其中:圖1A-1B顯示依據本案例示實施例的具有通孔的例示互連件的例示俯視圖。
圖2A-2F例示依據本案的一或更多例示實施例的互連件的例示製程或製造。
圖3A-3J例示依據本案的例示實施例之用於互連件製造的另一例示製程。
圖4A-4M例示依據本案的例示實施例之製造互連件的另一例示製程。
圖5A-5B描繪依據本案例示實施例製造互連件的例示方法的流程圖。
【發明內容】及【實施方式】
本案的實施例隨後以參考附圖方式加以完整描述,附圖顯示本案的實施例。然而,本案也可以以很多不同形式加以實施並且本案不應被認定為限定至於此所述的實施例;相反地,這些實施例係被提供使得本案完備與完整,並將本案的範圍完全導引給熟習於本技藝者。附圖中類似元件符號並不必然完全相同或完全一致的元件。
以下實施例將以足夠詳述方式加以描述,使得至少熟習於本技藝者可以了解與使用本案。應了解的是,根據本案其他實施例也是明顯,並且對製程、機械、材料、尺寸、製程設備及參數上的改變可以在不脫離本案的範圍下加以完成。
在以下描述中,各種特定細節係被給出,以提供對本案的各種實施例的全盤了解。然而,明顯地,本案可以在沒有這些特定細節下實施。為了避免模糊本案,有些已知系統架構及製程步驟可能並不會被完整詳細揭露。同樣地,顯示本案的實施例的附圖係為半圖解性並未依比例繪製,更明確地說,一些尺寸為明確顯示起見在圖中被放大。另外,當多重實施例被揭露與描述為具有共同的一些特性時,為了明確與容易例示、說明與了解起見,類似與相像特性將以相像元件符號表示,即使這些特性並沒有完全一樣。
於此所用之用語”水平”可以被定義為平行於平面或表面(例如基板的表面)的方向,而不管其取向。於此所用的用語”垂直”可以表示為正交於前述水平方向的方向。例如,“上”、“之上”、“在下”、“底”、“頂”、“側”(如側壁)、“為高”、“為低”、“上”、“之上”及“在下”的用語可以相對水平面加以描述,而水平面可以包含x-y平面、x-z平面或y-z平面,依情況而定。於此所用之用語“處理”包含在形成上述結構所需的材料或光阻的沈積、材料或光阻的圖案化、曝光、顯影、蝕刻、清洗、熔焊、研磨、及/或移除。
在本案的各種實施例中,所述系統與方法有關於無遮罩間隙(例如,氣隙)整合入多層互連件中,該多層互連件具有相對於互連件所製造於其上的晶圓所界定的平面,嵌於該等互連件的介電層中的一或更多線路(例如,金屬互連線)。在於此所述各種實施例中,通孔(也稱為垂直互連管道)可以為在互連件的層間穿過一或更多相鄰層的一平面(例如,x-y平面)的電連接。在各種實施例中,在本案中所述之系統與方法可以作用以降低在互連件中的相鄰通孔間的電短路。在一實施例中,間隔層可以提 供至在互連件中的層間介電質(ILD)的遮罩部份。ILD的這些被遮罩部份可以保護在相鄰互連線(例如,金屬互連線)間的區域不受在該互連件製造順序期間的後續金屬層沈積期間的電短路。再者,如在以下所詳述,通孔可以包圍一間隙(例如,氣隙),而不必額外遮罩步驟。此等間隙可以固有地自對準至通孔及/或間隔層(例如,間隙可以在該等間隙與該一或更多通孔及/或間隔層間有預定偏移)。再者,這些間隙可以作動以降低電容,並藉以增加互連件的效能(例如,電路時序、功率消耗等)。
在一實施例中,互連件可以形成後端互連件的一部份,其可以為包含晶圓(例如,矽(Si))、一或更多電晶體及/或後端互連件的結構的一部份。在一實施例中,於此所述之互連件可以包含多重層,例如,大約2層至大約100層。然而,可以了解到於此所揭露之有關於互連件的系統與方法可以不限定至任意預定數量的層。在一實施例中,互連件的所述層可以包含金屬層、介電層、層間介電層及/或通孔。
在與本案的系統與方法有關所用的各種實施例中,通孔可以包含互連件的金屬互連線的總面積的大約5%至大約20%。於此所揭露的各種實施例可以應用至大約14奈米處理技術。然而,可以了解與注意的是,本案可以用至有關任何其他處理技術,例如,大約1奈米至大約1000奈米處理技術。
圖1A-1B例示依據本案實施例的具有通孔的例 示互連件的俯視圖。雖然互連件100被顯示於圖1A中,但本案並不限於該態樣,於此所揭露之系統與方法也可以應用至多層互連件,例如N-層互連件,其中N為任意正整數。互連件100可以包含第一互連線104(例如,金屬互連線)與第二互連線106(例如,金屬互連線)。在一實施例中,第一互連線104可以參考Z軸定位在第二互連線106上。在其他實施例中,第二互連線106可以參考Z軸定位於第一互連線104之上。
在一實施例中,互連件100可以包含一或更多通孔108。該一或更多通孔108的次組可以相對於x-y軸在水平(或垂直)方向中彼此相鄰,例如,如所示為相鄰通孔群組109。同時,例如,這些通孔108的一部份可以在x-y(例如,對角)方向彼此相鄰,如所示之相鄰通孔群組110。互連件100的一或更多通孔108所造成的問題可能為在相鄰通孔群組中形成電短路111,例如,相鄰通孔群組109及/或110。電短路111可能由於至少兩互連線(例如,第一線104及第二線106)由於在形成互連件100中所用的一或更多處理步驟期間或結果而造成彼此實體接觸而加以發生。即,在一態樣中,各種因數,包含但並不限於遮罩不準確、及/或在處理步驟期間金屬的擴散可能使得在該互連件100上的相鄰通孔群組位置處的相鄰互連線之間發生金屬短路111。短路的形成可以例如,藉由使得使用該互連件的晶片及/或晶粒的一或更多電晶體間的各種電子信號無法傳輸而對互連件的效能有害。因此,在一些實施例 中,於此所述之系統與方法係有關於防止此等短路的形成,例如,藉由使用間隔層(將隨後顯示與討論如下),以在相鄰互連線間提供額外ILD材料並藉以實體上阻礙短路的形成。
再者,如以下所詳述,例如一或更多通孔108的通孔可以更包圍住間隙(未示於圖1A(係為互連件的俯視圖),但例如可見於圖2A-2D及圖3A-3J與圖4A-4M與相關說明)中,例如氣隙。此等間隙可能降低電容並藉以增加互連件101的效能(例如,電路時序、功率消耗等等)。在各種實施例中,間隙可以被至少部份填充以空氣,但也可以額外(或替代地)包含任何其他氣體(例如,氮、氦、氫、氙、惰性氣體等等)、液體、及/或介電質(例如,低-K介電質)。在一實施例中,此低-K介電質可以為相對於在互連件中的其他IDL有較低的介電常數者。
圖1B示出例示互連件101的另一俯視圖,更顯示依據本案的一或更多實施例的可以在製造互連件101所用的一或更多遮罩。圖1B例示除了一或更多通孔108外,的第一互連線104與第二互連線106。在一實施例中,相鄰通孔(例如,通孔109及/或通孔群組110,及/或對角相鄰通孔110,如於圖1A所示)可以藉由使用例如遮罩114與遮罩116的一或更多遮罩而防止不受到可能短路(例如,如同在圖1A的金屬短路111中所繪的短路)。因此,圖1B的圖顯示使用這些遮罩,以降低金屬短路的發生。
圖2A-2F顯示表示依據本案的一或更多實施例 之用以製造具有間隙的互連件的處理順序的示意圖。示於圖2A-2D中的結構可以表示互連件的一部份。可以了解的是,該結構可以在x與/或y方向(相對於所繪的軸)重覆,以產生週期性(或半週期性結構)。
雖然互連件結構可以以於此所揭露處理順序加以形成,但相同處理順序或至少其部份階段可以結合一或更多處理階段使用,以產生具有任意數量層的多層互連件。例如,具有N層的互連件,其中N為正整數。
尤其是,圖2A例示用以依據本案的一或更多實施例製造具有間隙的互連件的一部份的處理順序的中間結構的例示剖面圖。
在一實施例中,互連件201可以包含第一ILD 202。該第一ILD 202可以為永久及/或非保角介電層。第一ILD 202可以透過任何適當機制,包含但並不限於化學氣相沈積(CVD)、物理蒸氣化學氣相沈積(PECVD)、及/或旋塗加以沈積。第一ILD 202可以具有任何適當厚度,例如,第一ILD 202可以具有大約5奈米至大約1000奈米的厚度,並具有30奈米至約60奈米的例示厚度。
互連件201可以更包含一或更多互連線(例如,一或更多金屬互連線)204。該一或更多互連線204可以在予以形成一或更多通孔的位置處(未示出),首先在第一ILD 202內藉由產生或藉由製造溝渠加以形成。在後續步驟中,該等溝渠可以被填充以金屬。在一實施例中,一或更多互連線204可以類似但並非與示於圖1B中的第一互 連線104及/或第二互連線106的一部份完全一致。
圖2B例示用以依據本案的一或更多實施例製造互連件的一部份的處理順序的中間結果的一部份的例示剖面圖。在一實施例中,互連件203可以包含第一ILD 202。互連件203可以更包含一或更多互連線204,其可以被形成在第一ILD203中。一或更多互連線204可以類似但並非與圖1B中的第一互連線104與/或第二互連線106的一部份完全一致。
互連件203可以更包含蝕刻停止層206,其係配置於第一ILD 202與一或更多互連線204之上。蝕刻停止層可以用以密封下層(例如,多數互連線204及/或第一ILD 202)。蝕刻停止層206可以進一步防止在互連件203的處理順序中的相鄰層中或先前步驟或之後步驟中的金屬(例如,來自一或更多互連線204的金屬)與/或任何其他材料的相互擴散。在各種實施例中,蝕刻停止層206可以包含氮化矽(SiN)材料。
在一實施例中,互連件203可以更包含犧牲件208,其係配置於蝕刻停止層206上。犧牲件208可以作用為將在進一步處理步驟中形成(將如下討論)的通孔的暫時佔位。在一實施例中,犧牲件208可以包含氮化鈦(TiN)材料。犧牲件208可以更包含任何犧牲導電及/或非導電材料,包含但並不限於非晶矽、任何金屬氧化物、及/或氮化鈦(TiN)、及/或鈦(Ti)。在一實施例中,犧牲件208可以由任何適當方法加以形成,包含但並不限於化學氣相沈積 (CVD)、及/或電漿加強化學氣相沈積(PECVD)。在一實施例中,犧牲件208可以在沈積犧牲件208期間,使用遮罩加以圖案化。在另一實施例中,犧牲通孔208可以對於第一ILD202蝕刻有蝕刻-選擇性。在一實施例中,犧牲件208可以在例如將如下述之通孔蝕刻步驟期間,防止貫穿至下方的一或更多互連線204中的金屬層。
圖2C例示用以依據本案的一或更多實施例,製造互連件的一部份的處理順序的中間結果的例示剖面圖。互連件205可以包含第一ILD 202。互連件205可以更包含一或更多互連線(例如,一或更多金屬互連線)204,其可以被形成在第一ILD 202中。互連件205可以更包含蝕刻停止層206,其可以配置於第一ILD與一或更多互連線204上。互連件205可以更包含犧牲件208,其可以配置於蝕刻停止層206上。
在一實施例中,互連件205可以更包含間隔層210。在另一實施例中,間隔層210可以用以在互連件製造的處理順序中,在後續蝕刻步驟(如下討論)期間,遮罩下層(例如,蝕刻停止層206的一部份、一或更多互連線204的一部份、及第一ILD 202的一部份)。在一實施例中,間隔層210可以為非導電材料。在另一實施例中,間隔層210可以包含永久(例如,非犧牲)材料。在另一實施例中,犧牲件208可以設定在一實施例中之對第一ILD 202有蝕刻選擇性。犧牲件208可以藉由任何適當製程加以沈積,包含但並不限於CVD、PECVD、及/或原子層沈積(ALD)。在一 實施例中,間隔層210的厚度(即,寬度)可以大於、或等於大約在該一或更多互連線204中的相鄰互連線間的間隔的厚度的一半。在一實施例中,間隔層可以包含金屬氧化物材料,例如,二氧化矽材料SiO2。在另一實施例中,間隔層210可以為保角,以促成沈積在下層的緊密間距拓樸四周。
間隔層210允許區域(例如,包圍第一ILD 202的區域)的遮罩,該區域可以作為在互連件的相鄰互連線204間的緩衝材料,並在未來處理步驟中的沈積金屬層(如,有關圖2F所示與討論的金屬層218)時,實際阻礙互連線間的彼此電接觸。另外,間隔層210並未額外覆蓋犧牲件208,藉以允許一或更多通孔電接觸第二金屬層(例如,一或更多通孔208b與第二金屬層218電接觸,如有關於圖2F所示與所述)。在一實施例中,間隔層210被作成大小以允許在互連件中,形成一或更多間隙(例如,有關於圖2D所示與所述之一或更多間隙212),例如,在不易受短路形成的區域(例如,因為它們被第二ILD,例如,有關於圖2D所示與描述之第二ILD 214所遮罩與保護)。在一實施例中,間隔層210的水平尺寸可不延長通過相鄰金屬互連線的最外緣,如同圖2C所示。替代或額外地,間隔層210的水平尺寸可以延長超出相鄰金屬互連線的最外緣,不像圖2C所示。這些差異可以或可不故意,並且,可以是在一或更多處理步驟進行期間的固有失準的結果。再者,可以了解,在此圖或其他圖所示的間隔層210或任何其他層的 尺寸可以不必然依比例描繪。
圖2D例示依據本案一或更多實施例製造互連件的一部份的處理順序的中間結果的例示剖面圖。互連件207可以包含第一ILD 202。互連件207可以更包含一或更多互連線(例如,一或更多金屬互連線)204,其可以被形成在第一ILD 202中。該一或更多互連線204可以類似於圖1B的第一互連線104及/或第二互連線106的部份但並不完全一致。
互連件207可以更包含第二蝕刻停止層206b,其可以配置於第一ILD 202與一或更多互連線204之上。互連件207可以更包含犧牲件208,其配置於第二蝕刻停止層206b之上或其上。互連件207可以更包含間隔層210,其可以也配置於第二蝕刻停止層206b與第一ILD 202及該一或更多互連線204之上。在另一實施例中,間隔層210可以用以在製造互連件的處理順序中的後續蝕刻步驟期間,遮罩下層(例如,第二蝕刻停止層206b的一部份、一或更多互連線204的一部份、及第一ILD 202的一部份)。
在一實施例中,互連件207的第二蝕刻停止層206b與第一ILD 202的一部份可以被蝕刻,以在第一ILD 202中作出一或更多間隙212。該一或更多間隙212可以具有預定深度與寬度。間隙212的尺寸(深度及/或寬度)可以取決於蝕刻步驟的條件。例如,蝕刻步驟可以具有一持續時間,使得第二蝕刻步驟可以留下間隙212的一部份被填充以ILD材料(未示出)。這可以或可不是故意的,取決於 特定處理程序與公差而定。
在一實施例中,第一ILD 202的蝕刻可以更進一步包含乾式蝕刻。在另一實施例中,乾式蝕刻可以具有不同化學及/或機械元件。在一實施例中,第一ILD 202的蝕刻可以相對於間隔層210、犧牲件208、及/或一或更多互連線204有選擇性及/或正交的。即,第一ILD 202的蝕刻可以不進一步蝕刻間隔層210、犧牲件208、及/或一或更多互連線204。
在一實施例中,在蝕刻該互連件207的第二蝕刻停止層206b與第一ILD 202的部份後,第二蝕刻停止層206b可以配置於該一或更多互連線204(包含該一或更多互連線204的曝露壁)與第一ILD 202上。第二蝕刻停止層206b可以用以密封下層(例如,曝露之互連線204及/或界定間隙212的第一ILD202)。第二蝕刻停止層206b可以進一步防止在互連件207的處理順序中的相鄰層中或先前步驟或隨後步驟中的金屬(例如,來自一或更多互連線204的金屬)及/或任何其他材料的相互擴散。在各種實施例中,第二蝕刻停止層206b可以包含氮化矽(SiN)材料。
互連件207可以更包含第二ILD214,其可以配置於包含有犧牲件208、間隔層210、第二蝕刻停止層206b、第一ILD 202與一或更多互連線204的結構上。在一實施例中,第二ILD 214可以為永久及/或非保角介電層。第二ILD 214可以經由任何適當機制沈積,包含但並不限於化學氣相沈積(CVD)、物理蒸氣化學氣相沈積(PECVD) 及/或旋塗。
在一實施例中,第二ILD 214的沈積可能造成例如氣隙的一或更多間隙212的密封。如所述,這些間隙212的出現可以降低互連件207的電容,並藉以提升與加強互連件的效能,例如互連件的時序及/或功率消耗。在各種實施例中,間隙212可以包含氣隙,但也可以額外地(或替代地)包含任何其他氣體(例如,氮、氦、氫、氙、惰性氣體等等)、液體、及/或介電質(例如,低-K介電質)。在一實施例中,此等低K介電質可以為相較於近似IDL有較低K。
圖2E例示用以依據本案的一或更多實施例製造互連件的部份的處理順序的中間結果的另一例示剖面圖。
互連件209可以包含第一ILD 202。互連件209可以更包含一或更多互連線(例如,一或更多金屬互連線)204,其可以被形成在第一ILD 202中。該一或更多互連204可以類似於圖1B的第一互連線104及/或第二互連線106的一部份但並不完全一致。
互連件209可以更包含一第二蝕刻停止層206b,其可以配置於第一ILD 202與該一或更多互連線204之上。互連件207可以更包含犧牲件208,其係配置於第二蝕刻停止層206b之上或其上。互連件207可以更包含間隔層210,其可以也配置於第二蝕刻停止層206b與第一ILD 202與一或更多互連線204之上。在另一實施例中,在製造 互連件的處理順序中的各種蝕刻步驟期間,間隔層210可以被用以遮罩下層(例如,第二蝕刻停止層206b的一部份、一或更多互連線204的一部份、及第一ILD202的一部份)。
互連件209也可以更包含第二ILD 214,其可以配置於包含犧牲件208、間隔層210、第二蝕刻停止層206b、第一ILD 202及一或更多互連線204的結構上。在一實施例中,互連件209可以更包含第二ILD 214的圖案化部份216。得到圖案化部份216的第二ILD 214的圖案化可以為任何適當方法所執行,包含但並不限於蝕刻、濕式蝕刻、及/或乾式蝕刻。在另一實施例中,乾式蝕刻可以具有不同化學及/或機械元件。在一實施例中,第二ILD 214的蝕刻可以相對於間隔層210、犧牲件208及/或一或更多互連線204有選擇性。即,第二ILD 214的蝕刻可以不進一步蝕刻間隔層210、犧牲件208及/或一或更多互連線204。在一實施例中,產生第二ILD 214的圖案化部份216的第二ILD 214的圖案化可以提供面積及/或體積,供金屬層的後續沈積,如以下圖2F與相關討論所示與描述。
圖2F例示用以依據本案一或更多實施例製造互連件一部份的處理順序的中間結果的例示剖面圖。
互連件211可以包含第一ILD 202。互連件211可更包含一或更多互連線(例如,一或更多金屬互連線)204,其可以形成在第一ILD 202中。一或更多互連線204可以類似於圖1B的第一互連線104及/或第二互連線106 的一部份,但並不完全一致。
互連件211可以更包含第二蝕刻停止層206b,其可以配置於第一ILD 202與一或更多互連線204之上。互連件211可以更包含間隔層210,其可以配置於第二蝕刻停止層206b與第一ILD 202與一或更多互連線204之上。在另一實施例中,間隔層210可以在製造互連件的處理順序中的各種蝕刻步驟期間,被使用作用以遮罩下層(例如,第二蝕刻停止層206b的一部份、一或更多互連線204的一部份、及第一ILD 202的一部份)。再者,前幾圖的犧牲件208可以例如為一或更多蝕刻技術(在一些實施法中,這些可以進一步配合圖3與圖4加以討論)加以移除,以允許金屬層218在一或更多通孔208b與該一或更多互連線204的下層一互連線204連接。
互連件211可以更包含第二ILD 214,其可以配置於包含犧牲件208、間隔層210、第二蝕刻停止層206、第一ILD 202及一或更多互連線204的結構上。互連件211可以更包含金屬層218,其可以形成在第二ILD 214的圖案化部份216(如圖2E所示)中。金屬層218可以延伸入先前犧牲件208的可以如上述被移除的區域內。在一或更多實施例中,金屬層218可以依據標準處理方法被填充並研磨成為圖2E的第二ILD 214的圖案化部份216。
至少由於使用與通孔相同的遮罩所形成的間隙(例如氣隙212),用以製造如圖2A-2F所示與相關討論之互連件的處理順序的一例示優點包含遮罩計數的減少(例 如,一表示例如氣隙212的一或更多間隙所在的標示將定位)。在一實施例中,此可以減少額外遮罩的需求。於此所揭露之系統與方法的另一例示優點包含間隙產生遮罩對該通孔的自對準。該間隙可以形成在通孔拓樸四周並可以固有地自對準通孔,這可以允許間隙被形成在互連件的緊密間距特性中。
圖3A-3J顯示表示用以依據本案的一或更多實施例以製造具有間隙的互連件的處理順序的示意圖。可以了解與想到的,雖然互連件結構被以此所揭露處理順序加以形成,但相同處理順序步驟可以結合一或更多處理步驟加以使用,以產生具有任意數量層的多層互連件。例如,互連件具有N層,其中N為整數。在各種實施例中,圖3A-3J代表類似於圖2A-2F所揭露之實施例的第一實施例,其中顯示在一或更多處理步驟中的更多細節。
圖3A例示用以依據本案一或更多實施例製造互連件的一部份的處理順序的中間結果的例示剖面圖。在一實施例中,兩層互連件301可以包含第一ILD 302。在另一實施例中,第一ILD可以為永久及/或非保角層。第一ILD 302可以經由任何適當方法加以沈積,包含但並不限於CVD、PECVD及/或旋塗。第一ILD 302可以具有任何適當厚度,例如,第一ILD 302可以具有大約5奈米至約1000奈米的厚度,具有30奈米至大約60奈米的較佳厚度。
在一實施例中,互連件301可以更包含一或更多互連線(例如,一或更多金屬互連線)304,其可以形成 在第一ILD 302中。在各種實施例中,互連線304的形成可以包含溝渠形成(未示出),其後以金屬填充該等溝渠,以產生一或更多互連線。在一實施例中,一或更多互連線304可以類似於圖1B的第一互連線104及/或第二互連線106的部份,但並不是完全一致。
圖3B例示用以依據本案的一或更多實施例製造互連件的一部份的處理順序的中間結果的例示剖面圖。在一實施例中,互連件303可以包含第一ILD 302。互連件303可以更包含一或更多互連線(例如,一或更多金屬互連線)304。在各種實施例中,一或更多互連線304可以形成在第一ILD 302中。
互連件303可以更包含第二蝕刻停止層306b,其可以配置於第一ILD與一或更多互連線304上。蝕刻停止層可以用以封閉下層(例如,多數互連線304與/或第一ILD 302)。第二蝕刻停止層306b可以更防止在互連件303的處理順序中的相鄰層或先前步驟或後續步驟的金屬(例如,來自一或更多互連線304的金屬)及/或任何其他材料的相互擴散。在各種實施例中,第二蝕刻停止層306b可以包含氮化矽(SiN)材料。
在一實施例中,互連件303可以更包含犧牲件308,其可以配置於該第二蝕刻停止層306b之上。犧牲件308可以作動為在進一步處理步驟將形成(予以討論如下)的通孔的暫時佔位。在一實施例中,犧牲件308可以包含氮化鈦(TiN)材料。犧牲件308可以更包含任一犧牲導電及/ 或非導電材料,包含但並不限於非晶矽、任何金屬氧化物、及/或氮化鈦(TiN)、及/或鈦(Ti)。在一實施例中,犧牲件可以由任何適當方法形成,包含但並不限於化學氣相沈積(CVD)及/或電漿加強化學氣相沈積(PECVD)。在一實施例中,犧牲件308可以在沈積犧牲件308期間,使用遮罩加以圖案化。在另一實施例中,犧牲通孔308可以為對第一ILD 302蝕刻有蝕刻選擇性。在一實施例中,犧牲件308可以防止例如,在下述之蝕刻步驟期間,在以下的一或更多互連線304中的金屬層的貫穿。
圖3C例示用以依據本案一或更多實施例製造互連件的一部份的處理順序的中間結果的例示剖面圖。在一實施例中,互連件305可以包含第一ILD 302。在另一實施例中,互連件305可以更包含一或更多互連線304,其可以形成在第一ILD 302中。
互連件305可以更包含第二蝕刻停止層306b,其可以配置於第一ILD 302及一或更多互連線304之上。互連件305可以更包含犧牲件308,其可以配置於使用一或更多遮罩配置於第二蝕刻停止層306b上。
互連件305可以更包含間隔層310,其可以配置於犧牲件308與第二蝕刻停止層306b上並可以配置於第一ILD 302與一或更多互連線304之上。在一實施例中,間隔層310的間隔層可以包含金屬氧化物,例如,比如二氧化矽SiO2。在一實施例中,間隔層310可以使用任何適當方法加以沈積,包含但並不限於CVD、PECVD及/或 ALD。在另一實施例中,間隔層310可以為非導電材料。在一實施例中,間隔層310可以為永久(例如,非犧牲)材料。在另一實施例中,間隔層310可以對第一ILD 302有蝕刻選擇性。在另一實施例中,間隔層310的厚度可以大於或等於約相鄰互連線304間的間隔厚度的一半。在一或更多實施例中,間隔層310可以作動為硬遮罩,遮擋具有緊密間距特性與下層的區域,允許其他區域被向下蝕刻。在另一實施例中,間隔層310可以保角,以促成在下層的緊密間距的拓樸四周的沈積。在一或更多實施例中,間隔層310可以在例如有關圖4D及相關討論中所示的後續步驟中被圖案化。在一實施例中,間隔層可以使用氫氟酸(HF)加以蝕刻。
圖3D例示用以依據本案一或更多實施例製造互連件的一部份的處理順序的中間結果的例示剖面圖。在一實施例中,互連件307可以包含第一ILD 302。在另一實施例中,互連件305可以更包含一或更多互連線(例如,一或更多金屬互連線)304,其可以被形成在第一ILD 302中。
在一實施例中,互連件307可以更包含蝕刻停止層306,其可以配置於第一ILD 302與一或更多互連線304上。互連件305可以更包含犧牲件308,其可以使用一或更多遮罩配置於蝕刻停止層306上。
互連件307可以更包含間隔層310,其可以配置犧牲件308與蝕刻停止層306上,並可以配置於第一ILD 302與一或更多互連線304之上。
互連件307可以更包含間隔層310,其可以依據於此所揭露之任何適當技術加以蝕刻。在一實施例中,間隔層310可以經由乾式蝕刻及/或濕式蝕刻加以蝕刻。在另一實施例中,間隔層310可以作方向性蝕刻,例如,相對於Z軸只蝕刻於下載方向。
如所述,犧牲件308及/或間隔層310可以作動為遮罩,直接遮擋具有高間距特性的下層區域,藉以允許未被遮罩的區域在各種處理順序步驟被蝕刻,以製造互連件的一部份。在一實施例中,間隔層310的蝕刻可以使用乾式蝕刻加以進行。
圖3E例示用以依據本案一或更多實施例製造互連件的一部份的處理順序的中間結果的例示剖面圖。
在一實施例中,互連件309可以包含第一ILD 302。互連件309可以更包含一或更多互連線(例如,一或更多金屬互連線)304,其可以形成在第一ILD 302中。
在一實施例中,互連件309可以更包含蝕刻停止層306,其可以配置在第一ILD 302與一或更多互連線304上。互連件309可以更包含犧牲件308,其可以配置在蝕刻停止層306、第一ILD 302及一或更多互連線304上。
在一實施例中,互連件309可以更包含間隔層310。間隔層310可以配置在蝕刻停止層306、第一ILD 302及一或更多互連線304上。
互連件309可以更包含間隔層310與蝕刻停止 層306,其可以已經為有關圖3D所示與描述的適當方法加以蝕刻。
在各種實施例中,互連件309可以例如,藉由蝕刻處理,令第一ILD 302與蝕刻停止層306的一部份被移除。在一實施例中,蝕刻停止層306及/或第一ILD 302的蝕刻可以如圖3D所述對間隔層310的蝕刻有選擇性。在另一實施例中,蝕刻停止層306與第一ILD 302的蝕刻可以藉由包含濕式及/或乾式蝕刻的任何適當方法加以完成。第一ILD 302與蝕刻停止層306的蝕刻可以造成間隙312的形成在第一ILD 302中,間隙312係例如氣隙312。在一或更多實施例中,間隙312可以為最接近一或更多互連線304及第一ILD 302的一部份的區域所界定;再者,間隙312可以如圖3F與相關討論所示接近蝕刻停止層306b,其可以鄰接一或更多互連線304與第一ILD 302的該部份。在各種實施例中,間隙312的尺寸(深度及/或寬度)可以取決於蝕刻步驟及/或間隔層310的尺寸與其他處理因素。例如,蝕刻步驟可以具有一持續時間,使得蝕刻步驟可以將間隙312的一部份留下被填充以ILD材料(未示出)。取決於特定處理程序及公差,這可以可不是故意的。在一實施例中,間隙312可以包含空氣或任何其他適當氣體、液體或介電材料。在一實施例中,間隙312可以包含較相鄰ILD層(例如,第一ILD 302)為低的K介電質。
圖3F例示用於依據本案一或更多實施例製造互連件的一部份的處理順序的中間結果的例示剖面圖。
在一實施例中,互連件311可以包含第一ILD 302。互連件311可以更包含一或更多互連線(例如,一或更多金屬互連線)304,其可以形成在第一ILD 302中。
互連件311可以更包含犧牲件308,其可以配置在該結構上,該結構包含有第二蝕刻停止層306b及第一ILD 302與一或更多互連線304。互連件311可以更包含間隔層310,其可以被配置在該結構上,該結構包含有第二蝕刻停止層306b、第一ILD 302及一或更多互連線304。互連件311可以更包含間隙312,例如,氣隙312。
在一實施例中,在如圖3E所示與討論,在蝕刻互連件309的第二蝕刻停止層306b與第一ILD 302的部份後,第二蝕刻停止層306b可以被配置在一或更多互連線304與第一ILD 302上。第二蝕刻停止層306b可以被用以密封下層(例如,多數互連線304及/或第一ILD 302、及/或間隙312)。第二蝕刻停止層306b可以更防止在互連件311的處理順序中相鄰層中或在先前步驟或隨後步驟中的金屬(例如,來自一或更多互連線304的金屬)與任何其他材料的相互擴散。在各種實施例中,第二蝕刻停止層306b可以包含氮化矽(SiN)材料。在一實施例中,第二蝕刻停止層306b可以使用任何適當方法加以沈積,包含但並不限於CVD、PECVD及/或ALD。
圖3G例示依據本案一或更多實施之製造互連件一部份的處理順序的例示剖面圖。
在一實施例中,互連件311可以包含第一ILD 302。互連件311可以更包含一或更多互連線(例如,一或更多金屬互連線)304,其可以被形成在第一ILD 302中。
互連件311可以更包含犧牲件308,其可以配置於結構上,該結構包含第二蝕刻停止層306b與第一ILD 302及一或更多互連線304。互連件311可以更包含間隔層310,其可以配置在一結構上,該結構包含第二蝕刻停止層306b、第一ILD 302及一或更多互連線304。互連件311可以更包含間隙312,例如,氣隙312。互連件313可以更包含第二蝕刻停止層306b,其可以被用以密封下層,例如,第一ILD 302及/或一或更多互連線304。
互連件313可以更包含第二ILD 314,其可以配置在結構上或其附近,該結構包含犧牲件308、間隔層310、第二蝕刻停止層306b、第一ILD 302及一或更多互連線304。第二ILD 314可以為永久及/或非導電層。在一實施例中,第二ILD 314的沈積可能例如造成間隙(如,氣隙)312的密封,因為第二ILD可以為非保角層。第二ILD 314可以為任何適當方法加以沈積,包含但並不限於CVD、PECVD及/或旋塗。在一實施例中,第二ILD 314可以具有大約5奈米至大約1000奈米的厚度,具有30奈米至大約60奈米的較佳厚度。在一實施例中,第二ILD 314可以在沈積後被機械研磨。
圖3H例示用以依據本案一或更多實施例製造互連件一部份的處理順序的中間結果的例示剖面圖。
在一實施例中,互連件315可以包含第一ILD 302。互連件315可以更包含一或更多互連線(例如,一或更多金屬互連線)304,其可以被形成在第一ILD 302中。在另一實施例中,互連件315可以更包含第二蝕刻停止層306b,其可以配置在第一ILD 302與一或更多互連線304上。
互連件315可以包含犧牲件308,其可以被配置在蝕刻停止層、第一ILD 302及一或更多互連線304上。互連件315可以更包含間隔層,其可以配置在第二蝕刻停止層306b、第一ILD 302及一或更多互連線304上。
互連件315可以更包含第二ILD 314,其可以配置在犧牲件308、間隔層310、第二蝕刻停止層306b、第一ILD 302與一或更多互連線304上。在一實施例中,如在先前圖所述,第二ILD 314的沈積可能密封一或更多間隙(例如,氣隙)312。
在一實施例中,互連件315可以更包含第二ILD 314的圖案化部316。用以得到圖案化部316的第二ILD 314的圖案化可以藉由任何適當方法加以執行,包含但並不限於蝕刻、濕式蝕刻及/或乾式蝕刻。在一實施例中,蝕刻可以更移除第二蝕刻停止層306b的一部份。在另一實施例中,乾式蝕刻可以具有不同化學品及/或機械元件。在一實施例中,第一ILD 302的蝕刻可以相對於間隔層310、犧牲件308及/或一或更多互連線304有選擇性及/或正交。即,第二ILD 314的蝕刻可以不進一步蝕刻間隔層310、犧牲件308及/或一或更多互連線304。
在各種實施例中,第二ILD 314的部316的圖案化可以完成,使得後續處理步驟可以以適當材料,例如,金屬層填入第二ILD 314的圖案化部316,以完成將參考如下圖3I及3J所示與描述的通孔結構。
圖3I例示用以依據本案的一或更多實施例以製造互連件的部份的處理順序的中間結果的例示剖面圖。
互連件317可以包含第一ILD 302。互連件317可以更包含一或更多互連線(例如,一或更多金屬互連線)304,其可以被形成在第一ILD 302中。互連件317可以更包含第二蝕刻停止層306b,其可以配置在第一ILD 302與一或更多互連線304上。
互連件317可以包含間隔層310,其可以被配置在蝕刻停止材料306b、第一ILD 302及一或更多互連線304上。互連件317可以更包含第二ILD 314,其可以配置在間隔層310、第二蝕刻停止層306b、第一ILD 302及一或更多互連線304上。互連件317可以更包含如前圖3H所述之第二ILD 314的圖案化部316。
在一實施例中,犧牲件308(例如於比如圖3B-3H所示與討論)與第二蝕刻停止層306b的一部份可以使用任何適當技術加以在互連件317中被移除,以得到通孔308b。這可以被完成,以允許為先前圖3B-3H的犧牲件308所界定的區域被隨後填充以金屬層,如於以下圖3J所示。得到通孔308b的犧牲件308與第二蝕刻停止層306b的部份的移除可以使用任何適當方法加以完成,包含但並不限於 濕式蝕刻及/或乾式蝕刻。在另一實施例中,乾式蝕刻可以具有不同化學與/或機械元件。在一實施例中,先前圖3B-3H的犧牲件308的蝕刻可以相對於間隔層310、及/或一或更多互連線304有選擇性。即,先前圖3B-3H的犧牲件308的蝕刻可以不進一步蝕刻間隔層310及/或一或更多互連線304。
圖3J例示依據本案一或更多實施例製造互連件的部份的處理順序的中間結果的例示剖面圖。
互連件319可以更包含第一ILD 302。該互連件319可以更包含一或更多互連線304,其可以被形成在第一ILD 302中。互連件319可以更包含第二蝕刻停止層306b,其可以配置在第一ILD 302及該一或更多互連線304上。
互連件319可以更包含間隔層310,其可以配置在蝕刻停止層306b、第一ILD 302及一或更多互連線304上。互連件319可以更包含第二ILD 314,其可以配置在蝕刻停止層306b、間隔層310、第一ILD 302及一或更多互連線304上。
互連件319可以更包含一間隙(例如,氣隙)312,其可以第二ILD314沈積在下層(例如,蝕刻停止層306b、第一ILD 302及一或更多互連線304)上加以形成。
再者,互連件319可以更包含第二金屬層320,其可以被配置第二ILD 314與間隔層310上。在一實 施例中,第二金屬層320的至少一部份可以機械及/或電連接至一或更多互連線304。在一實施例中,第二金屬層320可以形成一或更多通孔308b,其原先在先前圖中的犧牲件308的位置(例如,見圖3A-3J與相關說明)。
在一實施例中,第二金屬層320可以經由包含CVD及/或PECVD的任何適當方法加以配置。第二金屬層320的沈積可以更包含沈積黏著層的額外步驟。黏著層可以為任何適當材料,包含例如,鈦、鉭、鎢、鉬、氮化鈦、氮化鉭、鈷、鈷-鎢-硼、前述的合金、其組合等等。第二金屬層320的沈積可以更包含沈積金屬(例如,銅層)的額外步驟,其可以作動為黏著促進劑,加強於層間的濕潤,及/或作為金屬種層。該金屬種層可以藉由任何適當技術加以沈積,包含但並不限於電鍍。在實施例中,金屬種層可以沈積在黏著層上。金屬種層可以藉由任何適當機制,例如濺鍍製程加以沈積。在一些實施例中,金屬種可以藉由PVD、CVD、蒸鍍、ALD、其組合或其類似加以沈積。金屬種層可以為銅、鈷、鋁、其組合等等。
圖4A-4M例示依據本案一或更多實施例製造互連件的處理順序的中間結果的例示剖面圖。更明確地說,圖4A例示用以依據本案一或更多實施例製造互連件的部份的處理順序的中間結果的例示剖面圖。
在一實施例中,互連件401可以包含第一ILD 402。在另一實施例中,第一ILD 402可以為永久及/或非保角層。第一ILD 402可以經由任何適當方法加以沈積, 包含但並不限於CVD、PECVD及/或旋塗。第一ILD 402可以具有任何適當厚度,例如,第一ILD 402可以具有大約5奈米至大約1000奈米的厚度,具有30奈米至大約60奈米的較佳厚度。
在一實施例中,互連件401可以更包含一或更多互連線(例如,一或更多金屬互連線)404,其可以形成在第一ILD 402中。在各種實施例中,互連線404的形成可以包含溝渠形成(未示出),其後以金屬填充該等溝渠,以產生一或更多互連線。在一實施例中,該一或更多互連線404可以類似於圖1B的第一互連線104及/或第二互連線106的部份但並不完全相同。
圖4B例示依據本案的一或更多實施例製造互連件的一部份的處理順序的中間結果的例示剖面圖。在一實施例中,互連件403可以包含第一ILD 402。在另一實施例中,互連件403可以包含一或更多互連線(例如,一或更多金屬互連線)404,其可以被形成在第一ILD 402中。
在另一實施例中,第一直接自組裝(DSA)材料406與第二DSA材料408可以被配置在該一或更多互連線404與第一ILD402上。在一實施例中,第一DSA材料406與第二DSA材料408可以由任何適當DSA方法加以形成。在一實施例中,DSA方法可以包含兩犧牲聚合物,例如,聚苯乙烯及聚(甲基丙烯酸甲酯)(PMMA),其並不彼此混合。在另一實施例中,兩聚合物可以依序沈積,例如,第一薄層的第一聚合物可以先沈積,其後第二聚合物的較厚 沈積至該一或更多互連線404及第一ILD 402上。兩聚合物材料可以作動為用以沈積更多永久材料的樣板。在一實施例中,兩永久材料可以包含例如二氧化矽SiO2及氮化矽(SiN),其可以替換第一DSA材料406及第二DSA材料408。在另一實施例中,被形成以作為第一DSA材料406與第二DSA材料408的永久材料可以在彼此之間有蝕刻選擇性。再者,第一DSA材料406及第二DSA材料408可以自對準至其下的一或更多互連線404。
圖4C例示依據本案的一或更多實施例製造互連件的一部份的處理順序的另一例示剖面圖。在一實施例中,互連件405可以包含第一ILD 402。在另一實施例中,互連件405可以更包含一或更多互連線404(例如,一或更多金屬互連線),其可以形成在第一ILD 402中。互連件405可以更包含第一DSA材料406及第二DSA材料408,其可以被形成在第一ILD 402與一或更多互連線404上。第一DSA材料406及第二DSA材料408可以使用DSA方法如圖4B與相關說明加以製造進一步描述地。
互連件405可以更包含通孔溝渠410。在各種實施例中,通孔溝渠410可以由蝕刻配置於互連線404之一上的第二DSA材料408加以形成。將通孔溝渠410開口的處理可以包含任何適當方法,包含但並不限於濕式蝕刻及/或乾式蝕刻。在另一實施例中,乾式蝕刻可以具有不同化學及/或機械元件。在一實施例中,第二DSA材料408的蝕刻可以相對於第一DSA材料406、第一ILD 402、及/或一或 更多互連線404有選擇性及/或正交。即,第二DSA材料408的蝕刻可能不進一步蝕刻第一DSA材料406、第一ILD 402及/或一或更多互連線404。在一實施例中,產生通孔溝渠410的對第二DSA材料的圖案化可以提供面積及/或體積,以供如於以下圖4D與相關討論所例示與描述的犧牲件的後續沈積。
圖4D例示依據本案的一或更多實施例製造互連件的一部份的處理順序的中間結果的例示剖面圖。在一實施例中,可以提供第一ILD 402。在另一實施例中,一或更多互連線(例如,一或更多金屬互連線)404可以被形成在第一ILD 402中。在一實施例中,第一DSA材料406及第二DSA材料408可以被形成及/或配置於第一ILD 402與一或更多互連線404上。
在一實施例中,互連件407可以包含犧牲件412,其可以被形成在通孔溝渠410中,如有關圖4C所示與描述。犧牲件412可以作動為用於將在進一步處理步驟(將如下所討論)中形成的通孔的暫時佔用。在一實施例中,犧牲件412可以包含氮化鈦(TiN)材料。犧牲件412可以更包含任何犧牲導電及/或非導電材料,包含但並不限於非晶矽、任何金屬氧化物、及/或氮化鈦(TiN)、及/或鈦(Ti)。在一實施例中,犧牲件可以由任何適當方法形成,包含但並不限於化學氣相沈積(CVD)及/或電漿加強化學氣相沈積(PECVD)。在一實施例中,犧牲件412可以在沈積犧牲件412期間,使用遮罩加以圖案化。在另一實施例 中,犧牲通孔412可以對於第一ILD 402的蝕刻有蝕刻選擇性。在一實施例中,例如在如下所述之通孔蝕刻步驟期間,犧牲件412可以防止貫穿在以下的一或更多互連線404中的金屬層。
圖4E例示依據本案的一或更多實施例製造互連件的一部份的處理順序的中間結果的例示剖面圖。在一實施例中,互連件409可以包含第一ILD 402。在另一實施例中,互連件409可以包含一或更多互連線(例如,一或更多金屬互連線)404,其可以被形成在第一ILD 402中。在一實施例中,互連件409可以包含犧牲件412,其係配置在金屬互連線404上。
在各種實施例中,第一DSA材料406與第二DSA材料408可以藉由任何適當方法由圖4D中所繪與相關說明的結構移除。在各種實施例中,第一DSA材料406與第二DSA材料408的移除可以使用任何適當方法加以執行,例如但並不限於蝕刻、乾式蝕刻、濕式蝕刻及類似法。在一實施例中,第一DSA材料406與第二DSA材料408的蝕刻可以相對於犧牲件412、第一ILD 402及/或一或更多互連線404有選擇性。即,第一DSA材料406與第二DSA材料408可以不再進一步蝕刻犧牲件412、第一ILD 402、及/或一或更多互連線404。
圖4F例示依據本案一或更多實施例製造互連件的一部份的處理順序的中間結構的例示剖面圖。在一實施例中,互連件411包含第一ILD 402。在另一實施例中, 兩層互連件包含一或更多互連線(例如,一或更多金屬互連線)404,其可以形成在第一ILD 402中。
在一實施例中,互連件411可以包含犧牲件412,其可以配置在第一ILD 402與一或更多互連線404上。在一實施例中,間隔層414可以配置犧牲件412與第一ILD 402及一或更多互連線404上。在一實施例中,間隔層414可以為非導電材料。在另一實施例中,間隔層414可以包含永久(例如,非犧牲)材料。在另一實施例中,犧牲件414可以被設定以相對於在一實施例中之第一ILD 402有蝕刻選擇性/正交。犧牲件402可以藉由任何適當製程加以沈積,包含但並不限於CVD、PECVD及/或原子層沈積(ALD)。在一實施例中,間隔層414的厚度可以大於或等於大約在一或更多互連線404中的相鄰互連線間的間隔的厚度的一半。在一實施例中,間隔層414可以包含金屬氧化物材料,例如,二氧化矽材料SiO2。在另一實施例中,間隔層414可以為保角,以促成沈積在下層的緊密間距拓樸四周。
圖4G例示依據本案一或更多實施例製造互連件的一部份的處理順序的中間結果的例示剖面圖。在一實施例中,兩層互連件413可以包含第一ILD 402。在另一實施例中,互連件可以包含一或更多互連線(例如,一或更多金屬互連線)404。在一實施例中,互連件413可以包含犧牲件412,其可以配置在第一ILD 402與一或更多互連線404上。在一實施例中,互連件413可以包含間隔層414, 其可以配置在第一ILD 402與一或更多互連線404上。
如於圖4F所示之間隔層414可以部份被移除如圖4G所示與描繪。在一實施例中,間隔層414的移除可以藉由蝕刻步驟執行。在一實施例中,蝕刻步驟可以包含乾式蝕刻及/或濕式蝕刻。在一實施例中,蝕刻可以在間隔層414上有方向性(例如,相對於z軸,只以向下方向向下蝕刻)。在一實施例中,間隔層310的蝕刻可以使用氫氟酸(HF)蝕刻加以執行或以乾式蝕刻加以執行。在後續步驟中,例如,在有關於以下圖4H-4M所示與描繪的步驟中,間隔層414可以作動為硬遮罩,遮擋具有緊密間距特性的區域,並允許其他區域被向下蝕刻。
圖4H例示依據本案一或更多實施例以製造互連件的一部份的處理順序的例示剖面圖。在一實施例中,互連件415可以包含第一ILD402。在另一實施例中,互連件415可以包含一或更多互連線404(一或更多金屬互連線),其可以形成在第一ILD 402中。在一實施例中,互連件415可以包含犧牲件412,其可以配置在第一ILD 402及一或更多互連線404上。在另一實施例中,互連件可以包含間隔層414,其可以配置於第一ILD 402與一或更多互連線404上。
在各種實施例中,互連件可以包含間隙416,例如氣隙。在一實施例中,間隙416可以藉由移除第一ILD 402的部份加以產生。例如,在一實施例中,間隙416可以藉由在第一ILD 402上的一蝕刻步驟加以產生。在一實施 例中,第一ILD 402的蝕刻可以相對於間隔層414、犧性件412及/或一或更多互連線404有選擇性。即,第一ILD 402的蝕刻可能不會進一步蝕刻間隔層414、犧牲件412及/或一或更多互連線404。
在一實施例中,間隙416可以為部份或全部填入以任何適當材料,包含但並不限於氣體、液體及/或介電材料。此等間隙416可以作動以降低電容並藉以增加互連件的效能(電路時序、功率消耗等等)。在各種實施例中,間隙可以包含氣隙,但其也可以額外包含任何其他氣體(例如,氮、氦、氫、氙、惰性氣體等等)、液體、及/或介電質(例如,低K介電質)。在一實施例中,此等低K介電質可以相較於互連結構內的其他層間介電層(IDL)為低之K(介電常數)。
圖4I描繪用以依據本案一或更多實施例製造兩層互連件的一部份的處理順序的中間結果的例示剖面圖。在一實施例中,兩層互連件417可以包含第一ILD 402。在另一實施例中,互連件417可以包含一或更多互連線(例如,一或更多金屬互連線)404。在一實施例中,互連件417可以包含犧牲件412。在另一實施例中,互連件417可以包含用於間隔層414的間隔層。
在一實施例中,互連件可以包含間隙416,例如,氣隙。在一實施例中,互連件417可以包含蝕刻停止層418。在各種實施例中,蝕刻停止層418可以作用以密封下層,包含但並不限於間隔層414、犧牲件412、第一ILD 402及一或更多互連線404。蝕刻停止層418可以更防止在互連件417的處理順序中的相鄰層或先前步驟或隨後步驟中的金屬(例如,來自一或更多互連線404的金屬)與/或任何其他材料的相互擴散。在各種實施例中,蝕刻停止層418可以包含氮化矽(SiN)材料。蝕刻停止層418可以經由任何適當機制加以沈積,包含但並不限於化學氣相沈積(CVD)、物理蒸鍍化學氣相沈積(PECVD)及/或旋塗。
圖4J例示用以依據本案一或更多實施例以製造互連件的一部份的處理順序的中間結果的例示剖面圖。在一實施例中,互連件419可以包含第一ILD 402。在另一實施例中,互連件419可以包含一或更多互連線(例如,一或更多金屬互連線404)。在一實施例中,互連件419可以包含犧牲件412。在另一實施例中,互連件419可以包含間隔層410。在一實施例中,互連件可以包含間隙416,例如,氣隙。在各種實施例中,互連件419可以包含蝕刻停止層418。
在各種實施例中,互連件419可以包含第二ILD 420。第二ILD 420可以配置於蝕刻停止層418、犧牲件412、間隔層410、氣隙416、第一ILD 402及一或更多互連線404上。
在一實施例中,犧牲件412可以配置於一或更多互連線404上。在一實施例中,蝕刻停止層可以配置於犧牲件412、一或更多互連線404的間隔層410及第一ILD 402上。
在一實施例中,第二ILD 420可以包含後填式ILD。在一實施例中,第二ILD 420可以為永久及/或非保角介電層。第二ILD 420可以經由任何適當機制加以沈積,包含但並不限於化學氣相沈積(CVD)、物理蒸鍍化學氣相沈積(PECVD)及/或旋塗。在另一實施例中,第二ILD 420可以經由填充步驟與研磨步驟加以配置。在一實施例中,第二ILD 420的沈積可以造成例如氣隙的一或更多間隙416的密封。如所述,這些間隙416的出現可以降低互連件的電容並藉由提升與加強互連件的效能,例如,互連件的時序及/或功率消耗。
圖4K例示用以依據本案一或更多實施例製造互連件一部份的中間結果的例示剖面圖。互連件421可以包含第一ILD 402。互連件可以更包含一或更多互連線(一或更多金屬互連線)404。互連件421可以更包含間隔層410,其可以配置於蝕刻停止層418、第一ILD 402及一或更多互連線404上。兩層互連件421可以更包含蝕刻停止層418,其可以配置在第一ILD 402與一或更多互連線(例如,一或更多金屬互連線)404上。
互連件421可以更包含第二ILD 420,其可以配置在蝕刻停止層418、第一ILD 402及一或更多互連線404上。第二ILD 420可以更導致例如,一或更多氣隙的一或更多間隙416的形成。互連件421可以更包含犧牲件412。犧牲件412可以配置在蝕刻停止層418與一或更多互連線404上。
在各種實施例中,互連件421可以包含第二ILD 422的移除部份。得到第二ILD 422的移除部份的第二ILD 420的圖案化可以藉由任何適當方法加以執行,包含但並不限於蝕刻、濕式蝕刻及/或乾式蝕刻。在另一實施例中,乾式蝕刻可以具有不同化學及/或機械元件。在一實施例中,第二ILD 420的蝕刻可以相對於間隔層410及/或犧牲件412有選擇性。即,第二ILD 420的蝕刻不再進一步蝕刻間隔層410及/或犧牲件412。在一實施例中,得到第二ILD 422的移除部份的第二ILD 420的圖案化可以提供如在以下圖2M與相關討論所示與討論的金屬層的後續沈積所用的面積及/或體積。
圖4L例示依據本案一或更多實施例製造互連件一部份的處理順序的中間結果的例示剖面圖。在一實施例中,互連件423包含第一ILD 402。在另一實施例中,互連件423包含一或更多互連線404(例如,一或更多金屬互連線)。在一實施例中,互連件423包含間隔層410。在一實施例中,互連件包含蝕刻停止層418,其可以配置於第一ILD 402與一或更多互連線404上。
互連件423可以更包含例如,氣隙之間隙416。互連件423可以更包含第二ILD 420,其可以配置在蝕刻停止層418、第一ILD 402、一或更多互連線404及間隙416上。互連件423可以更包含第二ILD 422的移除部份。互連件423可以更包含溝渠424。
得到溝渠424的犧牲件412的移除可以使用任 何適當方法加以執行,包含但並不限於蝕刻。在一實施例中,先前圖4K的犧牲件412的移除可以使用任何適當方法加以完成,包含但並不限於濕式蝕刻及/或乾式蝕刻。在另一實施例中,乾式蝕刻可以具有不同化學及/或機械元件。在一實施例中,犧性件412的蝕刻可以相對於間隔層410及/或一或更多互連線404有選擇性及/或正交。即,如先前圖4K所繪之犧牲件412的蝕刻可以不進一步蝕刻間隔層410及/或一或更多互連線404。在一實施例中,得到溝渠424的犧牲件412之蝕刻可以額外地部份移除蝕刻停止層418的一部份,藉以曝露出一或更多互連線404的一部份。
圖4M例示依據本案一或更多實施例製造互連件的一部份的中間結果的例示剖面圖。在一實施例中,互連件425可以包含第一ILD 402。在另一實施例中,互連件425可以包含一或更多互連線(例如,一或更多金屬互連線404)。互連件425可以包含間隔層410,其可以配置於蝕刻停止層418、第一ILD 402及一或更多互連線404上。互連件425可以更包含間隙416,例如,氣隙。互連件425可以更包含第二ILD 420,其可以配置於蝕刻停止層418、第一ILD 402及一或更多互連線404上。
兩層互連件425可以更包含第二金屬層426,其可以配置於如圖4L所示之第二ILD 422的移除部份中。在各種實施例中,第二金屬層可以填充如圖4L中所示之犧牲件424的移除部份,藉以形成填充通孔428。
在一實施例中,第二金屬層426可以經由任何 適當方法配置,包含CVD及/或PECVD。第二金屬層426的沈積可以更包含沈積黏著層的額外步驟。黏著層可以為任何適當材料,包含但並不限於鈦、鉭、鎢、鉬、氮化鈦、氮化鉭、鈷、鈷-鎢-硼、前述者之合金、其組合等等。第二金屬層426的沈積可以更包含沈積金屬(例如銅層)的額外步驟,其可以作動為黏著促進劑,加強於層間之濕潤及/或作為金屬種層。金屬種層可以藉由任何適當技術加以沈積,包含但並不限於電鍍。在實施例中,金屬種層可以沈積於黏著層上。金屬種層可以以任何適當機制加以沈積,例如,濺鍍製程。在一些實施例中,金屬種可以以PVD、CVD、蒸鍍、ALD、其組合等等加以沈積。金屬種層可以為銅、鈷、鋁、其組合等等。
圖5A例示依據本案的實施例製造互連件的例示處理流程圖。將了解的是,一些製程可以以於此所描繪的順序不同的順序加以執行。更可以了解的是,一些製程可以具有適當替代法,其可以在沒有脫離本案的實施例下加以實施。在方塊502,第一層(例如,第一ILD)可以被提供,具有一或更多互連線形成於第一層中。在一實施例中,一或更多互連線可能不會延伸超出第一層的層級以上。
該第一ILD可以為永久及/或非保角介電層。第一ILD可以經由任何適當機制加以沈積,包含但並不限於化學氣相沈積(CVD)、物理蒸鍍化學氣相沈積(PECVD)及/或旋塗法。第一ILD可以具有任何適當厚度,例如,第 一ILD可以具有大約5奈米至大約1000奈米的厚度,具有30奈米至大約60奈米的較佳厚度。
互連件可以更包含一或更多互連線(例如,一或更多金屬互連線)。該一或更多互連線可以首先藉由在第一ILD中的予以形成(未示出)一或更多通孔的位置處產生或製造溝渠加以形成,溝渠可以隨後被填入金屬。
在方塊504中,蝕刻停止層可以被提供,其中蝕刻停止層可以配置於一或更多互連線與第一層(例如,第一ILD)上。
蝕刻停止層可以被用以密封下層(例如,多數互連線及/或第一ILD)。蝕刻停止層可以更防止在互連件的處理順序中的相鄰層或先前步驟或隨後步驟中的金屬(例如,來自一或更多互連線204之金屬)及/或任何其他材料間的相互擴散。在各種實施例中,蝕刻停止層可以包含氮化矽(SiN)材料。
在方塊506中,可以提供犧牲件,其中犧性件可以配置於蝕刻停止層上。
犧牲件可以作動為通孔的暫時佔位,其將在進一步處理步驟(如下所討論)中形成。在一實施例中,犧牲件可以包含氮化鈦(TiN)材料。犧牲件可以更包含任何犧牲導電及/或非導電材料,包含但並不限於非晶矽、任何金屬氧化物、及/或氮化鈦(TiN)、及/或鈦(Ti)。在一實施例中,犧牲件可以藉由任何適當方法加以形成,包含但並不限於化學氣相沈積(CVD)及/或電漿加強化學氣相沈積 (PECVD)。在一實施例中,犧牲件可以在沈積犧牲件期間使用遮罩加以圖案化。在另一實施例中,犧牲通孔可以對於第一ILD蝕刻有蝕刻選擇性。在一實施例中,犧牲件可以防止貫穿到下方一或更多互連線中的金屬層。
在方塊508中,間隔層可以被提供,其中間隔層可以配置在犧牲件及第一層上。
在另一實施例中,在用以製造該互連件的處理順序中的各種蝕刻步驟期間,間隔層可以用以遮罩下層(例如,蝕刻停止層的一部份、一或更多互連線的一部份、及第一ILD的一部份)。在一實施例中,間隔層可以為非導電材料。在另一實施例中,間隔層可以包含永久(例如,非犧牲)材料。在另一實施例中,犧牲件可以被設定相對於在一實施例中第一ILD有蝕刻選擇性。犧牲件可以藉由任何適當處理加以沈積,包含但並不限於CVD、PECVD及/或原子層沈積(ALD)。在一實施例中,間隔層的厚度可以大於或等於大約在一或更多互連線中的相鄰互連線間的間隔的厚度的一半。在一實施例中,間隔層可以包含金屬氧化物材料,例如,二氧化矽材料SiO2。在另一實施例中,間隔層可以為保角,以促成在下層的緊密間距拓樸四周的沈積。
在方塊510中,間隔層的一部份可以被移除,其中,間隔層的該部份的移除得間隔件。
間隔層可以依據在此所揭露的任何適當技術加以蝕刻。在一實施例中,間隔層可以經由乾式蝕刻及/ 或濕式蝕刻加以蝕刻。在另一實施例中,間隔層可以被方向性蝕刻,例如,相對於Z軸只蝕刻於向下方向。
如所述,犧牲件及/或間隔層可以作動為一遮罩,用以在製造互連件的一部份的各種處理順序步驟中,遮擋直接在下的具有高間距特性的區域,藉以允許未遮罩區域被蝕刻。在一實施例中,間隔層的蝕刻可以使用氫氟酸(HF)蝕刻加以執行或乾式蝕刻加以執行。
在方塊512中,第一層(例如,第一ILD)的未為間隔件所遮罩部份及未為間隔件所遮罩的蝕刻停止層可以被移除。在一實施例中,形成一或更多互連線的相鄰互連線間的空間關係以定義至少一間隙。
在一實施例中,移除可以包含蝕刻停止層及/或第一ILD的蝕刻,其可以相對於間隔層的蝕刻有選擇性的。在另一實施例中,蝕刻停止層與第一ILD的蝕刻可以使用任何適當方法加以完成,包含濕式蝕刻及/或乾式蝕刻。間隔層與蝕刻停止層的蝕刻可以造成在第一ILD中形成間隙,例如,氣隙。
在方塊514中,第二蝕刻停止層可以被提供,其中第二蝕刻停止層可以配置於一或更多互連線、第一層與間隔件上。
蝕刻停止層可以用以密封下層(例如,多數互連線及/或第一ILD及/或間隙)。蝕刻停止層可以更防止在互連件的處理順序中的相鄰層或先前步驟或隨後步驟中的金屬(例如,來自一或更多互連線的金屬)及/或任何其他材 料的相互擴散。在各種實施例中,蝕刻停止層可以包含氮化矽(SiN)材料。在一實施例中,蝕刻停止層可以使用任何適當方法加以沈積,包含但並不限於CVD、PECVD及/或ALD。
在方塊516中,第二層(例如,第二ILD)可以被提供,其中第二層可以配置於第二蝕刻停止層的一部份上。
該第二ILD可以為永久及/或非導電層。在一實施例中,第二ILD的沈積可以造成間隙(例如,氣隙)的密封。該第二ILD可以藉由任何適當方法加以沈積,包含但並不限於CVD、PECVD及/或旋塗。在一實施例中,第二ILD可以具有大約5奈米至大約1000奈米的厚度,其中在一些例子中,該厚度可以範圍由約30奈米至約60奈米。在一實施例中,第二ILD可以在沈積後被機械研磨。
在方塊518,第二層(例如,第二ILD)的一部份可以被移除。
得到圖案化部份的第二ILD的圖案化可以藉由任何適當方法加以執行,包含但並不限於蝕刻、濕式蝕刻及/或乾式蝕刻。在另一實施例中,乾式蝕刻可以具有不同化學及/或機械元件。在一實施例中,第一ILD的蝕刻可以相對於間隔層、犧牲件、及/或一或更多互連線有選擇性及/或正交。即,第二ILD的蝕刻可以不進一步蝕刻間隔層、犧牲件及/或該一或更多互連線。
在各種實施例中,第二ILD的部份的圖案化可 以加以完成,使得後續處理步驟可以以適當材料,例如,金屬層填入該第二ILD的圖案化部份,以完成該通孔結構。
在方塊520中,犧牲件可以被移除,以得到孔隙。
在一實施例中,犧牲件可以使用任何適當技術加以移除。此可以被完成,以允許在先前步驟的犧牲件所界定的區域被以金屬層加以作後續填充。犧牲件的移除可以使用任何適當方法加以完成,包含但並不限於濕式蝕刻及/或乾式蝕刻。在另一實施例中,乾式蝕刻可以具有不同化學及/或機械元件。在一實施例中,先前步驟的犧牲件的蝕刻可以相對間隔層及/或一或更多互連線有選擇性。即,先前步驟的犧牲件的蝕刻可以不進一步蝕刻間隔層及/或該一或更多互連線。
在方塊522中,第二金屬層可以被提供,其中該第二金屬層可以配置該第三層及間隔件上並至少部份填充該孔隙,其中該第二金屬層可以耦接至該一或更多互連線的至少之一互連線。
在一實施例中,該第二金屬層的至少一部份可以機械及/或電連接至該一或更多互連線。在一實施例中,第二金屬層可以形成一或更多通孔,其先前是為在先前步驟中的犧牲件的位置。
在一實施例中,第二金屬層可以經由任何適當方法加以配置,包含CVD及/或PECVD。第二金屬層的 沈積可以更包含沈積黏著層的額外步驟。該黏著層可以為任何適當材料,包含例如鈦、鉭、鎢、鉬、氮化鈦、氮化鉭、鈷、鈷-鎢-硼、前述之合金、其組合等等。第二金屬層的沈積可以更包含沈積金屬(例如銅層)的額外步驟,其可以作為黏著促進劑,加強於層間之濕潤,及/或作為金屬種層。金屬種層可以由任何適當技術加以沈積,包含但並不限於電鍍。在實施例中,金屬種層可以沈積於該黏著層上。金屬種層可以藉由任何適當機制沈積,例如濺鍍處理。在一些實施例中,金屬種可以藉由PVD、CVD、蒸鍍、ALD、其組合等等加以沈積。金屬種層可以為銅、鈷、鋁、其組合等等。
圖5B例示用以依據本案一或更多實施例製造互連件的例示方法501的流程圖。應注意,一些製程可以以與於此所繪不同順序加以執行。也注意,一些製程可以具有適當替代法,其可以不脫離本案的實施例下加以實施。
在方塊530中,可以提供第一層(例如,第一ILD),其中,第一層具有一或更多互連線(例如,一或更多金屬互連線)形成在第一層中。在一實施例中,相對於z-軸,該一或更多互連線並未延伸超出該第一層的高度位準。
在一實施例中,第一層(例如,第一ILD)可以為永久及/或非保角介電層。在另一實施例中,第一層可以經由任何適當機制加以沈積,包含但並不限於化學氣相 沈積(CVD)、物理蒸鍍化學氣相沈積(PECVD)及/或旋塗。在一實施例中,第一層可以具有任何適當厚度,例如,第一層具有大約5奈米至大約1000奈米的厚度,具有30奈米至大約60奈米的例示厚度。
在一實施例中,一或更多互連線(例如,一或更多金屬互連線)可以首先藉由在第一ILD中的予以在隨後形成一或更多通孔的位置處產生或製造溝渠,這些溝渠可以被填入金屬。
在方塊532中,可以提供第一直接自組裝(DSA)材料及第二DSA材料,其中第一DSA材料與第二DSA材料可以配置該一或更多互連線與該第一層(例如,第一ILD)上。
在另一實施例中,該第一DSA材料與第二DSA材料可以被配置在該一或更多互連線與第一ILD上。在一實施例中,第一DSA材料與第二DSA材料可以由任何適當DSA方法加以形成。在一實施例中,DSA方法可以包含兩犧牲聚合物,例如,聚苯乙烯及聚(甲基丙烯酸甲酯)(PMMA),其並不彼此混合。在另一實施例中,兩聚合物可以依序沈積,例如,第一薄層的第一聚合物可以先沈積,其後較厚第二聚合物沈積至該一或更多互連線及第一ILD上。兩聚合物材料可以作動為用以沈積更多永久材料的樣板。在一實施例中,兩永久材料可以包含例如二氧化矽(SiO2)及氮化矽(SiN),其可以替換第一DSA材料及第二DSA材料。在另一實施例中,被形成以作為第一DSA材料 與第二DSA材料的永久材料可以在彼此之間有蝕刻選擇性。再者,第一DSA材料及第二DSA材料可以自對準至該第一與第二DSA材料下的一或更多互連線。
在方塊534中,第一DSA材料的一部份可以被移除,以得到一溝渠。在一實施例中,通孔溝渠可以更進一步藉由蝕刻第二DSA材料加以形成。在一實施例中,在打開該通孔溝渠開口的處理可以包含任何適當方法,包含但並不限於濕式蝕刻及/或乾式蝕刻處理。在另一實施例中,乾式蝕刻可以具有一或更多化學及/或機械元件。在一實施例中,第二DSA材料的蝕刻可以相對於第一DSA材料、第一ILD、及/或該一或更多互連線有選擇性及/或正交。即,第二ILD的蝕刻可能不進一步蝕刻第一DSA材料、第一ILD、及/或該一或更多互連線。在一實施例中,產生通孔溝渠的第二DSA材料的圖案化可以提供面積及/或體積,供後續犧牲件沈積。
在方塊536中,可以提供犧牲件,其中犧牲件可以配置於溝渠中及在該一或更多互連線的至少一互連線上。
在一實施例中,互連件可以包含犧牲件,其可以形成在有關於如方法501中之前述方塊所述之通孔溝渠中。犧牲件可以作動為通孔的暫時佔位,該通孔將在進一步處理步驟(將如下所討論)中形成。在一實施例中,犧牲件可以包含氮化鈦(TiN)材料。犧牲件可以更包含任何犧牲導電及非導電材料,包含但並不限於非晶矽、任何金 屬氧化物及/或氮化鈦(TiN)、及/或鈦(Ti)。在一實施例中,犧牲件可以由任何適當方法形成,包含但並不限於CVD及/或PECVD。在一實施例中,犧牲件可以使用遮罩在沈積犧牲件期間加以圖案化。在另一實施例中,犧牲通孔可以對於蝕刻第一ILD有蝕刻選擇性。在一實施例中,例如,在有關於以下方塊所述的通孔蝕刻步驟期間,犧牲件可以防止貫穿至在一或更多互連線下的金屬層。
在方塊538中,第一DSA材料與第二DSA材料可以被移除。
在各種實施例中,第一DSA材料與第二DSA材料可以藉由任何適當方法加以移除。在各種實施例中,第一DSA材料與第二DSA材料的移除可以使用任何適當方法加以執行,包含但並不限於蝕刻、乾式蝕刻、濕式蝕刻等等。在一實施例中,第一DSA材料與第二DSA材料的蝕刻可以相對於犧牲件、第一ILD及/或一或更多互連線有選擇性。即,第一DSA材料與第二DSA材料可以不進一步蝕刻犧牲件、第一ILD及/或一或更多互連線。
在方塊540中,蝕刻停止層可以配置於該第一層與該一或更多互連線上,及間隔層可以配置蝕刻停止層上。
在一實施例中,間隔層可以因此接近該犧性件及該第一ILD與該一或更多互連線。在一實施例中,間隔層可以為非導電材料。在另一實施例中,間隔層可以包含永久(例如,非犧牲)材料。在另一實施例中,犧牲件可 以被設定在一實施例中對該第一ILD有蝕刻選擇性/正交。該犧牲件可以藉由任何適當製程加以沈積,包含但並不限於CVD、PECVD及/或原子層沈積(ALD)。在一實施例中,間隔層的厚度可以大於或等於在該一或更多互連線中的相鄰互連線間的間隔的厚度的大約一半。在一實施例中,間隔層可以包含金屬氧化物材料,例如,二氧化矽材料SiO2。在另一實施例中,間隔層可以保角,以促成在下層的緊密間距拓樸四周的沈積。
在方塊542中,蝕刻停止層與間隔層的一部份可以被移除,其中該間隔層的該部份的移除可以得到間隔件。
在一實施例中,蝕刻停止層及/或間隔層的移除可以以蝕刻步驟執行。在一實施例中,蝕刻步驟可以包含乾式蝕刻及/或濕式蝕刻。在一實施例中,蝕刻在間隔層上可以為方向性的(例如,只相對於z軸以向下方向向下蝕刻)。在一實施例中,間隔層的蝕刻可以使用HF蝕刻加以執行。例如,在後續步驟中,在以下有關未來方塊所述之步驟中,間隔層可以作動為硬遮罩,其遮擋具有緊密間距特性的區域並允許其他區域被向下蝕刻。
在方塊544中,第一層的未為間隔件所遮罩的部份可以被移除。在一實施例中,第一層的該部份的移除可以形成一空間關係,用以在該一或更多互連線的相鄰互連線間界定至少一間隙。
在各種實施例中,互連件可以包含間隙,例 如,氣隙(例如,分別圖2與圖3的氣隙212及/或氣隙312)。在一實施例中,間隙可以藉由移除該第一ILD的該部份加以產生。例如,在一實施例中,該間隙可以藉由在該第一ILD上的蝕刻步驟加以產生。在一實施例中,第一ILD的蝕刻可以相對於間隔層、犧牲件及/或一或更多互連線有選擇性。即,第一ILD的蝕刻可不進一步蝕刻間隔層、犧牲件及/或該一或更多互連線。
在一實施例中,為互連件所界定的間隙可以部份或整個以任何適當材料加以填充,包含但並不限於氣體、液體及/或介電材料。此等間隙可以降低電容並藉以增加互連件的效能(電路時序、功率消耗等等)。在各種實施例中,間隙可以包含空氣,但它們可以額外包含任何其他氣體(例如,氮、氦、氫、氙、惰性氣體等等)、液體、及/或介電質(例如,低-K介電質)。在一實施例中,此等低-K介電質可以相對於在互連結構內的其他層間介電層(IDL)為較低K。
在方塊546中,蝕刻停止層可以被提供,其中蝕刻停止層可以配置該一或更多互連線、該第一層、該間隔層、及該犧牲件上。
在各種實施例中,蝕刻停止層可以作用以密封下層,包含但並不限於間隔層、犧牲件、第一ILD及該一或更多互連線。蝕刻停止層可以更防止在互連件的處理順序中的相鄰層或先前步驟中或隨後步驟中的金屬(例如,來自該一或更多互連線的金屬)及/或任何其他材料的 相互擴散。在各種實施例中,蝕刻停止層可以包含氮化矽(SiN)材料。蝕刻停止層可以經由任何適當機制加以沈積,包含但並不限於CVD、PECVD及/或旋塗。
在方塊548中,可以提供第二層(例如,第二ILD),其中第二層可以配置於該蝕刻停止層、該犧牲件、及該間隔件的一部份上。
在一實施例中,第二ILD可以包含後填式ILD。在一實施例中,第二ILD可以為永久及/或非保角介電層。第二ILD可以經由任何適當機制加以沈積,包含但並不限於CVD、PECVD及/或旋塗。在另一實施例中,第二ILD可以經由填充步驟與研磨步驟加以配置。在一實施例中,第二ILD的沈積可以使得例如氣隙的一或更多間隙的密封。如所述,這些間隙的出現可以降低互連件的電容並藉以提升與加強互連件的效能,例如,互連件的時序及/或功率消耗。
在方塊550中,第二層的一部份可以被移除。在各種實施例中,互連件可以包含第二ILD的移除部份。圖案化該第二ILD以得到該第二ILD的移除部份可以藉由任何適當方法加以執行,包含但並不限於蝕刻、濕式蝕刻及/或乾式蝕刻。在另一實施例中,乾式蝕刻可以具有不同化學及/或機械元件。在一實施例中,第二ILD的蝕刻可以相對於間隔層及/或犧牲件有選擇性。即,第二ILD的蝕刻可不進一步蝕刻間隔層及/或犧牲件。在一實施例中,圖案化第二ILD以得到該第二ILD的移除部份可以提供面 積及/或體積,以供如下的未來方塊有關所述的金屬層的後續沈積。
在方塊552中,犧牲件可以被移除,以得到孔隙。移除犧牲件以得到溝渠可以使用任何適當方法加以執行,包含但並不限於蝕刻。在一實施例中,犧牲件的移除可以使用任何適當方法加以完成,包含但並不限於濕式蝕刻及/或乾式蝕刻。在另一實施例中,乾式蝕刻可以具有不同化學及/或機械元件。在一實施例中,犧牲件的蝕刻可以相對於間隔層及/或一或更多互連線有選擇性。即,犧牲件的蝕刻可以不進一步蝕刻間隔層及/或一或更多互連線。在一實施例中,蝕刻犧牲件以得到溝渠可以額外地部份移除蝕刻停止層的一部份,藉以曝露出該一或更多互連線的一部份。
在方塊554中,可以提供第二金屬層,其中該第二金屬層可以配置在該間隔件上並可以至少部份填充該孔隙,及其中該第二金屬層可以更耦接至該一或更多互連線的至少之一互連線。
在一實施例中,第二金屬層可以經由任何適當方法加以配置,包含CVD及/或PECVD。第二金屬層的沈積可以更包含沈積黏著層的額外步驟。黏著層可以為任何適當材料,包含例如,鈦、鉭、鎢、鉬、氮化鈦、氮化鉭、鈷、鈷-鎢-硼、前述之合金、其組合等等。第二金屬層的沈積可以更包含沈積金屬(例如,銅層)的額外步驟,其可以作動為黏著促進劑,加強於層間之濕潤及/或作動 為金屬種層。金屬種層可以藉由任何適當技術加以沈積,包含但並不限於電鍍。在實施例中,金屬種層可以沈積於黏著層上。該金屬種層可以藉由任何適當機制加以沈積,例如,濺鍍處理。在一些實施例中,金屬種可以藉由PVD、CVD、蒸鍍、ALD、其組合等等加以沈積。金屬種層可以為銅、鈷、鋁、其組合等等。
在各種實施例中,於本案所描述之互連件可以被用以配合後段製程線路(BEOL)處理加以使用。BEOL可以稱為IC製造一部份,其中個別裝置(電晶體、電容、電阻等)被以線路加以互連。BEOL可以包含接點、絕緣層(介電質)、金屬層級、及用於晶片至封裝連接的黏著位置。在製造階段接點(墊)的BEOL部中,可以形成互連線、通孔及介電結構。對於部份IC製程,可以在BEOL中加入超過10個金屬層。
在各種實施例中,所揭露互連件可以用以結合電子元件。電子元件可以為任何適當電子元件,包含但並不限於積體電路、表面黏著裝置、主動裝置、被動裝置、二極體、電晶體、連接器、電阻、電感、電容、微電機系統(MEMS)、其組合等等。
在一實施例中,互連件可以提供在電子元件(例如,積體電路、被動裝置等)間用於信號的電路徑、半導體封裝上的輸入/輸出(I/O)連接、電子裝置的信號扇入/出、兩或更多電氣元件間的信號連接、電力輸送至電氣元件、電氣元件的接地、時鐘信號輸送至電氣元件、其組合 等等。
在一實施例中,如於此所稱之基板可以表示一固態(在一些實施例中,可以為平坦狀)物,其上被施加一層另外物質,並可以黏著至第二物質。該基板可以為薄片材料,例如,矽、二氧化矽、氧化鋁、藍寶石、鍺、砷化鎵(GaAs)、矽與鍺的合金、或磷化銦(InP)。這些作為基礎,以供例如電晶體、二極體及特別是積體電路(IC)的電子裝置沈積於其上。
在各種實施例中,多數互連線及/或第二金屬層可以包含金屬、半金屬或介金屬材料。在各種實施例中,多數互連線及/或第二金屬層可以包含金屬材料。非限定例包含金、銅、銀、鋁、鋅、錫、鉑、或其任一等等。金屬材料也可以為此等材料的任意合金。
在各種實施例中,多數互連線及/或第二金屬層可以包含半金屬材料。非限定例包含砷、銻、鉍、α-錫(灰錫)及石墨、及碲化汞(HgTe)。半金屬材料也可以為此等材料的任何混合。
在各種實施例中,多數互連線及/或第二金屬層可以包含介金屬材料。非限定例包含金及鋁介金屬、銅與錫介金屬、錫與鎳介金屬、錫與銀介金屬、錫與鋅介金屬、及任何其類似物。介金屬材料也可以是此等材料的合金。
在各種實施例中,多數互連線及/或第二金屬層可以藉由任何適當機制加以沈積,包含但並不限於金屬 箔積層、物理氣相沈積、化學氣相沈積、濺鍍、金屬膏沈積、其組合等等。
在一實施例中,該一或更多通孔可以然後被填入以金屬,例如,藉由無電金屬電鍍、電解金屬電鍍、物理氣相沈積、其組合等等。過量金屬可以藉由任何適當機制加以移除,例如,蝕刻、清洗、研磨、及/或化學機械研磨(CMP)、其組合等等。
在一些實施例中,於此所揭露之硬遮罩層可以包含任何適當材料,例如,氮化矽、二氧化矽、矽氧氮化物、矽碳氮化物、碳化矽、矽氧碳氮化物、旋塗矽酸玻璃膜、聚合物介電膜、前述膜的非理想配比變化、其組合等等。硬遮罩層可以藉由任何適當機制加以沈積,包含電漿加強PECVD、CVD、旋塗製程、噴塗製程、物理氣相沈積(PVD)、其組合等等。在一些實施例中,硬遮罩層可以為氮化矽膜,其厚度在約20奈米至約1微米的範圍中,例如,為200奈米的厚度。氮化矽硬遮罩層可以藉由PECVD系統加以沈積,該系統例如在微波電漿中具有矽烷/氨(SiH4/NH3)為主的饋氣,比如下游電漿系統。或者,氮化矽硬遮罩層可以在任何適當電漿CVD系統中形成,例如,電容耦合電漿、電感耦合電漿、高密度電漿、磁加強電漿等。在一些實施例中,硬遮罩層可以使用過錳酸鹽蝕刻溶液、磷酸溶液、或任何確實適用以移除硬遮罩層的蝕劑加以蝕刻。
ILD層可以為任何適當材料特性(例如,k值、 洩漏特性等)與厚度。例如,相對低-k預浸ILD層可以被用以允許高頻、低信號劣化發信。在一些實施例中,ILD層可以包含積層ILD層,具有範圍由約25微米(μm)至約100μm的厚度,及金屬層則約10μm至約40μm的範圍的厚度。
在一些實施例中,間隔層及/或ILD可以藉由電漿蝕刻處理加以移除,例如,磁加強反應離子蝕刻(MERIE)、高密度電漿(HDP)、或確實任何適當電漿蝕刻處理。在一些實施例中,間隔層及/或ILD可以具有填充材料、樹脂、及/或其他元素,以使得間隔層與/或ILD對電漿蝕刻更有阻抗力。任何適當類型電漿可以使用包含但並不限於電容耦合、電感耦合、微波電漿、上游電漿、其組合等等。任何適當蝕刻氣體可以被使用,例如,氟化氣體,例如,四氟甲烷、六氟乙烷、八氟丙烷、八氟環丁烷、六氟-1,3-丁二烯、其組合等等。另外,用以提升聚合化與/或蝕刻的其他氣體,及載氣也可以使用,例如,氧、氫、二氧化碳、氮、氬、氦、其組合等等。
為了製造於此所揭露的各種建構(例如,ILD)、間隔層、及/或金屬層,可以執行各種製造步驟,包含步驟有:沈積/積層所述層、將所沈積/積層的層曝露至輻射、顯影這些層、固化這些層、及圖案化這些層。在一實施例中,處理建構(例如,ILD)、間隔層、及/或金屬層可以進一步包含使用遮罩加以曝露這些層。該遮罩可以包含例如光罩,其可以稱為具有孔或透明度允許光穿透預定 圖案的不透光板。在一實施例中,光罩可以包含被覆蓋有以鉻金屬-吸收膜界定的圖案的透明熔矽石檔片(blank)。在另一實施例中,光罩可以用於預定波長,包含但並不限於大約436nm、大約365nm、大約248nm、及大約193nm。在一實施例中,在遮罩圖案與層圖案間,例如,使用一對一遮罩對準器而可以有一對一對應性。在其他實施例中,步進機與具有縮影光學件的掃描器可以用以投影與收縮圖案四或五倍至所述層的表面。為了完成完整覆蓋,光可取影介電層光學柱下被重覆地由一位置”步進”至另一位置,直到完成完整曝光。
在一實施例中,處理該建構(例如,ILD)、間隔層、及/或金屬層可以進一步包含使用紫外光源顯影所述層。在一實施例中,可以使用以成像這些層的光類型可以包含但並不限於UV及DUV(深UV),g與I互連線分別具有水銀蒸氣燈大約436nm與365nm的波長。在各種實施例中,這些層的顯影可以包含透過該遮罩曝露至紫外光源幾秒。這些層的被曝露的這些區域不變,而這些層的其他區域則顯影。
在一實施例中,顯影光波長參數可以相關於這些層的厚度,較薄的層對應於較短波長。這允許減少的深寬比與減少的最小特性尺寸。
在一實施例中,各種化學品可以被使用以永久給予建構(例如,ILD)、間隔層、及/或金屬層想要的特性變化。這些化學品可以包含但並不限於聚(甲基丙烯酸 甲酯)(PMMA)、聚(甲基戊二醯亞胺)(PMGI)、苯酚甲醛樹脂(DNQ/Novolac)、及SU-8。在一實施例中,化學品可以應用為液體及通常旋塗,以確保厚度的均勻度。
在一實施例中,處理建構(例如,ILD)、間隔層及/或金屬層可以更包含使用熱源固化該等層。熱源可以於大約45分內,產生大約120℃至大約140℃的預定溫度的熱。在一實施例中,熱源可以包含爐。爐可以具有預定溫度的大約±0.5%的溫度均勻度。再者,爐可以包含低微粒環境控制,以例如在爐內使用HEPA過濾的空氣,保護不受污染。在一實施例中,HEPA過濾器可以使用產生等級10(ISO等級4)空氣品質。再者,爐可以被組態以具有低氧程度,以防止這些層的任一氧化。
在各種實施例中,如於此所述,互連件可以用以連結一或更多處理器。所述一或更多處理器可以包含但並不限於中央處理單元(CPU)、數位信號處理器(DSP)、精簡指令集電腦(RISC)、複雜指令集電腦(CISC)、微處理器、微控制器、場可程式閘陣列(FPGA)、或其組合。處理器也可以包含一或更多特殊應用積體電路(ASIC)或用以處置特定資料處理功能或工作的特定應用標準產品(ASSP)。在某些實施例中,處理器也可以根據英特爾®架構系統及包含在電子裝置中之一或更多處理器及任一晶片組,其可以來自英特爾®處理器與晶片組系列,例如英特爾®Atom®處理器系列或英特爾-64處理器(例如,Sandy Bridge®,Ivy Bridge®,Haswall®,Broadwell®,Skylake®等 等)。
外加或替代地,於此所述之互連件可以用以結合一或更多記憶體晶片。記憶體可以包含一或更多揮發及/或非揮發記憶體裝置,包含但並不限於磁儲存裝置、唯讀記憶體(ROM)、隨機存取記憶體(RAM)、動態RAM(DRAM)、靜態RAM(SRAM)、同步動態RAM(SDRAM)、雙倍速(DDR)SDRAM(DDR-SDRAM)、RAM-BUS DRAM(RDRAM)、快閃記憶體裝置、電可抹除唯讀記憶體(EEPROM)、非揮發RAM(NVRAM)、通用串列匯流排(USB)可移除記憶體、或其組合。
在一些實施例中,其中使用及/或提供有互連件的電子裝置可以為計算裝置。此計算裝置可以包容有一或更多板,其上配置有互連件。該板可以包含若干元件,包含但並不限於處理器及/或至少一通訊晶片。處理器可以透過例如互連件的電連接實體及電連接至該板。計算裝置可以更包含多數通訊晶片。例如,第一通訊晶片可以專用於較短距無線通訊,例如,Wi-Fi及藍牙,及第二通訊晶片可以專用於較長距無線通訊,例如,GPS、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO、及其他者。在各種實施例中,計算裝置可以為膝上型電腦、小筆電、筆記型電腦、超薄筆電、智慧手機、平板電腦、個人數位助理(PDA)、超行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、攜帶式音樂播放器、數位視訊記錄器、其組合等 等。在進一步實施例中,計算裝置可以為處理資料的任何其他電子裝置。
依據本案的例示實施例,其中可以有組件。該組件可以包含:第一層,具有一或更多互連線形成在其中的第一層;配置在該蝕刻停止層上的犧牲件;靠在犧牲件與蝕刻停止層的第一部份上的間隔件,其中間隔件遮罩該蝕刻停止層的第二部份及第一層的第二部份;配置在該第二蝕刻停止層的第三部份上的第二層;及為一或更多互連線的兩相鄰互連線與第二層的一部份所界定的間隙。
實施法可以包含一或更多以下特性。該組件的間隔層可以包含金屬氧化物。至少一間隙可以包含介電材料。非保角第二層或第一層的至少之一可以包含層間介電質。間隙可以包含氣隙。該組件的間隔層可以具有該一或更多互連線的相鄰互連線間的間隔的大約一半的厚度。該一或更多互連線可以包含一或更多金屬互連線。非保角第二層可以具有約30奈米至60奈米的厚度。
依據本案的例示實施例,其中有一方法。該方法可以包含:提供第一層,其具有一或更多互連線形成在該第一層中;提供蝕刻停止層,配置在該一或更多互連線及該第一層上;提供犧牲件,配置在該蝕刻停止層上;提供間隔層,配置在該犧牲件與該蝕刻停止層上;移除該間隔層的一部份與該蝕刻停止層的第一部份,其中該間隔層的該部份的移除得到間隔件,以遮罩該蝕刻停止層的第二部份與該第一層的第一部份;移除該第一層的未為該間 隔件遮罩的第二部份,及在該一或更多互連線的兩相鄰互連線間的蝕刻停止層;提供第二蝕刻停止層配置於該一或更多互連線、第一層的第三部份、犧牲件、及間隔件的次組上;提供第二層,配置於第二蝕刻停止層的形成間隙的一部份上;移除該第二層的一部份;及移除該犧牲件以形成孔隙。
實施法可以包含一或更多以下特性。該方法的移除該間隔層的該部份可以更包含:無遮罩蝕刻該間隔層。移除第一層的未為間隔件所遮罩的第二部份與蝕刻停止層可以包含無遮罩蝕刻該第一層的第二部份與該蝕刻停止層。提供犧牲件可以包含以化學氣相沈積(CVD)或電漿加強化學氣相沈積(PECVD)沈積該犧牲件。移除該第二層的該部份可以得到第三層及該方法可以更包含提供第二金屬層配置於該第三層與該間隔件上及至少部份填充該孔隙,其中該第二金屬層被耦接至該一或更多互連線的至少之一。
依據本案的例示實施例,其中有用以製造互連件的方法。該用以製造互連件的方法可以包含:提供第一層,其具有一或更多互連線形成在該第一層中;提供第一直接自組裝(DSA)材料,配置在該一或更多互連線上;提供第二DSA材料,配置在該第一層的第一部份上;移除該第一DSA材料的一部份,以得到一溝渠;提供犧牲件,其配置在該溝渠中及在該一或更多互連線的至少之一上;移除該第一DSA材料與該第二DSA材料;提供蝕刻停止 層,配置在該第一層上,及該一或更多互連線上;提供間隔層,配置在該蝕刻停止層上;移除該蝕刻停止層;移除該間隔層的一部份,其中該間隔層的該部份的移除得到間隔件;移除該第一層未為該間隔件所遮罩的部份;提供蝕刻停止層,配置在該一或更多互連線的第一部份、該第一層的第二部份、該間隔件、及該犧牲件上;提供第二層,配置在該蝕刻停止層的一部份、該犧牲件、及該間隔件上;形成一間隙;移除該第二層的一部份;及移除該犧牲件以得到孔隙。
實施法可以包含一或更多以下特性。移除該間隔層的一部份可以更包含無遮罩蝕刻該間隔層的該部份。移除該第一層未為該間隔件遮罩的部份與未為該間隔件遮罩的蝕刻停止層可以更包含無遮罩蝕刻該第一層的該部份與該蝕刻停止層。間隔層可以藉由化學氣相沈積(CVD)、電漿加強化學氣相沈積(PECVD)、或旋塗加以沈積。該方法可以更包含第二金屬層配置於該第三層與該間隔件上,並至少部份填充該孔隙,其中該第二金屬層係耦接至該一或更多互連線的至少之一。
依據本案的例示實施例,其中可以有一電子裝置。該電子裝置可以包含一組件,其可以包含:第一層,具有一或更多互連線形成在該第一層中;犧牲件,配置在該蝕刻停止層上;間隔件,靠在該犧牲件與該蝕刻停止層的第一部份上,其中該間隔件遮罩該蝕刻停止層的第二部份與該第一層的第二部份;第二層,配置在該第二蝕 刻停止層的第三部份上;及為該一或更多互連線的兩相鄰互連線與第二層的一部份所界定的間隙。
實施法可以包含一或更多以下特性。可以包含電子裝置的該組件的間隔層可以包含金屬氧化物。該至少一間隙可以包含介電材料。非保角第二層或第一層的至少之一可以包含層間介電質。該間隙可以包含氣隙。可以包含電子裝置的該組件的間隔層可以具有大約該一或更多互連線的相鄰互連線間之間隔的一半的厚度。該一或更多互連線可以包含一或更多金屬互連線。該非保角第二層可以具有約30nm至60nm的厚度。各種特性、態樣、及實施例已經在此加以描述。這些容易彼此組合並改變與修改的特性、態樣及實施例將為熟習於本技藝者所了解。因此,本案應被認為包含這些組合、修改與變化。
已經在此使用的用語與表示法係被使用作為描述用語並非限定,在這些用語與表示法的使用上並不想要排除所示與所述特性(或其部份)的任何等效,應了解的是,各種修改在申請專利範圍內仍有可能。其他修改、變化與替代也是可能。因此,申請專利範圍係想要涵蓋所有此等等效。
雖然本案包含各種實施例,包含至少最佳模式,但可以了解很多替代、修改與變更將在前述說明下為熟習於本技藝者所了解。因此,本案想要包含所有這些替代、修改與變更,這些係落在所包含申請專利範圍內。所有於此所揭露與附圖所示者係想要解釋為例示用並非限制 意含。
所述說明使用例子用以揭露本案的包含最佳模式的某些實施例,但也致使熟習於本技藝者能實施本案的某些實施例,包含作出與使用任何設備、裝置或系統並執行任何合併方法與製程。本發明之某些實施例之可專利範圍係界定於申請專利範圍中,並可以包含熟習於本技藝者所發生的其他例子。如果這些例子具有與申請專利範圍用語一樣的結構元件或者它們包含與申請專利範圍用語無實質差異的等效結構元件時,此等其他例子仍想要在申請專利範圍內。
202:第一ILD
204:互連線
208:犧牲件
210:間隔層
212:間隙
206b:第二蝕刻停止層
214:第二ILD

Claims (16)

  1. 一種在半導體中的積體電路(IC)結構,包含:複數個第一互連線;在該等第一互連線的其中一者上並與該等第一互連線的該其中一者接觸的通孔;在該通孔上並與該通孔接觸的第二互連線;在橫向上相鄰該通孔的間隔件;在該間隔件下方的第一區域內的該等第一互連線的第一組之間的介電材料;及包括空氣或其他氣體的間隙,該間隙在與該介電材料相同的平面內並且不在該間隔件下方的第二區域內的該等第一互連線的第二組之間。
  2. 如申請專利範圍第1項之IC結構,其中該間隔件包含金屬氧化物。
  3. 如申請專利範圍第1項之IC結構,還包括在該介電材料與該間隔件之間的第二介電材料,其中該第二介電材料也在該間隙與該第二區域內的該等第一互連線的該第二組之間。
  4. 如申請專利範圍第3項之IC結構,其中該第二介電材料是在該第二區域內與該等第一互連線接觸的保角層。
  5. 如申請專利範圍第1項之IC結構,其中該間隙包含空氣。
  6. 如申請專利範圍第1項之IC結構,其中該間隔件具有大約該等第一互連線的相鄰互連線之間的間隔的一半的厚度。
  7. 如申請專利範圍第3項之IC結構,還包括與該間隔件相鄰且接觸的第三介電材料,且其中該第三介電材料在該間隙上延伸。
  8. 如申請專利範圍第7項之IC結構,其中該第二互連線在該第三介電材料上方,且其中在該第二區域內,該第二介電材料在該等第一互連線的該第二組與該第三介電材料之間。
  9. 一種使用於半導體的方法,包含:在第一介電材料內接收一或更多第一互連線;在該一或更多第一互連線與該第一介電材料上方形成第二介電材料;在該第二介電材料上形成犧牲件;在該犧牲件上與該第二介電材料上形成間隔層;移除該間隔層的一部份以形成間隔件; 移除未被該間隔件遮罩的該第一介電材料的一部份;在該等第一互連線的相鄰互連線間形成包括空氣或其他氣體的間隙;在該等第一互連線上方和該間隙上方形成第三介電材料;將溝渠蝕刻到該第三介電材料中,該溝渠曝露出該犧牲件;移除該犧牲件以形成曝露該等第一互連線之一者的孔隙;及透過將金屬沉積到該溝渠和該孔隙中來形成第二互連線和通孔。
  10. 如申請專利範圍第9項之方法,其中移除該間隔層的該部份更包含無遮罩蝕刻該間隔層的該部份。
  11. 如申請專利範圍第9項之方法,其中形成該犧牲件包含藉由化學氣相沈積(CVD)或電漿加強化學氣相沈積(PECVD)沈積該犧牲件。
  12. 一種製造互連件的方法,該方法包含:提供第一層,具有一或更多互連線形成在該第一層中;提供第一直接自組裝(DSA)材料,配置在該一或更多互連線上; 提供第二DSA材料,配置在該第一層的第一部份上;移除該第一DSA材料的一部份,以得到溝渠;提供犧牲件,配置在該溝渠中並在該一或更多互連線的至少之一互連線上;移除該第一DSA材料與該第二DSA材料;提供蝕刻停止層,配置在該第一層,及該一或更多互連線上;提供間隔層,配置該蝕刻停止層上;移除該蝕刻停止層;移除該間隔層的一部份,其中移除該間隔層的該部份得到間隔件;移除該第一層的未為該間隔件所遮罩的部份;提供蝕刻停止層,配置在該一或更多互連線的第一部份、該第一層的第二部份、該間隔件、及該犧牲件上;提供第二層,配置在該蝕刻停止層的一部份、該犧牲件、及該間隔件上,形成間隙;移除該第二層的一部份;及移除該犧牲件,以得到孔隙。
  13. 如申請專利範圍第12項之方法,其中移除該間隔層的一部份更包含無遮罩蝕刻該間隔層的該部份。
  14. 如申請專利範圍第12項之方法,其中移除該第一層的未為該間隔件所遮罩的部份與未為該間隔件所遮罩的該蝕 刻停止層更包含無遮罩蝕刻該第一層的該部份與該蝕刻停止層。
  15. 如申請專利範圍第12項之方法,其中該間隔層係藉由化學氣相沈積(CVD)、電漿加強化學氣相沈積(PECVD)或旋塗加以沈積。
  16. 如申請專利範圍第12項之方法,更包含提供第二金屬層,配置在該第三層與該間隔件上並至少部份填充該孔隙,其中該第二金屬層被耦接至該一或更多互連線的至少之一互連線。
TW106128145A 2016-09-30 2017-08-18 在半導體中的積體電路(ic)結構、使用於半導體的方法及製造互連件的方法 TWI770051B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
PCT/US2016/054818 WO2018063337A1 (en) 2016-09-30 2016-09-30 Vias and gaps in semiconductor interconnects
??PCT/US16/54818 2016-09-30
WOPCT/US16/54818 2016-09-30

Publications (2)

Publication Number Publication Date
TW201828440A TW201828440A (zh) 2018-08-01
TWI770051B true TWI770051B (zh) 2022-07-11

Family

ID=61763432

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106128145A TWI770051B (zh) 2016-09-30 2017-08-18 在半導體中的積體電路(ic)結構、使用於半導體的方法及製造互連件的方法

Country Status (3)

Country Link
US (1) US10916499B2 (zh)
TW (1) TWI770051B (zh)
WO (1) WO2018063337A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10629484B1 (en) * 2018-11-01 2020-04-21 Applied Materials, Inc. Method of forming self-aligned via
TWI755722B (zh) * 2020-05-05 2022-02-21 力晶積成電子製造股份有限公司 半導體結構及其製造方法
US11587873B2 (en) * 2020-05-06 2023-02-21 Applied Materials, Inc. Binary metal liner layers
US12012473B2 (en) 2020-06-02 2024-06-18 Intel Corporation Directed self-assembly structures and techniques
EP4002437B1 (en) * 2020-09-22 2023-08-02 Changxin Memory Technologies, Inc. Method of forming a contact window structure
US11929280B2 (en) 2020-09-22 2024-03-12 Changxin Memory Technologies, Inc. Contact window structure and method for forming contact window structure
US12002678B2 (en) 2020-09-25 2024-06-04 Intel Corporation Gate spacing in integrated circuit structures
US11488840B2 (en) 2021-01-11 2022-11-01 Nanya Technology Corporation Wafer-to-wafer interconnection structure and method of manufacturing the same
US20230343698A1 (en) * 2022-04-26 2023-10-26 Samsung Electronics Co, Ltd. Interconnect structure of semiconductor device including metal pattern or via structure with sidewall spacer structure
TWI814409B (zh) * 2022-05-30 2023-09-01 華邦電子股份有限公司 半導體結構的形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7772706B2 (en) * 2007-12-27 2010-08-10 Intel Corporation Air-gap ILD with unlanded vias
US20150187696A1 (en) * 2013-12-30 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure and Method of Forming the Same
TW201712837A (en) * 2015-06-26 2017-04-01 Intel Corp Bottom-up selective dielectric cross-linking to prevent via landing shorts

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090072409A1 (en) * 2007-09-14 2009-03-19 International Business Machines Corporation Interconnect Structures Incorporating Air-Gap Spacers
US9601367B2 (en) 2013-03-25 2017-03-21 International Business Machines Corporation Interconnect level structures for confining stitch-induced via structures
US9390965B2 (en) * 2013-12-20 2016-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap forming techniques for interconnect structures
KR102247918B1 (ko) 2014-04-07 2021-05-06 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9431294B2 (en) * 2014-10-28 2016-08-30 GlobalFoundries, Inc. Methods of producing integrated circuits with an air gap
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7772706B2 (en) * 2007-12-27 2010-08-10 Intel Corporation Air-gap ILD with unlanded vias
US20150187696A1 (en) * 2013-12-30 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure and Method of Forming the Same
TW201712837A (en) * 2015-06-26 2017-04-01 Intel Corp Bottom-up selective dielectric cross-linking to prevent via landing shorts

Also Published As

Publication number Publication date
TW201828440A (zh) 2018-08-01
US10916499B2 (en) 2021-02-09
US20200027827A1 (en) 2020-01-23
WO2018063337A1 (en) 2018-04-05

Similar Documents

Publication Publication Date Title
TWI770051B (zh) 在半導體中的積體電路(ic)結構、使用於半導體的方法及製造互連件的方法
US9972589B1 (en) Integrated circuit package substrate with microstrip architecture and electrically grounded surface conductive layer
TWI687977B (zh) 半導體裝置與其形成方法
KR102596788B1 (ko) 팬 아웃 스케일링을 위한 필러 및 비아 접속부를 구비한 고밀도 상호접속 층을 가진 패키지 기판
US8298943B1 (en) Self aligning via patterning
US9799721B2 (en) Integrated magnetic core inductor and methods of fabrications thereof
US7081408B2 (en) Method of creating a tapered via using a receding mask and resulting structure
US11502402B2 (en) Integrated patch antenna having insulating substrate with antenna cavity and high-K dielectric
TW201921498A (zh) 選擇性氧化鋁蝕刻的使用
US20220051896A1 (en) Selective metal removal for conductive interconnects in integrated circuitry
US9576852B2 (en) Integrated circuits with self aligned contacts and methods of manufacturing the same
US20190198337A1 (en) Semiconductor device and semiconductor device manufacturing method
TW201721803A (zh) 防止通路擊穿的無遮罩氣隙
TW201342524A (zh) 多層元件及其製作方法
TWI742138B (zh) 半導體互連中的通孔及間隙
US9269610B2 (en) Pattern between pattern for low profile substrate
CN106328603A (zh) 封装件结构及其形成方法
US10043824B2 (en) Semiconductor device including a vacuum gap and method for manufacturing the same
US11894270B2 (en) Grating replication using helmets and topographically-selective deposition
JP2017092238A (ja) 半導体基板の製造方法
US20220076943A1 (en) Semiconductor structure and method for preparing the same
TWI641082B (zh) 半導體裝置及其形成方法
KR20230019054A (ko) 서브트랙티브 금속 에칭을 이용한 2차원 자기-정렬 방식
US8476160B2 (en) Sublithographic patterning employing image transfer of a controllably damaged dielectric sidewall
US20080157378A1 (en) Semiconductor device, and method of manufacturing same