TWI687977B - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TWI687977B
TWI687977B TW107139301A TW107139301A TWI687977B TW I687977 B TWI687977 B TW I687977B TW 107139301 A TW107139301 A TW 107139301A TW 107139301 A TW107139301 A TW 107139301A TW I687977 B TWI687977 B TW I687977B
Authority
TW
Taiwan
Prior art keywords
layer
mask
forming
opening
target
Prior art date
Application number
TW107139301A
Other languages
English (en)
Other versions
TW201931440A (zh
Inventor
彭泰彥
陳文彥
陳志壕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201931440A publication Critical patent/TW201931440A/zh
Application granted granted Critical
Publication of TWI687977B publication Critical patent/TWI687977B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供半導體裝置與半導體裝置的形成方法。方法包括形成第一遮罩層於目標層上,形成多個間隔物於第一遮罩層上;以及形成第二遮罩層於間隔物上,並圖案化第二遮罩層以形成第一開口。在平面圖中的第一開口的主要軸的延伸方向,垂直於間隔物的主要軸。方法亦包括沉積犧牲材料於第一開口中,圖案化犧牲材料,採用間隔物與圖案化的犧牲材料並蝕刻第一遮罩層,採用蝕刻的第一遮罩層並蝕刻目標層以形成多個第二開口於目標層中,以及將導電材料填入目標層中的第二開口。

Description

半導體裝置與其形成方法
本發明實施例關於半導體裝置與其形成方法,更特別關於改善半導體裝置的電阻-電容效能及/或減少裝置所需的尺寸。
隨著半導體裝置的尺寸縮小,多種製程技術如光微影可用以形成更小尺寸的裝置。舉例來說,隨著閘極密度增加,裝置中多種結構(如上方的內連線結構)的製程可與縮小的裝置結構相容成一體。然而隨著半導體製程的容忍度縮小,這些裝置的製程已接近甚至超過光微影設備的理論極限。當半導體裝置持續縮小時,裝置的單元之間所需的空間(如間距)小於習知光罩與光微影設備所能達到的間距。
本發明一實施例提供之半導體裝置的形成方法,包括:形成第一遮罩層於目標層上;形成多個間隔物於第一遮罩層上;形成第二遮罩層於間隔物上,並圖案化第二遮罩層以形成第一開口,其中在平面圖中的第一開口的主要軸的延伸方向,垂直於間隔物的主要軸;沉積犧牲材料於第一開口中;圖案化犧牲材料;採用間隔物與圖案化的犧牲材料,並蝕刻第一遮罩層;採用蝕刻的第一遮罩層,並蝕刻目標層以形成多個第 二開口於目標層中;以及將導電材料填入目標層中的第二開口。
本發明一實施例提供之半導體裝置的形成方法,包括:形成第一蓋層於第二蓋層上,第二蓋層位於第一遮罩層上,且第一遮罩層位於介電層上;圖案化第一開口於第一蓋層中,且第一開口具有目標寬度;將第一材料填入第一開口,以形成遮罩單元;形成第二遮罩層於第一蓋層上,並圖案化第二遮罩層以形成第一遮罩,且第一遮罩包含多個第二開口;採用第一遮罩與遮罩單元,並蝕刻第一蓋層與第二蓋層,其中遮罩單元避免第二蓋層的一部份被蝕刻;經由第二蓋層圖案化第一遮罩層,以形成第二遮罩;經由第二遮罩圖案化介電層,以露出介電層下的導電結構;以及形成導電線路於介電層中,且導電線路接觸導電結構。
本發明一實施例提供之半導體裝置,包括:介電層;電源軌,延伸穿過介電層,其中電源軌的側壁包括一或多個結點;第一組內連線線路,位於電源軌的第一側上的介電層中;以及第二組內連線線路,位於電源軌的第一側上的介電層中,其中結點的第一結點橫向地位於第一組內連線線路與第二組內連線線路之間。
A-A’:剖線
CD:切點寬度
D1、D2、V、Z:距離
EN、W、W2:寬度
L1、L2、L3:長度
P1、P5:線路間距
P2、P3:線路切點間距
P4:間距
S:最大距離
T1、T2、T3、T4、T5:厚度
W1:最小寬度
100、400:半導體裝置
102、2316、2416、2516:目標層
104、402:半導體基板
106:抗反射塗層
108、408:硬遮罩層
110、404、406:介電層
112、126、142、422:底層
114、128、144、424:中間層
116、132、148、426:上側層
120、130、140:遮罩層
122、134、146、150、418、428、429:開口
124:間隔物
136:犧牲材料
162:襯墊層
164、430:導電材料
200、500:方法
300:處理系統
302:處理器
304:匯流排
306:顯示器
308:導電線路
312:第一末端
316、318、328、334、416:通孔
323:第二末端
324、330:虛擬佈局
326、332:物理導電線路
336、338:線路末端
320、340:線路切點
341:計畫中心軸
342、345:中心軸
343:計畫線路切點
347:實際線路切點
410:第二蓋層
412:蝕刻停止層
414:第一蓋層
415:膜堆疊
420:遮罩
421:遮罩材料
322、440:部份
442:區域
444、444A:導電線路
168、170、172、174、176、178、180、182、184、186、188、190、502、504、506、508、510、512、514、516、518、520:步驟
2300、2400、2500、2900:電源軌與金屬島結構
2302、2402、2502:電源軌
2312、2412、2512:金屬島
2414、2514:結點
2408、2508:無接點區
2304、2306、2504、2506:組
圖1、2、3、4、5、6、7、8、9、10、與11係一些實施例中,形成半導體裝置的多種中間階段的剖視圖。
圖12A、12B、13A、13B、14A、14B、15A、15B、16A、 16B、17A、17B、18A、18B、19A、19B、20A、20B、21A、21B、22A、與22B係一些實施例中,形成半導體裝置的多種中間階段的剖視圖與平面圖。
圖23與24係一些實施例中,形成半導體裝置的多種中間階段的剖視圖。
圖25A與25B係一些實施例中,形成半導體裝置的中間階段的剖視圖。
圖26係一些實施例中,方法的流程圖。
圖27、28、29、與30係一些實施例中,半導體裝置的平面圖。
圖31係一些實施例中,製程系統的方塊圖。
圖32係一些實施例中,半導體裝置的平面圖。
圖33A與33B係一些實施例中,半導體裝置與虛擬佈局的平面圖。
圖34至43係一些實施例中,形成半導體裝置的中間階段的剖視圖。
圖44A、44B、45A、與45B係一些實施例中,形成半導體裝置的中間階段之剖視圖與平面圖。
圖46與47係一些實施例中,形成半導體裝置的中間階段的剖視圖。
圖48係一些實施例中,方法的流程圖。
可以理解的是,下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以 簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
一些實施例提供半導體裝置與形成半導體裝置的方法。在一些實施例中,採用光微影進行圖案化製程以形成間隔物。圖案化的犧牲材料(有時稱作反相材料)形成於間隔物上。圖案化的犧牲材料可包含無機材料,且其形成方法可為圖案化開口於遮罩中(即開口露出圖案化線路的選定區域),並沉積無機材料於開口中。無機材料的沉積方式可採用合適的沉積膜製程,比如化學氣相沉積、物理氣相沉積、原子層沉積、或類似方法。在形成犧牲材料之後,形成開口於犧牲材料中以圖案化犧牲材料。間隔物與犧牲材料用於圖案化下方的遮罩層,接著採用圖案化的遮罩層圖案化目標層。下方的目標層可為用於多種目的的層狀物。舉例來說,目標層可為低介電常數的介電層,並採用遮罩層圖案化開口於其中。接著可將導電材料填入低介電常數的介電層的開口,以定義內連線線路,且內連線 線路具有圖案化的犧牲材料所定義的線路切點。採用簡化的圖案化製程,可讓內連線線路具有細小間距、一或多個線路切點具有細小間距、及/或內連線線路與線路切點具有細小間距。舉例來說,可採用單一圖案化製程圖案化目標層,以形成細小間距的內連線線路,其具有一或多個線路切點。由於目標層的圖案化方法採用單一圖案化製程及/或此處所述的簡化製程,可增加圖案準確性並降低製程成本。舉例來說,若以兩個或更多不同製程蝕刻目標層102,由於難以準確對準蝕刻遮罩與所需蝕刻的部份,因此實際蝕刻至目標層102中的圖案可能不同於所需圖案。若採用單一蝕刻步驟及/或此處所述的簡化圖案化製程蝕刻目標層102,可能增加準確性。如此一來,可形成具有多個線路切點的多個內連線線路,其具有相同尺寸或實質上相同尺寸,這對內連線線路的電阻具有較多控制。此外由於製程簡化,因此可減少製作成本。
在一些實施例中,此處所述的製程可用於形成較窄的電源軌、實質上相同尺寸的金屬島結構、及/或與其他組金屬島良好對準的一組金屬島。用於形成電源軌與金屬島的製程可能產生結點(kink)於電源軌中。結點可能降低連接至電源軌的接點可信度。為避免結點,連接至電源軌的接點可形成於無接點區之外,而結點可存在於電源軌的邊緣。
在一些實施例中,內連線線路可具有一或多個線路切點,其可為內連線線路的兩個相鄰部份之間的物理分隔。線路切點的形成方法,可為形成內連線線路之後,物理移除內連線線路的一部份。另一方面,由於形成內連線線路所用的製 程,形成內連線線路之後即存在線路切點。在一些裝置中,多個相鄰的內連線線路可在內連線線路的中間部份中的相同位置具有線路切點。線路切點的形成方式,可在切割線路之後保留一或多個內連線線路的不需要的部份。舉例來說,線路切點可形成於一組相鄰內連線線路的相同位置中。一組相鄰的內連線線路中的一內連線線路可連接至通孔,其位置與線路切點形成的線路邊緣之間的距離超過最小距離。特定設計不必或不需線路切點與通孔所形成的線路邊緣之間的內連線線路的部份。不從半導體裝置移除不必要或不需要的內連線線路可能不利,因為可能劣化裝置的電阻-電容效能,及/或不必要的線路邊緣所消耗的空間可能會不合需求地增加半導體裝置的尺寸或占用空間。在一些實施例中,可自形成的半導體裝置移除線路末端,以改善半導體裝置的電阻-電容效能及/或減少裝置所需的尺寸或占用空間。
圖1至25B係一些例示性實施例中,形成結構於半導體裝置100上的目標層102中的結構之中間階段的剖視圖及/或平面圖。在本發明一些實施例中,目標層102為欲形成圖案於其中的層狀物。在一些實施例中,半導體裝置100為較大晶圓已進行製程的部份。在這些實施例中,在形成半導體裝置100的多種結構(如主動裝置、內連線結構、與類似物)之後,可沿著晶圓的個別晶粒之間的切割線區進行切割製程,以自晶圓分隔(又稱作切割)個別的半導體晶粒。
在一些實施例中,目標層102為金屬間介電層。在這些實施例中,目標層102包含低介電常數的介電材料,其介 電常數低於3.8、低於3.0、或低於約2.5。在其他實施例中,目標層102為具有高介電常數(大於3.8)之介電材料的金屬間介電層。實施例的製程可圖案化開口於目標層102中,且導電線路及/或通孔可形成於開口中如下述。
在一些實施例中,目標層102為半導體基板。半導體基板的組成可為半導體材料如矽、矽鍺、或類似物。在一些實施例中,半導體基板為結晶的半導體基板,如結晶矽基板、結晶碳化矽基板、結晶矽鍺基板、III-V族半導體化合物基板、或類似物。半導體基板可由實施例的製程圖案化,接著進行後續製程步驟以形成淺溝槽隔離區於基板中。半導體鰭狀物可自淺溝槽隔離區之間凸起。可形成源極/汲極區於半導體鰭狀物中,並形成閘極介電層與閘極層於鰭狀物的通道區上,以形成半導體裝置如鰭狀場效電晶體。
在一些實施例中,目標層102為導電層如金屬層或多晶矽層,其可毯覆性地沉積成層。可對目標層102進行實施例的圖案化製程,以圖案化鰭狀場效電晶體的半導體閘極及/或虛置閘極。採用實施例的製程圖案化導電的目標層102,可減少相鄰的閘極之間的空間並增加閘極密度。
在圖1中,包含目標層102的膜堆疊形成於半導體裝置100中。在一些實施例中,目標層102可形成於半導體基板104上。半導體基板104的組成可為半導體材料如摻雜或未摻雜的矽,或絕緣層上半導體基板的主動層。半導體基板104可包含其他半導體材料如鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、 磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。亦可採用其他基板如多層基板或組成漸變基板。裝置(未圖示)如電晶體、二極體、電容、電阻、或類似物可形成於半導體基板104的主動表面之中及/或之上。在其他實施例中,目標層102為用於形成鰭狀場效電晶體的半導體基板時,可省略半導體基板104。
雖然圖1的目標層102物理接觸半導體基板104,但在目標層102與半導體基板104之間可夾設任何數目的中間層。這些中間層可包含低介電常數的介電物之層間介電層,其具有接點插塞形成其中;具有導電線路及/或通孔形成其中的其他金屬間介電層;一或多個中間層(如蝕刻停止層、黏著層、或類似物);上述之組合;或類似層。舉例來說,視情況形成的蝕刻停止層(未圖示)可直接位於目標層102下。在目標層102上進行後續的蝕刻製程時,蝕刻停止層可停止蝕刻製程。用於形成蝕刻停止層的材料與製程可取決於目標層102的材料。在一些實施例中,蝕刻停止層的組成可為氮化矽、氮氧化矽、碳氮氧化矽、碳化矽、碳氧化矽、碳氮化矽、氧化矽、其他介電物、上述之組合、或類似物,且其形成方法可為電漿增強化學氣相沉積、低壓化學氣相沉積、物理氣相沉積、或類似方法。此外亦可採用其他材料與製程。
膜堆疊更包含抗反射塗層106於目標層102上。在圖案化光阻層時,抗反射塗層106有助於曝光與聚焦在光阻層上(如下述)。在一些實施例中,抗反射塗層106的組成可為氮氧化矽、碳化矽、摻雜氧與氮的材料、或類似物。在一些實施例 中,抗反射塗層106實質上不含氮,且可由氧化物組成。在這些實施例中,抗反射塗層106亦可稱作無氮抗反射塗層。在一些實施例中,抗反射塗層106的材料組成可擇以避免反射。抗反射塗層106的形成方法可為電漿增強化學氣相沉積、高密度電漿沉積、或類似方法。此外亦可採用其他製程與材料。
膜堆疊亦包含硬遮罩層108形成於抗反射塗層106與目標層102上。硬遮罩層108的材料組成可包括金屬(如氮化鈦、鈦、氮化鉭、鉭、摻雜金屬的碳化物如碳化鎢、或類似物)及/或非金屬(如氮化矽、氮化硼、碳化矽、或類似物)。在一些實施例中,硬遮罩層108的材料組成可取決於蝕刻選擇性,比如相對於抗反射塗層106及/或目標層102的蝕刻選擇性。硬遮罩層108的形成方法可為物理氣相沉積、射頻物理氣相沉積、原子層沉積、或類似方法。此外可採用其他製程與材料。在後續製程步驟中,採用一實施例的圖案化製程以形成圖案於硬遮罩層108上。接著以硬遮罩層108作為蝕刻遮罩並用於蝕刻目標層102,以將硬遮罩層108的圖案轉移至目標層102。
在一些實施例中,膜堆疊亦包含形成於硬遮罩層108上的介電層110。在後續製程中,介電層110可用於形成多個間隔物,其可用於圖案化目標層(見圖18A、18B、19A、與19B)。介電層110的組成可為氧化矽,比如硼磷矽酸鹽四乙氧基矽烷或未摻雜的四乙氧基矽烷之氧化物,且其形成方法可為化學氣相沉積、原子層沉積、旋轉塗佈、或類似方法。此外亦可採用其他製程與材料。
三層的遮罩層120形成於介電層110上的膜堆疊 上。三層的遮罩層120包含底層112、底層112上的中間層114、與中間層114上的上側層116。上側層116的組成可為光阻如光敏材料,其包含有機材料且可為正型光敏材料或負型光敏材料。在一些實施例中,底層112的組成可為聚合物。底層112亦可為底抗反射塗層或灰化移除介電層(如非晶碳)。中間層114可包含無機材料,其可為氮化物如氮化矽、氮氧化物如氮氧化矽、氧化物如氧化矽、或類似物。中間層114相對於上側層116與底層112可具有高蝕刻選擇性。三層的遮罩層120之多種層可毯覆性地依序沉積,且沉積方法可為旋轉塗佈製程。此外亦可採用其他製程與材料。雖然此處所述的遮罩層120為三層,但其他實施例的遮罩層120可為單層遮罩層或雙層遮罩層(比如只包含底層112與上側層116,而無中間層114)。遮罩層的種類(如單層遮罩層、雙層遮罩層、或三層遮罩層)取決於圖案化介電層110所用的光微影製程。以極紫外線微影製程為例,可採用單層遮罩層或雙層遮罩層。
在一些實施例中,採用光微影製程圖案化上側層116。接著以上側層116作為蝕刻遮罩,以圖案化中間層114(見圖2)。接著以中間層114作為蝕刻遮罩,以圖案化底層112。接著採用圖案化的底層112以圖案化介電層110(見圖3)。藉由採用三層光阻(如三層的遮罩層120)並蝕刻目標層(如介電層110),可改善目標層(如介電層110)中定義的細小間距圖案。
採用任何合適的光微影製程圖案化上側層116,以形成開口122於其中。舉例來說,在圖案化開口122於上側層116中時,可將光罩(未圖示)置於上側層116上。接著在光罩遮蔽上 側層116的一些區域時以射線束曝光上側層116,且射線束包括紫外線或準分子雷射(如氟化氪準分子雷射的248nm光束、氟化氬準分子雷射的193nm光束、氟氣準分子雷射的157nm光束、或類似射線)。頂光阻層的曝光方法可採用浸潤式微影系統或極紫外線微影系統,以增加解析度並減少可達的最小間距。可進行一或多道曝光步驟。可進行烘烤或硬化步驟以硬化上側層116,並可採用顯影劑移除上側層116的曝光部份或未曝光部份,端視採用的是正型光阻或負型光阻。開口122在平面圖中可為帶狀(未圖示)。開口122的最小寬度W1可為約19nm。亦可實施開口122的其他寬度。
如圖2所示,在圖案化上側層116之後,可進行蝕刻製程以將上側層116的圖案轉移至中間層114。蝕刻製程為非等向,因此上側層116中的開口122延伸穿過中間層114,且中間層114中的開口尺寸大致等於或稍微小於上側層116中的開口尺寸。形成的結構如圖2所示。
如圖3所示,可進行蝕刻製程以將中間層114的圖案轉移至底層112,以延伸開口122穿過底層112。蝕刻底層112的製程為非等向,因此中間層114中的開口122延伸穿過底層112,且底層112中的開口尺寸大致等於或稍微小於中間層114中的開口尺寸。在蝕刻底層112時,可能消耗上側層116。
在圖4中,採用蝕刻製程將底層112的圖案轉移至介電層110。蝕刻製程為非等向,因此底層112中的開口122延伸穿過介電層110,且介電層110中的開口尺寸大致等於或稍微小於底層112中的開口尺寸。因此介電層110的保留部份(比如 開口122之間的介電層110的部份)可定義間隔物124。在蝕刻介電層110時,可消耗中間層114並消耗至少部份的底層112。在蝕刻介電層110的步驟未完全消耗底層112的實施例中,可進行灰化製程以移除殘留的底層112。保留的結構如圖5所示。在平面圖中,間隔物124之間的間隙定義的區域中,將形成導電線路於目標層102中。間隔物124的線路間距P1可大於或等於約30nm。
在圖6中,形成遮罩層130於圖案化的介電層110上。遮罩層130可包含底層126、中間層128、與上側層132。上側層132的組成可為光阻(如光敏材料),其可包含有機材料。上側層132可為正型光敏材料或負型光敏材料。在一些實施例中,底層126可為聚合物、底抗反射塗層、灰化移除介電層、或類似物。中間層128可包含無機材料,其可為氮化物如氮化矽、氮氧化物如氮氧化矽、氧化物如氧化矽、或類似物。中間層128相對於上側層132與底層126可具有高蝕刻選擇性。遮罩層130的多種層狀物其毯覆性的依序沉積方法可為旋轉塗佈製程。此外亦可採用其他製程與材料。雖然此處說明三層的遮罩層130,但其他實施例的遮罩層130可為單層遮罩層或雙層遮罩層(比如只包含底層126與上側層132,而不具有中間層128)。
在圖7中,採用光微影製程圖案化上側層132以形成開口134。接著採用上側層132作為蝕刻遮罩以圖案化中間層128(見圖7)。接著以中間層128作為蝕刻遮罩以圖案化底層126,再以底層126定義後續形成的犧牲材料之周邊(見圖10與11)。
如圖7所示,採用任何合適的光微影製程圖案化上側層132,以形成開口134於其中。舉例來說,在圖案化開口134於上側層132中時,可將光罩(未圖示)置於上側層132上。接著在光罩遮蔽上側層132的一些區域時以射線束曝光上側層132,且射線束包括紫外線或準分子雷射(如氟化氪準分子雷射的248nm光束、氟化氬準分子雷射的193nm光束、氟氣準分子雷射的157nm光束、或類似射線)。頂光阻層的曝光方法可採用浸潤式微影系統或極紫外線微影系統,以增加解析度並減少可達的最小間距。可進行單一曝光步驟或多重曝光步驟。可進行烘烤或硬化步驟以硬化上側層132,並可採用顯影劑移除上側層132的曝光部份或未曝光部份,端視採用正型光阻或負型光阻。
如圖8所示,在圖案化上側層132之後,以蝕刻製程將上側層132的圖案轉移至中間層128。蝕刻製程為非等向,因此上側層132中的開口134延伸穿過中間層128,且中間層128中的開口尺寸大致等於或略小於上方層132中的開口尺寸。上述步驟形成的結構如圖8所示。
如圖9所示,可進行蝕刻製程以將中間層128的圖案轉移至底層126,以延伸開口134穿過底層126。蝕刻底層126的蝕刻製程為非等向,因此中間層128中的開口延伸穿過底層126,且底層126中的開口尺寸大致等於或稍微小於中間層128中的開口尺寸。在蝕刻底層126時,可消耗上側層132。在圖案化底層126之後,開口134可露出一或多個保留的介電層110所組成的間隔物124。開口134亦可露出延伸於相鄰的間隔物124 之間的硬遮罩層108之部份。
在圖10中,形成犧牲材料136於開口134中。後續製程將圖案化犧牲材料,且圖案化的犧牲材料可用於定義欲形成於目標層102中的兩個相鄰的導電線路之間的線路切點,如下詳述。雖然圖10顯示犧牲材料形成於單一開口134中,一些實施例的開口134的數目可大於一個,且犧牲材料可形成於多個開口134中(比如形成額外的線路切點)。在一些實施例中,犧牲材料136可沉積於開口134中的間隔物124與硬遮罩層108的露出部份上。犧牲材料可沿著中間層128的側壁與上表面形成,或沿著底層126的上表面形成(若已移除中間層128)。
在多種實施例中,犧牲材料136包含無機材料。舉例來說,犧牲材料136可為無機氧化物,比如氧化鈦、氧化鉭、氧化矽、或類似物。可採用其他材料如氮化矽、碳化矽、金屬氮化物、金屬氧化物、或類似物。犧牲材料136的選擇考量(至少部份考量)犧牲材料136與硬遮罩層108、中間層128、及/或底層126所用之材料之間的蝕刻選擇性。在一些實施例中,無機材料為低溫氧化物。此處所述的用語「低溫氧化物」指的是以相對較低的製程溫度(如200℃或更低)沉積的氧化物。目前已知在這些實施例中,低溫沉積製程不會對底層126造成明顯損傷。此外亦可採用其他材料。
犧牲材料136的形成方法可採用半導體膜的沉積製程,比如化學氣相沉積、物理氣相沉積、原子層沉積、旋轉塗佈、或類似方法。此外亦可採用其他製程。半導體膜的沉積製程可為順應性的製程,其成膜於開口134的側壁與下表面 上。隨著沉積持續進行,開口134之兩側側壁上的犧牲材料136之部份可能合併以填滿開口。半導體膜的沉積製程可能導致犧牲材料136的上表面不平坦。
接著在圖11中,進行平坦化製程(如化學機械研磨、乾蝕刻、上述之組合、或類似方法)以移除開口134之外的犧牲材料136之多餘部份。一些實施例可持續平坦化製程,直到移除中間層128以及與中間層128相鄰的犧牲材料之部份。
接著採用灰化製程移除中間層128與底層126。在移除底層126之後,保留的犧牲材料136覆蓋硬遮罩層108與間隔物124的部份。移除底層126可露出其他間隔物124與硬遮罩層108的部份。保留的結構如圖12A(剖視圖)與圖12B(平面圖)所示。圖12A的剖視圖沿著圖12B的平面中的剖線A-A’。如圖12B所示的平面圖,犧牲材料136形成的矩形之主要軸其延伸方向,實質上垂直於間隔物124的方向。如此一來,犧牲材料136定義的線路切點實質上垂直於相鄰的間隔物124之間的凹陷中的導電線路,造成覆蓋製程容忍度較寬。
如圖9至圖12A與12B所示,犧牲材料136的厚度可至少部份取決於底層126的厚度。舉例來說,減少底層126的厚度亦可減少犧牲材料136的厚度。如下所述,若一些實施例中的犧牲材料136之厚度較薄,則不必進行後續的平坦化製程。如此一來,可減少製程成本與時間。
在一些實施例中,圖12A與12B所示的犧牲材料136的帶狀物可延伸,比如延伸線路切點至額外的導電線路。雖然圖12B僅顯示單一的犧牲材料136之帶狀物,一些實施例可採用 與此處所述的製程相同或類似的方法形成額外的犧牲材料136之帶狀物,以形成額外的線路切點。在一些實施例中,可形成多個犧牲材料136的帶狀物。在平面圖中,每一犧牲材料136的帶狀物延伸的方向平行於其他犧牲材料136的帶狀物,並垂直於間隔物124的延伸方向。
如圖13A與13B所示,形成遮罩層140於間隔物124、硬遮罩層108、與犧牲材料136上。遮罩層140可包含底層142、中間層144、與上側層148。雖然圖示的遮罩層140為三層,一些實施例中的遮罩層140可為單層遮罩層或雙層遮罩層如前述。在一些實施例中,底層142可完全覆蓋犧牲材料136,且沿著露出的間隔物與硬遮罩層108延伸,如圖14所示。
在一些實施例中,上側層148的組成可為光阻(如光敏材料),其可包含有機材料。上側層148的組成可為正型光敏材料或負型光敏材料。在一些實施例中,底層可為聚合物、底抗反射塗層、灰化移除介電層、或類似物。中間層144可包含無機材料,其可為氮化物如氮化矽、氮氧化物如氮氧化矽、氧化物如氧化矽、或類似物。中間層144相對於上側層148與底層142可具有高蝕刻選擇性。三層的遮罩層140之多種層狀物可毯覆性地依序沉積,且沉積方法可採用旋轉塗佈製程。此外亦可採用其他製程與材料。
在圖14A與14B中,採用光微影製程圖案化上側層148。接著以上側層148作為蝕刻遮罩以圖案化中間層144(見圖15A與15B)。接著採用中間層144作為蝕刻遮罩以圖案化底層142(見圖16A與16B)。
採用任何合適的光微影製程圖案化上側層148,以形成開口146於其中。舉例來說,可將光罩(未圖示)置於上側層148上。接著在光罩遮蔽上側層148的一些區域時以射線束曝光上側層148,且射線束包括紫外線或準分子雷射(如氟化氪準分子雷射的248nm光束、氟化氬準分子雷射的193nm光束、氟氣準分子雷射的157nm光束、或類似射線)。頂光阻層的曝光方法可採用浸潤式微影系統或極紫外線微影系統,以增加解析度並減少可達的最小間距。可進行單一曝光步驟或多重曝光步驟。可進行烘烤或硬化步驟以硬化上側層148,並可採用顯影劑移除上側層148的曝光部份或未曝光部份,端視採用的是正型光阻或負型光阻。在一些實施例中,開口146可具有寬度W2,其可為約50nm。
如圖15A與15B所示,在圖案化上側層148之後,可由蝕刻製程將上側層148的圖案轉移至中間層144。蝕刻製程為非等向,因此上側層148中的開口146延伸穿過中間層144,且中間層144中的開口尺寸大致等於或稍微小於上側層148中的開口尺寸。上述形成的結構如圖15A與15B所示。
如圖16A與16B所示,可進行蝕刻製程以將中間層144的圖案轉移至底層142與犧牲材料136,以延伸開口146穿過底層142與犧牲材料136。蝕刻底層142的製程為非等向,因此中間層144中的開口146延伸穿過底層142,且底層142中的開口尺寸大致等於或稍微小於中間層144中的開口尺寸。蝕刻製程可包含採用甲烷、氯氣、六氟化硫、碳氫氟化物、氦氣、三氯化硼、氮氣、氧氣、氫氣、氟化碳、氫氟化碳、三氟化氮、氬 氣、四氯化矽、上述之組合、或類似物的蝕刻。
蝕刻底層142亦會消耗上側層148。在圖案化底層142之後,開口146可露出保留的介電層110組成的一或多個間隔物124之側壁。開口146亦可露出延伸於相鄰的間隔物124之間的硬遮罩層108之部份。在一些實施例中,亦可露出間隔物124的其他部份如上表面,或硬遮罩層108的其他部份。
接著如圖17A與17B所示,移除底層142與中間層144的殘留部份,且移除方法可採用灰化製程。如圖17B的平面圖所示,圖案化犧牲材料136以形成兩個分開的部份,且每一部份至少部份地位於兩個相鄰的間隔物之間的間隙上。兩個分開的部份各自延伸的方向,垂直於間隔物124延伸的方向。
接著如圖18A與18B所示,進行平坦化製程以移除犧牲材料136的多餘部份,並平坦化犧牲材料136的上表面以與間隔物124的上表面齊平。在一些實施例中,平坦化製程包括一或多道蝕刻製程。舉例來說,乾蝕刻製程可採用甲烷、氯氣、六氟化硫、碳氫氟化物、氦、四氯化矽、溴化氫、氮氣、氧氣、氫氣、氟化碳、氫氟化碳、三氟化氮、氬氣、或類似物。在一些實施例中,可採用濕蝕刻製程,比如採用稀氫氟酸作為蝕刻化學劑。在其他實施例中,可採用研磨製程如化學機械研磨。 形成的結構如圖18A與18B所示。圖18A的剖視圖沿著圖18B的平面圖中的剖線A-A’。如圖18A與18B所示,平坦化犧牲材料產生多個犧牲材料的分開部份,而每一分開部份位於兩個相鄰的間隔物之間的間隙上。在一些實施例中,每一分開部份位於欲形成的導電線路之兩個相鄰部份之間的線路切點所在的區 域上。
在一些實施例中,未進行圖18A與18B所示的平坦化製程。在未進行圖18A與18B所示的平坦化製程之實施例中,進行後續製程時的犧牲材料136之上表面偏離間隔物124之上表面。舉例來說,在搭配圖9至圖12A與12B說明的前述內容中,一些實施例可控制底層126的厚度,以控制犧牲材料136的厚度(如圖9至圖12A與12B所示)。由於欲形成的底層126相對薄,當犧牲材料136相對薄時,可不必進行圖18A與18B所示的平坦化製程。如此一來,可減少製程成本及/或製程時間。
圖19A與19B至圖22A與22B顯示圖案化硬遮罩層108與目標層102的步驟。在圖19A與19B至圖22A與22B中,圖式編號末尾為A者為沿著圖式編號末尾為B者的平面圖中剖線A-A’的剖視圖。
如圖19A與19B所示,採用間隔物124與犧牲材料136作為蝕刻遮罩圖案化硬遮罩層108以形成開口150。如此一來,間隔物124與犧牲材料136的圖案轉移至硬遮罩層108。在一些實施例中,蝕刻硬遮罩層108的製程包括非等向的乾蝕刻及/或濕蝕刻。形成的結構如圖19A與19B所示。圖19A的剖視圖沿著圖19B的平面圖中的剖線A-A’。
如圖19A與19B所示,犧牲材料136的保留部份可避免蝕刻犧牲材料136之保留部份下的硬遮罩層108。若蝕刻上述硬遮罩層108,則有效地導致切斷或切點於開口150中,而非產生切點於兩個相鄰的間隔物124之間的硬遮罩層108中。接著將硬遮罩層108的圖案轉移至目標層102,並形成導電結構於凹陷 中,此時犧牲材料136將產生間隙(或線路切點)於導電線路的兩個相鄰部份之間,如下詳述。
在圖案化硬遮罩層108之後,可進行濕式清潔以移除犧牲材料136與間隔物124的任何殘留部份。形成的結構如圖20A與20B所示。
接著如圖21A與21B所示,採用硬遮罩層108作為蝕刻遮罩,以延伸開口150至目標層102中。蝕刻目標層102的製程可包含非等向的乾蝕刻製程及/或濕蝕刻製程,其依序蝕刻穿過抗反射塗層106至目標層102。目標層102的保留部份可與圖19A與19B的間隔物124及犧牲材料136具有相同圖案。如此一來,以單一圖案化步驟圖案化目標層102。
在圖案化開口150之後,可進行濕式清潔製程以移除抗反射塗層106與硬遮罩層108的任何保留部份。上述步驟形成的結構如圖22A與22B所示。
在圖案化開口150於目標層102中之後,可形成結構於開口中。在一實施例中,目標層102為低介電常數的介電層,且圖案化的目標層102提供內連線結構所用的金屬間介電層。導電結構如銅線路、銅通孔、及/或鈷插塞可形成於金屬間介電層中。
如圖23所示,可沿著開口150的側壁與下表面形成一或多個襯墊層162。襯墊層162可包含氧化鈦、氮化鈦、氧化鉭、氮化鉭、釕、鈷、鉭、或類似物,其可提供擴散阻障、黏著、及/或晶種層等功能以用於導電結構。襯墊層162的沉積方法可採用合適製程,比如物理氣相沉積、化學氣相沉積、原子 層沉積、或類似製程。
接著如圖24所示,可採用物理氣相沉積、電鍍、或類似方法將導電材料164如銅填入開口150的其餘部份。導電材料164一開始可沉積超填開口150。
如圖25A與25B所示,進行平坦化製程以移除目標層102上的導電材料164之多餘部份。因此導電結構可形成於目標層102中。在目標層102中的導電結構為導電線路的實施例中,且在圖案化硬遮罩108時,犧牲材料136的部份下之區域為導電線路具有間隙(或線路切點)的區域。
如搭配圖12A與12B說明的前述內容,圖12A與12B所示的一些實施例之犧牲材料136的帶狀物長度可延伸,或形成犧牲材料136的額外帶狀物。若犧牲材料136的長度延伸,則可延伸圖25所示的線路切點,因此額外導電線路具有圖示的線路切點。若形成犧牲材料136的多個帶狀物,則圖25B所示的導電線路可具有額外的線路切點,其偏離圖示的線路切點。採用此處所述的實施例,可形成具有約85.5nm或更大的線路切點間距之細小間距的導電線路。若此處所述的圖案化製程採用極紫外線微影系統,以圖案化搭配圖7說明的前述上側層132,則可達縮小的線路切點間距。舉例來說,可達約30nm或更大的線路切點間距。若此處所述的製程重複多次,可形成具有約30nm、約48nm、或更大的線路切點間距之導電線路,且減少製程缺陷並增加良率。舉例來說,搭配圖6至圖12A與12B說明的上述製程可重複多次,以形成圖案化的犧牲材料之多個線路(比如在後續製程中形成多個相鄰的線路切點)。接著進行搭配圖13A與 13B至圖25A與25B說明的額外製程,以將具有多個相鄰線路切點的導電線路轉移至目標層。採用額外製程,可達約30nm、48nm、或更大的線路切點間距。然而由於圖6至圖12A與12B的製程重複多次,且內連線線路的尺寸縮小,形成的內連線線路與目標尺寸可能稍微不同,及/或可能產生對準問題。
如圖26所示,提供形成內連線線路於目標層中的方法200。在步驟168中,圖案化光阻以形成遮罩,如圖1至3所示。在步驟170中,採用遮罩作為蝕刻遮罩並蝕刻介電層,如圖4所示。在步驟172中,形成並圖案化另一光阻以形成另一遮罩,如圖6至9所示。在步驟174中,形成犧牲材料於遮罩的開口中,如圖10與11所示。在步驟176中,移除遮罩,如圖12A與12B所示。在一些實施例中,步驟172至176可重複多次,以形成具有多個線路切點的導電線路,且線路切點具有較小的線路切點間距。在步驟178中,形成並圖案化另一光阻以形成另一遮罩,如圖13A與13B至圖15A與15B所示。在步驟180中,採用遮罩以圖案化犧牲材料,如圖16A與16B所示。在步驟182中,移除遮罩,如圖17A與17B所示。在步驟184中,薄化犧牲材料,如圖18A與18B所示。在步驟186中,蝕刻金屬硬遮罩層,如圖19A與19B所示。在步驟188中,採用金屬硬遮罩層以圖案化目標層,如圖21A與21B所示。在步驟190中,具有一或多個線路切點的導電線路形成於目標層的開口中,如圖23至圖25A與25B所示。
在一些實施例中,此處所述的圖案化方法可用於形成內連線線路於目標層中,如圖25A與25B所示。內連線線 路可具有細小間距,且多個線路切點可具有小的線路切點間距。採用簡化的圖案化製程可形成準確性提高的內連線線路。舉例來說,可採用單一圖案化製程圖案化目標層,以形成細小間距的內連線線路,其具有一或多個線路切點。由於以單一圖案化製程或簡化的圖案化製程圖案化目標層,可增加圖案的準確性。舉例來說,若以兩個或更多不同製程蝕刻目標層102,由於難以精確對準蝕刻遮罩與欲蝕刻的部份,實際蝕刻至目標層102中的圖案可能與所需圖案不同。由於目標層102的蝕刻方法為單一蝕刻製程及/或此處所述的簡化圖案化製程,可增加準確性。如此一來,具有多個一或多個線路切點的多個內連線線路可具有相同尺寸或實質上相同的尺寸,對內連線線路的電阻可具有較多控制。
在一些實施例中,搭配圖1至圖25A與25B說明的上述製程可用於形成電源軌及/或金屬島結構,比如圖27至30所示的電源軌與金屬島結構。舉例來說,可採用搭配圖1至圖25A與25B說明的上述製程,形成圖27至30所示的電源軌與金屬島結構於目標層102中。在一些實施例中,多個間隔物可形成於介電層上,如搭配圖1至5說明的上述內容。一或多個間隔物之間的間隙定義的凹陷,可形成於目標層中以用於形成電源軌。其他間隔物之間的間隙定義的凹陷,可形成於目標層中以用於形成與電源軌相鄰的多個導電線路。導電線路的延伸方向平行於電源軌的主軸。可形成犧牲材料於介電層上並圖案化犧牲材料,如搭配圖6至圖18A與18B說明的內容。犧牲材料定義的多個線路切點之延伸方向,垂直於電源軌與導電線路的方向。間 隔物與犧牲材料的結合可定義圖27至30所示的結構。舉例來說,犧牲材料與間隔物的圖案轉移至目標層,如圖19A與19B至圖22A與22B所示。導體形成於圖案化目標層(見圖23至圖25A與25B)中,以形成圖27至30所示的結構。
在一些實施例中,搭配圖1至圖25A與25B所述的製程可用於形成較窄電源軌及/或與其他組金屬島良好對準的一組金屬島。用於形成電源軌與金屬島的上述製程可能產生結點於電源軌中。結點可能降低連接至電源軌的可信度。為避免結點,可限制連接至電源軌的接點位於電源軌之「無接點區」之外的部份,而結點可存在於電源軌的邊緣。
圖27顯示目標層2316中的電源軌與金屬島結構2300,其形成方法可採用此處所述的製程。在一些實施例中,目標層2316與搭配圖1至圖25A與25B說明的目標層102相同或類似。電源軌與金屬島結構2300包含電源軌2302。在一些實施例中,電源軌2302可有助於分佈裝置中的電源或接地源。舉例來說,電源軌2302可電性連接至電源或接地端節點。接點(未圖示)可沿著電源軌2302形成於不同位置並電性連接至電源軌2302,以採用電源軌2302連接至電源或接地端節點。此處所述的製程可用於形成尺寸較小的電源軌2302。舉例來說,一些實施例的電源軌2302其厚度T4可為約55nm或更小。
電源軌與金屬島結構2300亦可包含金屬島2312。在一些實施例中,金屬島2312可用於分佈裝置中的電源與訊號。如圖27所示,此處所述的製程可用於形成金屬島2312的陣列,而金屬島2312的線路切點間距P2大於或等於約85.5nm,且 線路間距P5大於或等於約30nm。由於此處所述的製程可讓金屬島2312的陣列具有細小的線路間距與細小的線路切點間距,因此可在與電源軌2302相鄰的空間中形成較大量的金屬島2312。此外,此處所述的製程可用於形成金屬島2312的一組2304,其良好地對準金屬島2312的另一組2306。在一些實施例中,金屬島的一組2304良好地對準金屬島的另一組2306,因為金屬島的一組2304與金屬島的另一組2306的形成方法可採用相同的線路切點(比如相同的犧牲材料136之帶狀物)。金屬島的一組2304之金屬島2312其側壁,可對準金屬島的一組2306之金屬島2312其側壁。此外如圖27所示,此處所述的製程可用於形成金屬島2312的一組,其細小的線路切點間距P2大於或等於約85.5nm,而每一金屬島2312可與其他組的金屬島2312具有相同或實質上相同的尺寸。舉例來說,一些實施例的每一金屬島2312各自的長度L1可介於約45nm至約60nm之間。
在一些實施例中,此處所述的製程可用於形成電源軌與金屬島結構2400於目標層2416中,如圖28所示。在一些實施例中,目標層2416與搭配圖1至圖25A與25B說明的目標層102相同或類似。電源軌與金屬島結構2400包含電源軌2402。在一些實施例中,電源軌2402有助於分佈裝置中的電源,比如電源或接地源。電源軌2402可與搭配圖27說明的電源軌2302類似。舉例來說,一些實施例中電源軌2402的厚度T5可為約55nm或更小。電源軌與金屬島結構2400亦可包含金屬島2412。金屬島2412可與搭配圖27說明的金屬島2312類似或相同。金屬島2412可具有線路切點間距P3,其可與搭配圖27說明的線路切點 間距P2相同或類似。
如圖28所示,此處所述的製程用於形成電源軌與金屬島結構2400,其亦可能形成延伸至電源軌2402中的結點2414。舉例來說,用於形成電源軌與金屬島結構的製程可能有本身固有的準確性限制,比如蝕刻遮罩以及欲蝕刻的下方層之特定部份之對準能力限制。用於形成電源軌與金屬島結構2400的製程設備可能不準確或不完美。如此一來,此處所述的製程可能形成結點於電源軌2402的邊緣。結點2414可能降低連接至電源軌2402且形成於電源軌2402的邊緣區中的任何物理或電性連接的可信度,而結點2414可能形成於邊緣區中。在一些實施例中,結點2414延伸至電源軌2402中的距離D1介於約5nm至約8nm之間。
在一些實施例中,為避免一或多個結點2414影響接點而降低可信度,可沿著電源軌2402的邊緣包含一或多個無接點區2408,且結點2414可形成於無接點區2408中。舉例來說,可設計並形成半導體裝置,使連接至電源軌2402的物理及/或電性連接形成於電源軌2402的無接點區2408之外。一些實施例在形成半導體裝置之前,可採用電腦為主的處理系統(例如圖31的處理系統300,如下詳述)設計半導體裝置。電腦為主的處理系統可採用一或多個設計規則,以引導設計者在虛擬的半導體裝置佈局中佈線電性連線,可確保在特定設計中符合特定製程技術的最小距離規定。在一些實施例中,設計規則可包含無接點區2408,以避免物理及/或電性連接至電源軌2402的接點位於無接點區2408中。如此一來,在依據計畫設計形成半 導體裝置並完成設計時,連接至電源軌2402的所有接點均位於設計規則中的無接點區2408之外。
在一些實施例中,無接點區2408可延伸至電源軌2402中一段距離D2,且距離D2介於約5nm至約10nm之間。一實施例可包含多個無接點區2408(比如在電源軌2402的兩側上)或單一的無接點區2408。
圖29顯示一些實施例中,形成於目標層2516中的電源軌與金屬島結構2500。在一些實施例中,目標層2516與搭配圖1至圖25A與25B說明的目標層102相同或類似。電源軌與金屬島結構2500的形成方法,可採用搭配圖1至圖25A與25B說明的製程。電源軌與金屬島結構2500可包含電源軌2502與金屬島2512。金屬軌2502可與金屬軌2402相同或類似,而金屬島2512可與金屬島2412相同或類似。
在一些實施例中,搭配圖1至圖25A與25B說明的製程(比如搭配圖6至圖12A與12B說明的製程)可重複多次,以形成線路切點間距更小的金屬島2512。在10nm技術節點中,金屬島2512的線路切點之間距P4介於約30nm至48nm之間。在一些實施例中,在間距縮小時,製程中可能產生的不準確(如前述例子)所造成的問題更多。在一些實施例中,當金屬島(如金屬島2512)的間距縮小時,將更難以產生相同尺寸或實質上類似尺寸的多個金屬島2512。如此一來,當金屬島2512的間距P4為約30nm至48nm時,金屬島2512的尺寸可變化。舉例來說,這些金屬島2512的長度L2等於金屬島2312的長度L1,如搭配圖27說明的內容。其他金屬島2512的長度L3可介於約10nm至約 35nm之間。
如上所述,一些實施例採用搭配圖1至圖25A與25B說明的製程形成於目標層102中的圖案準確度,取決於不同製程步驟的準確度,比如用於圖案化製程中的圖案化遮罩準確度,比如用於圖案化製程中的圖案化遮罩的形成與圖案化準確性,及/或上側遮罩的圖案轉移至下方層的蝕刻製程所能達到的準確性。所需圖案中可能出現稍微偏差,特別是金屬島2512的目標間距縮小時(比如縮小至約30nm至約48nm的間距P4)。稍微偏差可能導致金屬島的一組稍微對不準金屬島的另一組。以圖29為例,金屬島的一組2504偏離金屬島的一組2506。偏差亦可導致結點2514如上述。結點2514可與搭配圖27說明的結點2414相同或類似。如此一來,可能需要無接點區2508。無接點區2508可與搭配圖28說明的無接點區2408相同或類似。
在一些實施例中,可形成單一電源軌與金屬島結構,其包含搭配圖27至29說明的一些或所有的上述結構。圖30顯示電源軌與金屬島結構2900。電源軌與金屬島結構2900可包含不同規格的多種部份。舉例來說,在第一部份中,電源軌與金屬島結構2900形成為包含電源軌與金屬島結構2300的結構,如搭配圖27說明的上述內容。在第二部份中,電源軌與金屬島結構2900形成為包含電源軌與金屬島結構2400的結構,如搭配圖28說明的上述內容。在第三部份中,電源軌與金屬島結構2900形成為包含電源軌與金屬島結構2500的結構,如搭配圖29說明的上述內容。
如上所述,處理系統如電腦可用以設計與最佳化 欲形成的半導體裝置之虛擬佈局。在處理系統最佳化虛擬佈局之後,最佳化的佈局可作為之後形成半導體晶片的指南。
圖31係處理系統300之單元的方塊圖,其可用於產生圖形以對應欲形成的半導體晶片之虛擬佈局。處理系統300可包含配備一或多個輸入/輸出裝置如顯示卡/圖形處理器的處理器302。處理器302可包含中央處理器/數位訊號處理器、記憶體、與硬體加速器連接至匯流排304。
匯流排304可為一或多個任何種類的多個匯流排結構,其包含記憶體匯流排或記憶體控制器、周邊匯流排、或類似物。中央處理器可具有任何形態的電子資料處理器。記憶體可具有任何種類的系統記憶體,比如靜態隨機存取記憶體、動態隨機存取記憶體、同步動態隨機存取記憶體、唯讀記憶體、非揮發性隨機存取記憶體、上述之組合、或類似物。在一實施例中,記憶體可包含唯讀記憶體以用於啟動,以及動態隨機存取記憶體以儲存執行程式時所用的資料。記憶體可儲存參數,讓使用者得以查看、調整、及/或最佳化欲形成的半導體晶片的虛擬佈局。記憶體可儲存參數、規則、或類似物,以助使用者設計、調整、及/或最佳化欲形成的半導體晶片之虛擬佈局。舉例來說,記憶體可儲存一或多個設計規則,其可確保特定技術或製程所需的最小距離,使欲形成的半導體晶片之虛擬佈局最佳化。
顯示卡/圖形處理器可提供自顯示器306耦接至外部輸入與輸出的介面。顯示器306可顯示欲形成的半導體晶片之虛擬佈局。其他裝置可耦接至處理器,且可採用較多或較少 的介面卡。舉例來說,序列介面卡(未圖示)可提供印表機所用的序列介面。
處理器302亦可包含網路介面(未圖示),其可為有線連接(如乙太網路纜線或類似物)及/或無線連接,以連接至具有網路(如蜂窩通訊網路)的可行通訊。網路介面可讓處理器經由網路與遠端單位通訊。在一實施例中,處理器302耦接至局域網路或廣域網路,以與遠端裝置如其他處理器、網際網路、遠端儲存設備、或類似裝置通訊。
應注意的是,處理系統300可包含其他構件。舉例來說,處理系統300可包含電源、纜線、主機板、可移動儲存媒介、外殼、與類似物。這些其他構件雖未圖示,但可視作處理系統300的部份。
在一些實施例中,計畫的半導體裝置可包含導電線路、內連線線路、以及欲形成的半導體晶片的佈局,其可由移除導電線路的不需要部份以達最佳化。舉例來說,多個導電線路可具有一或多個線路切點。在一些實施例中,線路切點的形成方法可採用搭配圖1至25B說明的前述方法。可在導電線路的中間部份形成線路切點。在形成具有一或多個線路切點的多個導電線路之後,一或多個導電線路的一部份可為不必要或不符需求。以圖32為例,導電線路308可用於形成導電線路308的第一末端312與通孔316之間的電性連接,以及第二末端323與通孔318之間的電性連接。線路切點320可形成於通孔316與通孔318之間。如圖32所示,導電線路308延伸於線路切點320與通孔316之間的部份322可能閒置。舉例來說,在給定的佈局 中,任何所需的電路連接可能不需要導電線路的此部份322。在一些裝置中,不必要或不符需求的金屬線路可能不利於半導體裝置。舉例來說,不必要或不符需求的金屬線路可能劣化半導體裝置的電阻-電容效能,及/或不利地增加半導體裝置的尺寸及/或占用空間。
在一些實施例中,導電線路的形成方式為不形成不必要或不符需求的線路末端,其可改善半導體裝置的電阻-電容效能及/或減少半導體裝置的尺寸或占用空間。圖33A顯示虛擬佈局324與330以及物理導電結構(如物理導電線路326與332)的比較,且可採用虛擬佈局作為形成物理導電結構的指南。圖33A亦顯示在形成物理導電線路(見圖34至47)時,用於計算線路切點的目標切點寬度之參數,其可省略半導體裝置中不必要或不符需求的線路末端,以改善裝置的電阻-電容常數及/或減少半導體裝置的尺寸或占用空間。
圖33A顯示覆蓋於物理導電線路326上的虛擬佈局324,且採用虛擬佈局324作為形成物理導電線路326的指南。圖33A亦顯示覆蓋於物理導電線路332上的虛擬佈局330,且採用虛擬佈局330作為形成物理導電線路332的指南。在物理導電線路326中,延伸於通孔328與線路末端338之間的部份為閒置,且需移除或不形成這些閒置部份以利形成半導體晶片。在物理導電線路332中,延伸於通孔334與線路末端336之間的部份為閒置,且需移除或不形成這些閒置部份以利形成半導體晶片。
在每一物理導電線路326與物理導電線路332中, 虛擬佈局中的目標虛擬導電線路切點具有寬度EN。虛擬佈局(如通孔328或334)中的虛擬通孔邊緣與目標虛擬線路切點的寬度EN之最靠近的邊緣之間具有寬度W。在一些實施例中,寬度W為特定技術的設計規則所硬性規定的參數,以確保採用虛擬佈局作為形成半導體裝置的指南時,不會因為形成半導體裝置所用的製程技術的不準確而移除通孔328上的物理導電線路326與通孔334上的物理導電線路332。
如圖33A所示,採用虛擬佈局作為指南形成物理導電線路。由於多種製程技術的不準確或製程所用的裝置不完美或偏差,因此形成物理導電線路的虛擬佈局可與多種方式中的虛擬佈局不同。在圖33A中,採用虛擬佈局324作為指南形成的物理導電線路326,其線路末端338未達虛擬佈局324之計畫的線路末端。在一些實施例中,物理導電線路326的線路末端338與虛擬佈局324之計畫的線路末端之間相差的距離為最大距離S。另一方面,可形成物理導電線路332,使線路末端336研伸超出虛擬佈局330的計畫線路末端的距離為最大距離S。換言之,計畫導電線路的計畫線路末端與實際導電線路的實際線路末端之間,可能存在變異+/- S(或2S)。實際線路末端可能超出計畫線路末端的兩側的距離均為最大距離S。如此一來,實際線路末端可能具有計畫線路末端的偏差範圍(2S)。在一些實施例中,最大距離S可介於約0nm至約5nm之間。
在一些實施例中,在形成半導體裝置時需在導電線路的特定位置符合計畫線路切點。舉例來說,計畫線路切點的中心軸需與線路寬度EN的中心點相交。然而由於此處所述的 製程限制,精確對準的能力可能受限,即一般製程可能產生一些變異。圖33B顯示在形成實際裝置時,採用計畫線路切點343作為指南形成實際線路切點時,計畫線路切點343的計畫中心軸341可能偏離實際線路切點347的中心軸345。重疊的距離V的定義可為線路切點在實際位置與計畫位置之間,沿著X軸(見圖33B)偏離的距離。如圖33A所示,實際的線路切點340已偏離,因此中心軸342偏離虛擬線路寬度EN的中心點。如圖33B所示,在實際製程中,實際線路切點347沿著x軸位於計畫線路切點343的第一側並與計畫線路切點343隔有距離V,或沿著x軸位於計畫線路切點343的第二側並與計畫線路切點343隔有距離V,而第一側與第二側相反。在一些實施例中,距離V可介於約0nm至約6nm之間。距離V可取決於形成線路切點340及/或物理導電線路326與332的製程設備,以及設備所產生的任何製程限制或不準確。
亦可考量目標切點寬度CD中的變異。舉例來說,一些實施例可採用虛擬佈局確認線路切點340具有所需的目標切點寬度CD,以移除特定導電線路之不符需求的線路末端。然而當線路切點340用於形成物理導電線路時,由於製程技術的準確性限制或製程設備的變異,線路切點340的實際寬度可能稍微偏離目標切點寬度CD。在一些實施例中,實際寬度可比目標切點寬度CD大一段距離Z(未圖示)。在一些實施例中,實際寬度可比目標切點寬度CD小一段距離Z。如此一來,可考慮切點寬度變異如+/-Z(或2Z)。在一些實施例中,距離Z可介於約0nm至約1nm之間。
在一些實施例中,最佳的切點寬度CD取決於上述參數。最佳的切點寬度CD可取決於下述關係式:切點寬度CD=2X+S+2*(Z^2+V^2)^0.5,2X為寬度EN,即在虛擬佈局中需被移除的虛擬導電線路的目標長度。S為偏差範圍2S的一半,Z為切點寬度變異2Z的一半,而V為線路切點在實際位置與計畫位置之間的偏移距離。決定的最佳化切點寬度CD可用於形成導電線路,以移除閒置的線路末端如下述(見圖34至47)。當導電線路具有以此關係式確認的切點寬度CD之線路切點時,可在形成導電線路時具有較寬的製程容忍度。
圖34至47顯示一些實施例中,形成導電線路的步驟。在圖34中,形成膜堆疊415於半導體基板402上。膜堆疊415包含形成於半導體基板402上的介電層404、形成於介電層404上的介電層406、形成於介電層406上的硬遮罩層408、形成於硬遮罩層408上的第二蓋層410、形成於第二蓋層410上的蝕刻停止層412、與形成於蝕刻停止層412上的第一蓋層414。每一層將詳述於下。
如圖34所示,膜堆疊415形成於半導體基板402上。半導體基板402的組成可為半導體材料如摻雜或未摻雜的矽,或絕緣層上半導體基板的主動層。半導體基板402可包含其他半導體材料如鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。亦可採用其他基板如多層基板或組成漸變基板。裝置(未圖示)如電晶體、二極體、電容、電阻、 或類似物可形成於半導體基板402的主動表面之中及/或之上。一或多個內連線線路及/或通孔(未圖示)可包含於半導體基板402中。舉例來說,通孔416可採用一或多個導電線路(未圖示)及/或一或多個導電通孔(未圖示),以電性連接至半導體基板402中的一或多個裝置(未圖示)。
介電層404形成於半導體基板402上。在一些實施例中,介電層404為金屬間介電層。在這些實施例中,介電層404包含低介電常數的介電材料,其介電常數小於3.8、小於約3.0、或小於約2.5。在其他實施例中,介電層404為包含高介電常數(大於3.8)的介電材料之金屬間介電層。此外亦可採用其他材料。
如圖34所示,通孔416形成於介電層404中。在一些實施例中,通孔416可提供即將形成於介電層406中的導電線路(見圖47)至半導體基板402中的裝置(未圖示)的電性連接。通孔416的形成方法可為採用可接受的微影製程(如此處所述的微影製程)形成開口於介電層404中。可沉積一或多個襯墊層於開口中。接著可沉積導電材料於開口中的一或多個襯墊層上,其沉積方法可採用電鍍製程。在完成電鍍製程之後,多餘的導電材料可能超填開口並沿著介電層404的上表面延伸(未圖示)。平坦化製程如化學機械研磨製程可用於移除多餘的導電材料,並使通孔416的上表面與介電層404的上表面齊平,如圖34所示。此外亦可採用其他製程。
介電層406形成於介電層404上。在一些實施例中,導電線路可形成於介電層404中。導電線路的形成方式可 不形成不需要的線路末端。介電層406的形成方法可與介電層404的形成製程相同或類似。在一些實施例中,介電層404的材料組成與介電層406相同。在其他實施例中,介電層404的材料組成與介電層406不同。
硬遮罩層408形成於介電層406上。硬遮罩層408的材料可包含金屬(如氮化鈦、鈦、氮化鉭、鉭、摻雜金屬的碳化物如碳化鎢、或類似物)或非金屬(如氮化矽、氮化硼、碳化矽、或類似物),且其形成方法可為物理氣相沉積、射頻物理氣相沉積、原子層沉積、或類似方法。此外亦可採用其他製程與材料。在後續製程步驟中,形成圖案於硬遮罩層408上(見圖44A與44B)。接著以硬遮罩層408作為蝕刻遮罩,以用於蝕刻介電層406的製程。
第二蓋層410形成於硬遮罩層408上。第二蓋層410的組成可採用氧化矽(如硼磷矽酸鹽四乙氧基矽烷或未摻雜的四乙氧基矽烷之氧化物)或類似物。在一些實施例中,第二蓋層410為低溫氧化物。此處所述的用語「低溫氧化物」指的是以相對低溫(如200℃或更低溫)沉積的氧化物。第二蓋層410的形成方法可為物理氣相沉積、化學氣相沉積、原子層沉積、旋轉塗佈、或類似方法。此外亦可採用其他製程與材料。
蝕刻停止層412形成於第二蓋層410上。在一些實施例中,蝕刻停止層412的組成可採用碳化矽、碳氧化矽、氮化矽、氮氧化矽、或類似物。蝕刻停止層412的組成可為合適材料,其相對於第一蓋層414具有高蝕刻選擇性。蝕刻停止層412的形成方法可為物理氣相沉積、化學氣相沉積、原子層沉 積、旋轉塗佈、或類似方法。此外亦可採用其他製程與材料。
第一蓋層414形成於蝕刻停止層412上。第一蓋層414的組成可採用氧化矽(如硼磷矽酸鹽四乙氧基矽烷或未摻雜的四乙氧基矽烷之氧化物)或類似物。在一些實施例中,第一蓋層414為低溫氧化物。第一蓋層414可與第二蓋層410採用相同材料。在其他實施例中,第一蓋層414與第二蓋層410的材料組成不同。第二蓋層410的形成方法可為物理氣相沉積、化學氣相沉積、原子層沉積、旋轉塗佈、或類似方法。此外亦可採用其他製程與材料。
在圖35中,圖案化第一蓋層414以形成開口418。開口418位於介電層406欲形成遮罩420的區域上(見圖37)。開口418可具有目標寬度CD,其取決於搭配圖33說明的內容。可採用可接受的微影製程如此處所述,以形成開口418。
在圖36中,遮罩材料421位於第一蓋層414上與開口418中,以將遮罩材料421填入開口418。在一些實施例中,遮罩材料421包含氧化矽、氮化矽、碳化矽、金屬氧化物、金屬氮化物、或類似物。在一些實施例中,遮罩材料421可包含任何合適的無機材料,其相對於硬遮罩層408具有高蝕刻選擇性。遮罩材料421的形成方法可為物理氣相沉積、化學氣相沉積、原子層沉積、旋轉塗佈、或類似方法。此外亦可採用其他製程與材料。
在圖37中,移除遮罩材料421的多餘部份,以形成遮罩420。在一些實施例中,遮罩材料的多餘部份之移除方法可採用研磨製程,比如化學機械研磨製程。在其他實施例中, 可採用蝕刻製程以移除遮罩材料的多餘部份。在移除遮罩420的多餘部份之後,遮罩420的上表面與下表面與第一蓋層414的上表面與下表面共平面。此外亦可採用其他製程與材料。
如圖37所示,第一蓋層414與遮罩420具有厚度T1,而蝕刻停止層412具有厚度T2。在一些實施例中,厚度T1大於或等於一半的切點寬度CD,而切點寬度CD取決於搭配圖33A與33B說明的內容。換言之,一些實施例的厚度T1
Figure 107139301-A0305-02-0040-1
0.5×切點寬度CD。在一些實施例中,厚度T1與T2的總合符合下式:T1+T2=T3*(1+目標OE%/sel(硬遮罩層408/蝕刻停止層412)),其中厚度T1為第一蓋層414的厚度,厚度T2為蝕刻停止層412的厚度,厚度T3為硬遮罩層408的厚度,目標OE%為形成半導體裝置的目標過蝕刻%,而sel(硬遮罩層408/蝕刻停止層412)指的是硬遮罩層408的蝕刻選擇性與蝕刻停止層412的蝕刻選擇性之間的比例。當符合上述關係式時,在蝕刻硬遮罩層(見圖44A與44B)時會消耗遮罩420、蝕刻停止層412、與第一蓋層414。由於消耗遮罩420、蝕刻停止層412、與第一蓋層414,蝕刻製程中止後的硬遮罩層408與第二蓋層410可具有實質上一致的高度。如此一來,後續蝕刻介電層406以形成導電線路於其中的製程將更可信且失敗較少,及/或維持而非不符需求地降低蝕刻容忍度。若蝕刻容忍度不符需求地降低,則難以將導電材料填入介電層406中的開口。
在圖38中,可形成三層的遮罩層於第一蓋層414與遮罩420上。三層的遮罩層包含底層422(有時亦稱作下方層)、底層422上的中間層424、與中間層424上的上側層426。在一些 實施例中,上側層426的組成為光阻。可採用正型光敏材料或負型光敏材料。中間層424的組成可為無機材料,其可為氮化物如氮化矽、氮氧化物如氮氧化矽、氧化物如氧化矽、富矽光阻、或類似物。底層422可為聚合物或抗反射型材料。中間層424相對於上側層426與底層422可具有高蝕刻選擇性。因此上側層426可作為圖案化中間層424的蝕刻遮罩,而中間層424可作為圖案化底層422的蝕刻遮罩。此外亦可採用其他材料。
在圖39中,圖案化上側層426以形成開口428與429。開口429可具有圖案,以對應欲形成於介電層406中的導電線路。開口428可與遮罩420一起具有圖案,以對應欲形成於介電層406中的另一導電線路的圖案。如圖39所示,由於形成半導體裝置的製程技術準確性限制或設備變數,開口428的側壁可能偏離遮罩420的側壁。
接著如圖40所示,採用圖案化的上側層426作為蝕刻遮罩並蝕刻中間層424,以將上側層426的圖案轉移至中間層424。
如圖41所示,在蝕刻穿過中間層424之後,以中間層424作為蝕刻遮罩以圖案化底層422。在圖案化底層422時,可消耗上側層426。圖案化底層422可露出遮罩420的上表面之一部份,以及第一蓋層414的上表面之部份。在圖案化底層422之後,可移除中間層424的任何保留部份。
在圖42中,接著採用底層422作為蝕刻遮罩,並蝕刻下方的第一蓋層414、蝕刻停止層412、與第二蓋層410。可採用任何合適的蝕刻製程,比如濕蝕刻或乾蝕刻。在一些實施 例中,蝕刻製程為非等向。如圖42所示,遮罩420可避免蝕刻其下的第二蓋層410與蝕刻停止層412的一部份440。如此一來,後續形成於開口428中的導電線路尺寸,可小於未採用遮罩420所形成的導電線路尺寸。因此未形成線路末端(如閒置的線路末端)。
如圖43所示,在圖案化第一蓋層414、蝕刻停止層412、與第二蓋層410之後,移除底層422的殘餘部份,且移除方法可為灰化製程。在一些實施例中,圖案化第一蓋層414、蝕刻停止層412、與第二蓋層410的步驟會消耗底層422,因此可省略移除底層422的個別步驟。
接著蝕刻硬遮罩層408,以延伸開口428與429至硬遮罩層408中。圖44A與44B係蝕刻硬遮罩層408之後的半導體裝置400之平面圖與剖視圖。圖44A的剖視圖沿著圖44B的平面圖中的剖線A-A’。可採用任何合適的蝕刻製程如濕蝕刻或乾蝕刻,以蝕刻硬遮罩層408。在一些實施例中,蝕刻製程為非等向。在蝕刻硬遮罩層408時,可消耗遮罩420、蝕刻停止層412、與第一蓋層414。由於消耗遮罩420、蝕刻停止層412、與第一蓋層414,在蝕刻製程中止之後的硬遮罩層408與第二蓋層410可具有實質上一致的高度。如此一來,後續蝕刻介電層406以形成導電線路於其中的製程更可信且具有失敗較少,及/或維持而非不符需求地降低蝕刻容忍度。若不符需求地降低蝕刻容忍度,則難以將導電材料填入介電層406中的開口。
在圖45A與45B中,採用硬遮罩層408作為蝕刻遮罩,並蝕刻介電層406。蝕刻製程可延伸開口428與429至介電 層406中。可採用任何合適的蝕刻製程。在一些實施例中,蝕刻製程為非等向。蝕刻介電層406可露出通孔416與介電層404的上表面。雖然圖45B中的通孔416具有矩形的上視形狀,其他實施例的通孔416可具有任何合適形狀,比如圓形、卵形、多邊形、方形、或類似形狀。
在圖46中,將導電材料430填入開口428與429。舉例來說,一些實施例可沉積一或多個襯墊層(未圖示)於開口428與429中以及硬遮罩層408的上表面上。襯墊層可包含氧化鈦、氮化鈦、氧化鉭、氮化鉭、或類似物,其可提供擴散阻障、黏著、及/或晶種層等功能。襯墊層的沉積方法可採用任何合適製程,比如物理氣相沉積、化學氣相沉積、原子層沉積、或類似方法。接著可將導電材料430填入開口428與429的其餘部份,比如採用電鍍製程。襯墊層及/或導電材料430接觸通孔416。可採用任何合適的導電材料430,比如銅或另一金屬。上述步驟形成的結構如圖46所示。
在圖47中,移除多餘的導電材料430,且移除方法可採用研磨製程如化學機械研磨製程。研磨製程亦可移除硬遮罩層408的殘留部份。在完成研磨製程之後,形成導電線路444於介電層406中。導電線路444的上表面與介電層406的上表面共平面。由於採用遮罩420,導電線路444A的長度小於未採用遮罩420所形成的導電線路444A的長度。區域442指的是未採用遮罩420所形成的導電線路444A之區塊,但其未形成於半導體裝置400中。
在後續製程中,可形成額外介電層(具有或不具有 導電線路)於介電層406上。可形成外部接點於半導體裝置400上,使半導體裝置400能電性及/或物理連接至額外裝置。
圖48顯示一些實施例中的方法500。在步驟502中,確定線路切點的最佳寬度,如搭配圖33A與33B說明的內容。在步驟504中,圖案化具有最佳寬度的開口於第一蓋層中,如圖35所示。在步驟506中,將遮罩材料填入開口,如圖36所示。在步驟508中,平坦化遮罩材料,如圖37所示。在步驟510中,沉積光阻並圖案化光阻以形成開口,如圖38至41所示。在步驟512中,採用遮罩並進行蝕刻製程,如圖42所示。在步驟514中,圖案化硬遮罩層,如圖44A與44B所示。在步驟516中,採用圖案化硬遮罩圖案化介電層,如圖45A與45B所示。在步驟518中,將導電材料填入介電層中的開口,如圖46所示。在步驟520中,平坦化導電材料,如圖47所示。
此處所述的一些實施例提供半導體裝置與半導體裝置的形成方法。進行圖案化製程以圖案化線路於半導體裝置的目標層中。在一些實施例中,採用光微影圖案化介電層,且圖案化的犧牲材料(有時稱作反相材料)形成於圖案化的介電層上。在形成犧牲材料之後,形成開口於犧牲材料中以圖案化犧牲材料。圖案化的介電層與犧牲材料用於圖案化下方的遮罩層,而下方的遮罩層之後用於圖案化目標層的單一圖案化步驟。接著可將導電材料填入低介電常數的介電層中的開口以定義內連線線路,且內連線線路具有圖案化的犧牲材料所定義的線路切點。與採用其他類似的圖案化製程相較,上述導電線路可具有較細小的間距及/或上述內連線線路可具有較細小的間 距。舉例來說,採用單一圖案化製程圖案化目標層,可形成細小間距的導電線路,其具有一或多個線路切點。由於目標層的圖案化方法為單一圖案化製程及/或此處所述的簡化圖案化製程,可增加圖案的準確性。如此一來,多個內連線線路可具有相同尺寸或實質上相同的尺寸,對內連線線路的電阻可具有較多控制。
在一些實施例中,線路末端的線路切點之目標寬度,可取決於採用此處所述的虛擬佈局。在採用決定的最佳寬度形成半導體裝置時,可形成遮罩。遮罩可避免圖案化遮罩下的介電層的區域,以避免後續製程在介電層中形成線路末端。如此處所示,可改善半導體裝置的電阻電容效能,及/或降低裝置所需的占用空間尺寸。
一些實施例提供半導體裝置的形成方法。方法包括形成第一遮罩層於目標層上。方法亦包括形成多個間隔物於第一遮罩層上。方法亦包括形成第二遮罩層於間隔物上,並圖案化第二遮罩層以形成第一開口,其中在平面圖中的第一開口的主要軸的延伸方向,垂直於間隔物的主要軸。方法亦包括沉積犧牲材料於第一開口中。方法亦包括圖案化犧牲材料。方法亦包括採用間隔物與圖案化的犧牲材料並蝕刻第一遮罩層。方法亦包括採用蝕刻的第一遮罩層並蝕刻目標層,以形成多個第二開口於目標層中。方法亦包括將導電材料填入目標層中的第二開口。在一實施例中,圖案化犧牲材料的步驟包括採用極紫外線微影製程或浸潤式微影製程以圖案化犧牲材料。在一實施例中,其中相鄰的該些間隔物之間的間隙具有小於或等於 85.5nm的間距。在一實施例中,犧牲材料包括無機材料,其相對於第一遮罩層所用的材料具有高蝕刻選擇性。在一實施例中,其中犧牲材料為金屬氧化物、無機氧化物、或金屬氮化物。在一實施例中,方法更包括平坦化犧牲材料,且在平坦化步驟之後的犧牲材料之上表面與間隔物之上表面齊平。在一實施例中,圖案化犧牲材料的步驟之後,犧牲材料位於相鄰的間隔物之間的一或多個間隙上。在一實施例中,形成間隔物於目標層上的步驟包括:形成三層結構於間隔物層上;採用微影以圖案化三層結構的頂層;經由圖案化的頂層蝕刻三層結構的中間層;經由中間層蝕刻三層結構的底層;以及經由底層蝕刻間隔物層,以形成間隔物。在一實施例中,方法更包括平坦化導電材料以形成多個內連線線路,其中兩個相鄰的內連線線路之間隔有物理間隙,且物理間隙位於圖案化犧牲材料之後保留的犧牲材料之一部份下的區域中。
一些實施例提供半導體裝置的形成方法。方法包括形成第一蓋層於第二蓋層上,第二蓋層位於第一遮罩層上,且第一遮罩層位於介電層上。方法亦包括圖案化第一開口於第一蓋層中,且第一開口具有目標寬度。方法亦包括將第一材料填入第一開口,以形成遮罩單元。方法亦包括形成第二遮罩層於第一蓋層上,並圖案化第二遮罩層以形成第一遮罩,且第一遮罩包含多個第二開口。方法亦包括採用第一遮罩與遮罩單元並蝕刻第一蓋層與第二蓋層,其中遮罩單元避免第二蓋層的一部份被蝕刻。方法亦包括經由第二蓋層圖案化第一遮罩層,以形成第二遮罩。方法亦包括經由第二遮罩圖案化介電層,以露 出介電層下的導電結構。方法亦包括形成導電線路於介電層中,且導電線路接觸導電結構。在一實施例中,第一開口的目標寬度等於2X+S+2*(Z^2+V^2)^0.5,其中2X為虛擬佈局中的第一開口的目標寬度,S為偏差範圍2S的一半,Z為切點寬度變異2Z的一半,且V為實際位置與計畫位置之間的線路切點偏移的容忍度。在一實施例中,第一開口的目標寬度取決於採用處理系統虛擬設計欲形成的半導體裝置。在一實施例中,遮罩單元之組成為無機材料,其相對於第二遮罩層的材料具有高蝕刻選擇性。在一實施例中,第一蓋層的厚度大於或等於第一開口的目標寬度的一半。在一實施例中,蝕刻停止層位於第一蓋層與第二蓋層之間,且第一蓋層與蝕刻停止層的厚度符合關係式:T1+T2=T3*(1+目標OE%/SEL);其中T1為第一蓋層的厚度,T2為蝕刻停止層的厚度,T3為第二遮罩層的厚度,目標OE%為製程技術的目標過蝕刻%,而SEL為第二遮罩層對蝕刻停止層的蝕刻選擇性。在一實施例中,圖案化第二遮罩層時消耗遮罩單元。
一些實施例提供半導體裝置。裝置包括介電層。裝置亦包括電源軌,延伸穿過介電層,其中電源軌的側壁包括一或多個結點。裝置亦包括第一組內連線線路,位於電源軌的第一側上的介電層中。裝置亦包括第二組內連線線路,位於電源軌的第一側上的介電層中,其中結點的第一結點橫向地位於第一組內連線線路與第二組內連線線路之間。在一實施例中,第一組內連線線路與該第二組內連線線路之間的空間小於或等於85.5nm。在一實施例中,裝置更包括第三組內連線線路, 位於電源軌的第二側上的介電層中,且第二側與第一側相反,其中第一組內連線線路中的內連線線路尺寸與第二組內連線線路中的內連線線路尺寸相同,且第一組內連線線路的側壁對準第二組內連線線路的側壁。在一實施例中,連接至電源軌的所有接點均與電源軌的邊緣相隔一最小距離,且最小距離介於約5nm至約8nm之間。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明實施例作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
500‧‧‧方法
502、504、506、508、510、512、514、516、518、520‧‧‧步驟

Claims (13)

  1. 一種半導體裝置的形成方法,包括:形成一第一遮罩層於一目標層上;形成多個間隔物於該第一遮罩層上;形成一第二遮罩層於該些間隔物上,並圖案化該第二遮罩層以形成一第一開口,其中在平面圖中的該第一開口的主要軸的延伸方向,垂直於該些間隔物的主要軸;沉積一犧牲材料於該第一開口中;圖案化該犧牲材料;採用該些間隔物與圖案化的該犧牲材料,並蝕刻該第一遮罩層;採用蝕刻的該第一遮罩層,並蝕刻該目標層以形成多個第二開口於該目標層中;以及將一導電材料填入該目標層中的該些第二開口。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中圖案化該犧牲材料的步驟包括採用一極紫外線微影製程或一浸潤式微影製程以圖案化該犧牲材料。
  3. 如申請專利範圍第1或2項所述之半導體裝置的形成方法,更包括平坦化該犧性材料,且在平坦化步驟之後的該犧牲材料之上表面與該些間隔物之上表面齊平。
  4. 如申請專利範圍第1或2項所述之半導體裝置的形成方法,其中圖案化該犧牲材料的步驟之後,該犧牲材料位於相鄰的該些間隔物之間的一或多個間隙上。
  5. 如申請專利範圍第1或2項所述之半導體裝置的形成方法, 更包括平坦化該導電材料以形成多個內連線線路,其中兩個相鄰的該些內連線線路之間隔有一物理間隙,且該物理間隙位於圖案化該犧牲材料之後保留的該犧牲材料之一部份下的區域中。
  6. 一種半導體裝置的形成方法,包括:形成一第一蓋層於一第二蓋層上,該第二蓋層位於一第一遮罩層上,且該第一遮罩層位於一介電層上;圖案化一第一開口於該第一蓋層中,且該第一開口具有一目標寬度;將一第一材料填入該第一開口,以形成一遮罩單元;形成一第二遮罩層於該第一蓋層上,並圖案化該第二遮罩層以形成一第一遮罩,且該第一遮罩包含多個第二開口;採用該第一遮罩與該遮罩單元,並蝕刻該第一蓋層與該第二蓋層,其中該遮罩單元避免該第二蓋層的一部份被蝕刻;經由該第二蓋層圖案化該第一遮罩層,以形成一第二遮罩;經由該第二遮罩圖案化該介電層,以露出該介電層下的一導電結構;以及形成一導電線路於該介電層中,且該導電線路接觸該導電結構。
  7. 如申請專利範圍第6項所述之半導體裝置的形成方法,其中該第一開口的該目標寬度等於2X+S+2*(Z^2+V^2)^0.5,其中2X為一虛擬佈局中的該第一開口的目標寬度,S為偏差範圍2S的一半,Z為切點寬度變異2Z的一半,且V為實際位置與計畫位置之間的線路切點偏移的容忍度。
  8. 如申請專利範圍第7項所述之半導體裝置的形成方法,其中該第一開口的該目標寬度取決於採用一處理系統虛擬設計欲形成的一半導體裝置。
  9. 如申請專利範圍第7或8項所述之半導體裝置的形成方法,其中該第一蓋層的厚度大於或等於該第一開口的該目標寬度的一半。
  10. 如申請專利範圍第7或8項所述之半導體裝置的形成方法,其中一蝕刻停止層位於該第一蓋層與該第二蓋層之間,且該第一蓋層與該蝕刻停止層的厚度符合一關係式:T1+T2=T3*(1+目標OE%/SEL);其中T1為該第一蓋層的厚度,T2為該蝕刻停止層的厚度,T3為該第二遮罩層的厚度,目標OE%為製程技術的目標過蝕刻%,而SEL為該第二遮罩層對該蝕刻停止層的蝕刻選擇性。
  11. 如申請專利範圍第7或8項所述之半導體裝置的形成方法,其中圖案化該第二遮罩層時消耗該遮罩單元。
  12. 一種半導體裝置,包括:一介電層;一電源軌,延伸穿過該介電層,其中該電源軌的側壁包括一或多個結點;一第一組內連線線路,位於該電源軌的第一側上的該介電層中;以及一第二組內連線線路,位於該電源軌的第一側上的該介電層中,其中該或該些結點的第一結點橫向地位於該第一組 內連線線路與該第二組內連線線路之間。
  13. 如申請專利範圍第12項所述之半導體裝置,更包括:一第三組內連線線路,位於該電源軌的第二側上的該介電層中,且該第二側與該第一側相反,其中該第一組內連線線路中的內連線線路尺寸與該第二組內連線線路中的內連線線路尺寸相同,且該第一組內連線線路的側壁對準該第二組內連線線路的側壁。
TW107139301A 2017-11-15 2018-11-06 半導體裝置與其形成方法 TWI687977B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762586438P 2017-11-15 2017-11-15
US62/586,438 2017-11-15
US16/004,086 2018-06-08
US16/004,086 US10559492B2 (en) 2017-11-15 2018-06-08 Patterning methods for semiconductor devices and structures resulting therefrom

Publications (2)

Publication Number Publication Date
TW201931440A TW201931440A (zh) 2019-08-01
TWI687977B true TWI687977B (zh) 2020-03-11

Family

ID=66431384

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107139301A TWI687977B (zh) 2017-11-15 2018-11-06 半導體裝置與其形成方法

Country Status (5)

Country Link
US (4) US10559492B2 (zh)
KR (3) KR102332866B1 (zh)
CN (2) CN109786225B (zh)
DE (1) DE102018115204A1 (zh)
TW (1) TWI687977B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11842922B2 (en) 2021-08-11 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming interconnect structure
US12068168B2 (en) 2022-02-17 2024-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Processes for reducing line-end spacing

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102462439B1 (ko) * 2016-10-18 2022-11-01 삼성전자주식회사 반도체 소자의 제조 방법
US10388644B2 (en) * 2016-11-29 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing conductors and semiconductor device which includes conductors
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10699943B2 (en) * 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
EP3786722A1 (fr) 2019-08-27 2021-03-03 Comadur S.A. Procede de decoration d'une piece mecanique
US10937652B1 (en) 2019-09-16 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure of cut end with self-aligned double patterning
CN112687528B (zh) * 2019-10-17 2024-08-30 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
DE102020123934A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selbstausgerichtete doppelstrukturierung
US11676821B2 (en) 2019-10-29 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning
US10978338B1 (en) * 2019-11-13 2021-04-13 Nanya Technology Corporation Semiconductor device and manufacture method thereof
US11177160B2 (en) * 2020-03-24 2021-11-16 International Business Machines Corporation Double patterned lithography using spacer assisted cuts for patterning steps
CN113496874B (zh) * 2020-04-01 2024-04-19 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
US20220102200A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including carbon-containing layer and method for semiconductor device fabrication
US11887851B2 (en) * 2021-07-29 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming and using mask
KR102477650B1 (ko) 2021-11-02 2022-12-14 조원봉 브레이크 패드의 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090321791A1 (en) * 2008-06-30 2009-12-31 Michael Wagner Integrated Circuits, Standard Cells, and Methods for Generating a Layout of an Integrated Circuit
US20130107651A1 (en) * 2011-10-27 2013-05-02 Cold Brick Semiconductor, Inc. Semiconductor device with reduced leakage current and method for manufacture the same
WO2014158200A1 (en) * 2013-03-25 2014-10-02 Cold Brick Semiconductor, Inc. Semiconductor device with reduced leakage current and method for manufacture the same

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0582747A (ja) * 1991-09-19 1993-04-02 Fujitsu Ltd 半導体装置
US6686668B2 (en) 2001-01-17 2004-02-03 International Business Machines Corporation Structure and method of forming bitline contacts for a vertical DRAM array using a line bitline contact mask
US6509611B1 (en) 2001-09-21 2003-01-21 International Business Machines Corporation Method for wrapped-gate MOSFET
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
JP2007123342A (ja) * 2005-10-25 2007-05-17 Nec Electronics Corp 半導体装置の製造方法。
US7960797B2 (en) * 2006-08-29 2011-06-14 Micron Technology, Inc. Semiconductor devices including fine pitch arrays with staggered contacts
US7947565B2 (en) * 2007-02-07 2011-05-24 United Microelectronics Corp. Forming method of porous low-k layer and interconnect process
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8304175B2 (en) * 2009-03-25 2012-11-06 Macronix International Co., Ltd. Patterning method
FR2960657B1 (fr) * 2010-06-01 2013-02-22 Commissariat Energie Atomique Procede de lithographie a dedoublement de pas
US8795953B2 (en) 2010-09-14 2014-08-05 Nikon Corporation Pattern forming method and method for producing device
JP2013030582A (ja) * 2011-07-28 2013-02-07 Elpida Memory Inc 半導体装置の製造方法
KR20130015429A (ko) * 2011-08-03 2013-02-14 삼성전자주식회사 에치-백 공정을 이용한 패턴 형성 방법
US20130113810A1 (en) 2011-11-04 2013-05-09 Qualcomm Mems Technologies, Inc. Sidewall spacers along conductive lines
US8722541B2 (en) * 2012-03-15 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning method for semiconductor devices
CA2867439C (en) 2012-03-21 2019-02-12 Cosmederm Bioscience, Inc. Topically administered strontium-containing complexes for treating pain, pruritis and inflammation
KR101948222B1 (ko) * 2012-06-15 2019-02-14 에스케이하이닉스 주식회사 홀 패터닝을 위한 마스크패턴 및 그를 이용한 반도체장치 제조 방법
US8637406B1 (en) * 2012-07-19 2014-01-28 International Business Machines Corporation Image transfer process employing a hard mask layer
US8669180B1 (en) * 2012-11-26 2014-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with self aligned end-to-end conductive line structure and method of forming the same
US9076736B2 (en) 2013-03-14 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device fabrication
US10163688B2 (en) * 2013-03-15 2018-12-25 Taiwan Semiconductor Manufacturing Company Limited Interconnect structure with kinked profile
US9099400B2 (en) * 2013-09-30 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods
KR102377372B1 (ko) * 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
US9570341B2 (en) * 2014-05-15 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having air gap structures and method of fabricating thereof
US20160049307A1 (en) * 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9673055B2 (en) * 2015-02-04 2017-06-06 Globalfoundries Inc. Method for quadruple frequency FinFETs with single-fin removal
KR102403736B1 (ko) * 2015-11-02 2022-05-30 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
JP6643876B2 (ja) * 2015-11-26 2020-02-12 東京エレクトロン株式会社 エッチング方法
US9818613B1 (en) * 2016-10-18 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9881794B1 (en) * 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US10269703B2 (en) * 2016-11-29 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same
US10002786B1 (en) * 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10043703B2 (en) * 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
US10170307B1 (en) * 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning semiconductor device using masking layer
US10312106B2 (en) * 2017-07-31 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090321791A1 (en) * 2008-06-30 2009-12-31 Michael Wagner Integrated Circuits, Standard Cells, and Methods for Generating a Layout of an Integrated Circuit
US20130107651A1 (en) * 2011-10-27 2013-05-02 Cold Brick Semiconductor, Inc. Semiconductor device with reduced leakage current and method for manufacture the same
WO2014158200A1 (en) * 2013-03-25 2014-10-02 Cold Brick Semiconductor, Inc. Semiconductor device with reduced leakage current and method for manufacture the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11842922B2 (en) 2021-08-11 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming interconnect structure
US12068168B2 (en) 2022-02-17 2024-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Processes for reducing line-end spacing

Also Published As

Publication number Publication date
US20190148221A1 (en) 2019-05-16
US11348829B2 (en) 2022-05-31
US20210066121A1 (en) 2021-03-04
CN109786225B (zh) 2021-08-03
KR102332866B1 (ko) 2021-12-01
KR20190055718A (ko) 2019-05-23
US20220293460A1 (en) 2022-09-15
KR20220167264A (ko) 2022-12-20
DE102018115204A1 (de) 2019-06-06
KR20210148972A (ko) 2021-12-08
CN113539799A (zh) 2021-10-22
TW201931440A (zh) 2019-08-01
US10559492B2 (en) 2020-02-11
US10840131B2 (en) 2020-11-17
KR102628726B1 (ko) 2024-01-23
US12002711B2 (en) 2024-06-04
KR102474947B1 (ko) 2022-12-05
US20200006123A1 (en) 2020-01-02
CN109786225A (zh) 2019-05-21

Similar Documents

Publication Publication Date Title
TWI687977B (zh) 半導體裝置與其形成方法
US9305841B2 (en) Method of patterning a feature of a semiconductor device
US8614144B2 (en) Method for fabrication of interconnect structure with improved alignment for semiconductor devices
TWI742018B (zh) 用於半導體晶粒的互連結構的金屬化層、用於製造所述金屬化層的方法、包含所述金屬化層的積體電路結構及包含所述積體電路結構的計算裝置
US9349595B2 (en) Methods of manufacturing semiconductor devices
US20150047891A1 (en) Integrated Circuit Features with Fine Line Space and Methods for Forming the Same
TWI776672B (zh) 利用光刻桶的嵌刻栓塞及突片圖案化以用於後段製程(beol)基於隔層的互連
US9543502B2 (en) Small pitch and high density contact array
TW202109618A (zh) 圖案化半導體裝置的方法
US20210242013A1 (en) Patterned structure
US10079172B2 (en) Wiring structure and method of forming a wiring structure
CN113363142A (zh) 半导体器件的形成方法
US20230386836A1 (en) Methods of forming patterns using hard mask
WO2023103139A1 (zh) 一种半导体结构的制备方法、半导体结构和半导体存储器
CN114334800A (zh) 半导体结构及其形成方法
CN114334801A (zh) 半导体结构的形成方法
CN113972167A (zh) 半导体结构的形成方法
CN113948462A (zh) 半导体结构及其形成方法