TWI749513B - 積體電路及其形成方法 - Google Patents

積體電路及其形成方法 Download PDF

Info

Publication number
TWI749513B
TWI749513B TW109111826A TW109111826A TWI749513B TW I749513 B TWI749513 B TW I749513B TW 109111826 A TW109111826 A TW 109111826A TW 109111826 A TW109111826 A TW 109111826A TW I749513 B TWI749513 B TW I749513B
Authority
TW
Taiwan
Prior art keywords
gate
transistor
layer
integrated circuit
hole
Prior art date
Application number
TW109111826A
Other languages
English (en)
Other versions
TW202105720A (zh
Inventor
吳國暉
王柏鈞
莊惠中
陳志良
田麗鈞
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/806,978 external-priority patent/US11374003B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202105720A publication Critical patent/TW202105720A/zh
Application granted granted Critical
Publication of TWI749513B publication Critical patent/TWI749513B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種積體電路包括第一電晶體、第二電晶體和第一絕緣層。第一電晶體設置在第一層中並且包括第一閘極。第二電晶體設置在第一層上方的第二層中,並且包括第二閘極。第一閘極和第二閘極在第一方向上彼此分離。第一絕緣層設置在第一電晶體的第一閘極和第二電晶體的第二閘極之間。第一絕緣層配置為將第一電晶體的第一閘極與第二電晶體的第二閘極電絕緣。一種積體電路的形成方法亦在此揭露。

Description

積體電路及其形成方法
本案是關於一種積體電路,特別是關於一種在兩閘極結構中間具有絕緣層的積體電路。
在半導體製造的發展中,在進步工藝中需要積體電路中更好的閘極密度。為了獲得更好的閘極密度,提出了互補場效應電晶體(complementary field-effect transistor,CFET),以透過掩埋電源軌和不同深度的PMOS/NMOS來降低單元高度。然而,在一些應用中,在佈線中需要虛置閘極結構,因此在積體電路中導致佔用額外的面積。
在本案的一實施例中公開了一種積體電路,包括第一電晶體、第二電晶體和第一絕緣層。第一電晶體設置在第一層中並且包括第一閘極。第二電晶體設置在第一層上方的第二層中,並且包括第二閘極。第一閘極和第二閘極在第一方向上彼此分離。第一絕緣層設置在第一電晶體的第一閘極和第二電晶體的第二閘極之間。第一絕緣層配置為將第一電晶體的第一閘極與第二電晶體的第二閘極電絕緣。
在本案的另一實施例中公開了一種積體電路,包括有源區、閘極和 通孔。有源區在第一方向上延伸。閘極穿過有源區中的相應有源區並且在不同於第一方向的第二方向上延伸。閘極包括在佈局圖中彼此部分重疊的第一閘極和第二閘極,並且第一閘極和第二閘極在不同於第一方向和第二方向的第三方向上彼此分離。通孔包括第一通孔和第二通孔。第一通孔接觸第一閘極,第二通孔接觸第二閘極。第一通孔和第二通孔在第二方向上彼此分離。
在本案的另一實施例中公開了一種積體電路的形成方法,方法包括以下步驟:形成多層堆疊,其中多層堆疊包括交替堆疊的第一半導體層和第二半導體層。形成在多層堆疊的第一部分的相對側上的第一源極區和第一汲極區,並且形成在多層堆疊的第二部分的相對側上的第二源極區和第二汲極區;去除多層堆疊中的第二半導體層;形成在多層堆疊的第一部分上方的第一閘極區;形成在第一閘極區上方的第一絕緣層;形成在第一絕緣層上方和多層堆疊的第二部分上方的第二閘極區。在一些實施例中,形成第一絕緣層包括用絕緣材料填充多層堆疊的第一部分和多層堆疊的第二部分之間的間隔。
100,300,400,600:積體電路
110-120,310-360,410-460:電晶體
111,112,121,122,311,312,321,331,351,352,341,361,411,412,421,431,432,441:有源區
113,123,313,322,332,353,413,422,433,442:閘極
114,115,124,125,314,315,323,333,354,355,342,362,414,415,423,434,435,443:擴散上方金屬
130,370,451,452:絕緣層
151-156,391-394,471a-471b,472-474:金屬零段
395-396,491-492:電源軌
141-142,381a-381d,382a-382c,383a-383d,384,461a-461d、462a-462c,463a-463d:通孔
481-482:金屬一段
161,162:切割多晶矽層
S1,S2:控制訊號
ZN:節點
VDD,VSS:電壓
300A-300B,400A-400B:部分
XX’:橫截線
500:方法
510-570:步驟
601:基板
602,608,609,611,613:絕緣體
603:第一半導體層
604:第二半導體層
605a-605b:淺溝槽隔離
606:虛置閘極圖案
607:多層堆疊
603a:第一半導體結構
603b:第二半導體結構
610a:第一汲極區
610b:第一源極區
612a:第二汲極區
612b:第二源極區
614:第一閘極區
615:絕緣層
616:第二閘極區
702:處理器
710:I/O介面
712:網路介面
714:網路
704:非暫時性電腦可讀存儲介質
706:指令
720:IC佈局設計
722:設計規範
716:製造工具
820:設計室
822:IC設計佈局圖(或設計)
830:遮罩室
832:資料準備
844:遮罩製造
845:遮罩
850:IC製造商/廠商
852:晶圓製造
853:半導體晶圓
860:IC裝置
當結合附圖進行閱讀時,從以下詳細描述可最佳理解本發明之一實施例的各個方面。應該強調,根據工業中的標準實踐,各個部件未按比例繪製並且僅用於說明的目的。實際上,為了清楚的討論,各個部件的尺寸可以任意地增大或減小。
第1圖是根據一實施例的積體電路的部分的立體圖。
第2圖是根據一實施例的第1圖中積體電路的部分的截面圖。
第3A圖是根據一實施例的積體電路的部分的等效電路圖。
第3B圖是根據一實施例的對應於第3A圖積體電路的半導體結構的部分的立體圖。
第3C圖是根據一實施例的對應於第3A圖的部分的部分積體電路的平面佈局圖。
第3D圖是根據一實施例的對應於第3B圖的部分的部分積體電路的平面佈局圖。
第4A圖是根據一實施例的第4B圖積體電路的部分的等效電路圖。
第4B圖是根據一實施例的對應於第4A圖積體電路的半導體結構的部分的立體圖。
第4C圖是根據一實施例的對應於第4B圖的部分的部分積體電路的平面圖中的佈局圖。
第4D圖是根據一實施例的對應於第4B圖的部分的部分積體電路的平面圖中的佈局圖。
第5圖是根據本案的一實施例一些實施例的用於製造積體電路的方法的流程圖。
第6A圖至第6S圖是根據本案的一實施例一些實施例的示出了第5圖的方法的多種過程的部分積體電路的截面示意圖。
第7圖是根據本案的一實施例一些實施例的IC器件設計系統的框圖。
第8圖是根據本案的一實施例一些實施例的IC製造系統800的框圖以及與其相關聯的IC製造流程。
以下公開內容提供了許多用於實現本發明之一實施例的不同特徵不同的實施例或實例。下面描述了組件和佈置的具體實施例或實例以簡化本發明之一實施例。當然這些僅是實例而不旨在限制。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接觸形成 的實施例,並且也可以包括在第一部件和第二部件之間可以形成額外的部件,從而使得第一部件和第二部件可以不直接接觸的實施例。如本文使用的,在第二部件上形成第一部件是指形成與第二部件直接接觸的第一部件。此外,本發明之一實施例可以在各個示例中重複參照數位和/或字母。該重複是為了簡單和清楚的目的,並且其本身不指示所討論的各個實施例和/或配置之間的關係。
在本說明書中使用的術語通常具有本領域和在使用每個術語的特定上下文中的普通含義。本說明書中的示例的使用,包括本文討論的任何術語的示例,僅是說明性的,絕不限制本案的一實施例或任何示例性術語的範圍和含義。同樣,本案的一實施例不限於本說明書中給出的多種實施例。
此外,為了便於描述,本文中可以使用諸如「在...下方」、「在...下面」、「下部」、「在...上面」、「上部」等的空間關係術語,以描述如圖中所示的一個元件或部件與另一元件或部件的關係。除了圖中所示的方位外,空間關係術語旨在包括器件在使用或操作工藝中的不同方位。裝置可以以其它方式定位(旋轉90度或在其它方位),並且在本文中使用的空間關係描述符可以同樣地作相應地解釋。
如本文所使用的「約」、「大約」、「大致上」或「基本上」應通常是指給定值或範圍的任何近似值,近似值取決於所涉及的多種領域以及範圍而變化,近似值的範圍應與本領域技術人員所理解的最廣泛的解釋相一致,以涵蓋所有此類修改和類似結構。在一些實施例中,近似值通常是指給定值或範圍的百分之二十以內,優選地為百分之十以內,更優選地為百分之五以內。本文給出的數值是近似的,意味著如果沒有明確說明則術語「約」、「大約」、「大致上」或「基本上」是可以推定的、或者意味著其他近似值。
現在參照第1圖。第1圖是根據一實施例的積體電路100的部分的 立體圖。為了示例,積體電路100包括電晶體110、電晶體120和絕緣層130。如第1圖所示,電晶體120設置在電晶體110上方。絕緣層130設置在電晶體110和電晶體120之間。換言之,電晶體110和120以及絕緣層130垂直堆疊和佈置。在一些實施例中,積體電路100是互補場效應電晶體(CFET)。所給出的積體電路100的以上實施是出於說明性目的。積體電路100的各種實施方式在本案的一實施例的預期範圍內。例如,在一些實施例中,積體電路100是邏輯門電路(包括與、或、與非)、多工器、觸發器、鎖存器、緩存、反相器或任何其他類型的邏輯電路。
在一些實施例中,電晶體110是第一導電類型FET(例如,N型),並且電晶體120是與第一導電類型不同的第二導電類型FET(例如,P型)。然而,本案的一實施例不旨在限制本案的範圍。例如,在一些實施例中,電晶體110是P型電晶體,並且電晶體120是N型電晶體。在其他實施例中,電晶體110和120具有相同的導電類型。
為了示例,如第1圖所示,電晶體110包括有源區111-112、閘極113和擴散上方金屬(metal over diffusions,MD)114-115。電晶體120包括有源區121和122、閘極123和擴散上方金屬124-125。有源區111-112和擴散上方金屬114-115與有源區121-122和擴散上方金屬124-125分離開。絕緣層130設置在閘極113和閘極123之間。
在一些實施例中,絕緣層130包括與閘極113接觸的底表面和與閘極123接觸的上表面。為了示例,絕緣層130配置為使閘極113與閘極123電絕緣。
在一些實施例中,絕緣層130包括例如二氧化矽、氮化矽、碳氧化矽(SiOC)或碳化矽(SiC)絕緣結構。然而,本案的一實施例並不旨在限制本公開的範圍。例如,在多種實施例中,用於絕緣層130的絕緣材料包括例如 SiOCN、SiCN或任何種類的合適材料。
在一些實施例中,閘極113和123包括閘極介電層(未示出)和閘電極層(未示出)。在一些實施例中,在電晶體110和120的溝道區周圍形成閘極113和123,其中溝道區包括例如圓線/方線、奈米板、奈米片、多橋溝道、奈米環或任何其他合適種類的奈米結構。
上面討論的積體電路100中的元件的配置是出於說明性目的而給出的,並且可以根據實際實施方式進行修改。積體電路100中的元件的各種配置在本案的一實施例的預期範圍內。例如,在一些實施例中,電晶體110包括設置在有源區111和112附近的附加有源區,並且電晶體120包括佈置在有源區121和122附近的附加有源區。
現在參照第2圖。第2圖是根據一實施例的沿著第1圖中的橫截線X-X'的積體電路100的部分的截面圖。為了容易理解,第2圖中與第1圖中的元件相同的部分標注了相同的參照標號。為了簡潔,本文省略了已經在上面的段落中詳細討論的相似元件的具體操作,除非需要引入與第2圖所示的元件的配合關係。
如第2圖所示,積體電路100還包括通孔141-142、金屬零段151-156和切割多晶矽層161-162。為了簡化圖示,第2圖中未示出擴散上方金屬114-115和124-125以及有源區111-112和121-122。
為了示例,繼續參照第2圖,通孔141穿過切割多晶矽層161,並且耦合在閘極113和金屬零段151之間。通孔142耦合在閘極123和金屬零段155之間。在一些實施例中,金屬零段151耦合到第一控制信號,並且金屬零段155耦合到不同於第一控制信號的第二控制信號。在這樣的實施例中,電晶體110的閘極113接收第一控制信號,並且電晶體110回應於第一控制信號而作動。類似地,電晶體120的閘極123接收第二控制信號,並且電晶體120回 應於第二控制信號而作動。
在一些方法中,如上所述的兩個電晶體的閘極耦合在一起並且透過單個的一個閘極結構來實施。由於兩個電晶體的閘極耦合在一起並且接收相同的控制信號,因此,如果兩個電晶體被設計為回應於兩個不同的控制信號而操作工作則需要額外的佈置,額外的佈置例如包括虛置閘極、有源區、擴散上方金屬和/或導電段。因此,與本案的實施例相比,額外的佈置在積體電路中佔據更大的面積。
與以上方法相比,在第1圖和第2圖所示的實施例中,絕緣層130的配置用於分離閘極113和123,電晶體110和120能夠分別回應於兩個不同的控制信號作動而無需額外的佈置。因此,與如上所述的一些方法相比,利用如第1圖和第2圖所示的配置減小了積體電路100的面積。
上面討論的積體電路100中的元件的配置是出於說明性目的而給出的,並且可以根據實際實施方式進行修改。積體電路100中的元件的各種配置在本案的一實施例的預期範圍內。例如,在一些實施例中,在電晶體110的閘極113處接收的第一控制信號與在電晶體120的閘極123處接收的第二控制信號相同。
現在參照第3A圖,第3A圖是根據一實施例的積體電路300的部分的等效電路圖。為了示例,積體電路300包括電晶體310、320、330、340、350、360。如第3A圖所示,電晶體310、320和340的閘極配置為接收第一控制信號S1,並且電晶體330、350和360的閘極配置為接收第二控制信號S2。電晶體310和320的源極耦合到電壓VSS。電晶體310和320的汲極彼此耦合。電晶體330的源極耦合到電晶體310和320的汲極。電晶體330的汲極耦合到輸出節點ZN處的電晶體340、350和360的汲極。電晶體340、350和360的源極耦合到電壓VDD。
在一些實施例中,電晶體310、320和330是N型FET,並且電晶體340、350和360是P型FET。然而,本案的一實施例並不旨在限制本案的範圍。例如,在一些實施例中,電晶體310、320和330是P型電晶體,並且電晶體340、350和360是N型電晶體。
現在參照第3B圖。根據多種實施例,第3B圖是對應於第3A圖中積體電路300的半導體結構的部分的立體圖。為了示例,積體電路300包括有源區311-312、321、331、341、351-352和361,閘極313、322、332和353,擴散上方金屬314-315、323、333、342、354-355和362,絕緣層370,通孔381a-381d、382a-382c、383a-383d和384,以及金屬零段391-394。在一些實施例中,有源區311-312、321和331,閘極313,以及擴散上方金屬314-315、323和333設置在第一層中。有源區341、351-352和361,閘極353,以及擴散上方金屬342、354-355和362設置在第一層上方的第二層中。閘極322和閘極332從第一層到第二層在z方向上延伸。金屬零段391-394設置在第二層上方的第三層中。
參照第3A圖和第3B圖,閘極313作為電晶體310的閘極。擴散上方金屬314對應於電晶體310的汲極,電晶體310的汲極耦合到電晶體320的汲極。擴散上方金屬315對應於電晶體310的源極,電晶體310的源極耦接到電壓VSS。閘極322作為電晶體320和電晶體340的閘極。擴散上方金屬323對應於與電晶體320的汲極,電晶體320的汲極耦合到電晶體310的汲極。閘極332作為電晶體330和電晶體360的閘極。擴散上方金屬333對應於電晶體330的汲極,電晶體330的汲極耦合到電晶體360的汲極。擴散上方金屬342對應於電晶體340的源極,電晶體340的源極耦合到電壓VDD。閘極353作為電晶體350的閘極。擴散上方金屬354對應於電晶體350的源極,電晶體350的源極耦合到電壓VDD。擴散上方金屬355對應於電晶體350的汲極,電 晶體350的汲極耦合到輸出節點ZN。擴散上方金屬362對應於電晶體360的汲極,電晶體360的汲極耦合到輸出節點ZN。
為了示例,繼續參照第3B圖,有源區311-312、321、331、341、351-352和361在不同於z方向的x方向上延伸。有源區311-312、321和331在z方向上與有源區351-352、341和361分離。在一些實施例中,有源區311-312、321和331在平面圖或佈局圖中與有源區351-352、341和361重疊。在一些實施例中,有源區311和有源區312配置為分別對應於例如第1圖的有源區111和有源區112。有源區351和有源區352配置為分別對應於例如第1圖的有源區121和有源區122。
為了示例,擴散上方金屬314-315、323、333、342、354-355和362在不同於x方向和z方向的y方向上延伸。在一些實施例中,擴散上方金屬314和315配置為分別對應於例如第1圖的擴散上方金屬114和115。擴散上方金屬354和355配置為分別對應於例如第1圖的擴散上方金屬124和125。如第3B圖所示,擴散上方金屬314和315分別耦合到有源區311和312。擴散上方金屬323耦合到有源區321。擴散上方金屬333耦合到有源區331。擴散上方金屬342耦合到有源區341。擴散上方金屬354和355分別耦合到有源區351和352。擴散上方金屬362耦合到有源區361。在一些實施例中,擴散上方金屬314-315、323、333、342、354-355和362被耦合到其上的相應有源區穿透。在一些實施例中,在平面圖中,擴散上方金屬314與擴散上方金屬354部分重疊,擴散上方金屬315與擴散上方金屬355部分重疊,擴散上方金屬323與擴散上方金屬342部分重疊,並且擴散上方金屬333與擴散上方金屬362部分重疊。
為了示例,閘極313和閘極353在y方向上延伸。如第3B圖所示,閘極313和閘極353透過它們之間的絕緣層370在z方向上彼此分離。在一些 實施例中,閘極313和閘極353配置為分別對應於例如第1圖和第2圖的閘極113和閘極123。在一些實施例中,沿著y方向閘極313的寬度比閘極353的寬度長。為了以不同的方式說明,在平面圖中,閘極313和閘極353彼此部分重疊。然而,本案的一實施例並不旨在限制本案的範圍。例如,在一些實施例中,閘極353沿著y方向在與閘極313延伸的方向相反的方向上延伸,並且沿著y方向具有與閘極313的寬度相等的寬度。
如第3B圖所示,閘極322和閘極332在x方向上與閘極313和353相鄰。閘極322和閘極332在z方向上延伸並且在x方向上彼此分離。
為了示例,絕緣層370在y方向上延伸。在一些實施例中,絕緣層370配置為對應於例如第1圖和第2圖的絕緣層130。在其他實施例中,相比於閘極353,絕緣層370與閘極313具有更大面積的交疊。然而,本案的一實施例並不旨在限制本案的範圍。例如,在一些實施例中,絕緣層370以相等的面積與閘極313和閘極353重疊,而在y方向上閘極353的寬度等於閘極313的寬度。
通孔381a-381d、382a-382c、383a-383d和384在z方向上延伸。通孔381a耦合在擴散上方金屬314和金屬零段391之間。通孔381b耦合在擴散上方金屬323和金屬零段391之間。因此,有源區311透過擴散上方金屬314、通孔381a、金屬零段391、通孔381b和擴散上方金屬323耦合到有源區321。通孔381c和通孔381d分別耦合到擴散上方金屬355和擴散上方金屬分別為362。通孔381c和381d透過耦合至金屬零段393而彼此耦合。
通孔382a耦合到擴散上方金屬315和電壓VSS。通孔382b耦合到擴散上方金屬342和電壓VDD。通孔382c耦合到擴散上方金屬354和電壓VDD。
通孔383a耦合在閘極313和金屬零段394之間。通孔383b耦合在 閘極322和金屬零段394之間。通孔383c耦合在閘極332和金屬零段394之間。通孔383d耦合在閘極353和金屬零段392之間。如第3B圖所示,通孔383a和通孔383d在y方向上彼此分離。在一些實施例中,通孔383a和通孔383d配置為分別對應於例如第2圖的通孔141和通孔142。
通孔384耦合在擴散上方金屬333和擴散上方金屬362之間。因此,有源區331透過擴散上方金屬333、通孔384和擴散上方金屬362耦合到有源區361。
金屬零段391-394在x方向上延伸並且在y方向上彼此分離。在一些實施例中,金屬零段392耦合到信號輸出以將第二控制信號S2傳輸至相應的閘極332和353。金屬零段394耦合到另一信號輸出以傳輸第一控制信號S1至相應的閘極313和322。金屬零段393配置為用於形成對應於第3A圖的輸出節點ZN的結構。
現在參照第3C圖,根據多種實施例,第3C圖是積體電路300的部分的平面示圖佈局圖,積體電路300的部分對應於第3B圖的部分300A。為了易於理解,第3C圖中與第3B圖中的元件相同的部分標注了相同的參照標號。為了簡潔,本文省略了已經在上面的段落中詳細討論的相似元件的具體操作,除非需要引入與第3C圖所示的元件的配合關係。
如第3C圖所示,積體電路300包括有源區341、351-352和361,擴散上方金屬342、354-355和362,閘極322、332和353,通孔381a-381d、383b和383c,以及金屬零段391-394。為了示例,閘極322與有源區341和352交叉,閘極332與有源區341和361交叉,並且閘極353與有源區351-352交叉。金屬零段391與通孔381a和381b重疊。金屬零段392與通孔383c和383d重疊。金屬零段393與通孔381c和381d重疊。金屬零段394與通孔383a和383b重疊。
現在參照第3D圖。根據多種實施例,第3D圖是積體電路300的部分的平面示圖佈局圖,積體電路300的部分對應於第3B圖的部分300B。為了易於理解,第3D圖中與第3B圖中的元件相同的部分標注了相同的參照標號。為了簡潔起見,本文省略了已經在上面的段落中詳細討論的相似元件的具體操作,除非需要引入與第3D圖所示的元件的配合關係。
如第3D圖所示,積體電路300包括有源區311-312、321和331,閘極313、332和332,擴散上方金屬314-315、323和333,絕緣層370,通孔381a-381b、382a-382c、383a和384,以及電源軌395-396。為了示例,閘極313與有源區311和312交叉,閘極322與有源區312和321交叉,並且閘極332與有源區321和331交叉。通孔384與擴散上方金屬333重疊。金屬零段391與通孔381a和381b重疊。金屬零段392與通孔383c和383d重疊。金屬零段393與通孔381c和381d重疊。金屬零段394與通孔383a和383b重疊。
電源軌395-396在x方向上延伸並且在y方向上彼此分離。在平面圖中,電源軌395與通孔381a-381b和382a重疊,電源軌396與通孔382b-382c重疊。在一些實施例中,電源軌395-396設置在有源區311-312、321和331,閘極313、332和332,擴散上方金屬314-315、323和333,以及通孔381a-381b、382a-382c的下方。電源軌395耦合到通孔382a以接收用於積體電路300的電壓VSS,並且電源軌396耦合到通孔382b-382c以將電壓VDD輸出至積體電路300。
在一些方法中,為了實施第3A圖的等效電路需要至少四個閘極,四個閘極具有包括例如有源區和擴散上方金屬的相應元件。具體地,至少四個閘極在x方向上彼此分離。因此,與本案的一實施例的積體電路300相比,在那些方法中具有相應元件的至少四個閘極在平面圖中佔據更大的面積。
與以上方法相比,利用第3A圖、第3B圖、第3C圖和第3D圖所 示的構造,閘極313和閘極353垂直堆疊並且彼此重疊。因此,在平面圖中,減小了積體電路300的閘極313和閘極353的所需面積。相應地,如第3B圖、第3C圖和第3D圖所示,減少了具有相應元件(包括有源區和擴散上方金屬)的閘極313和閘極353的所需面積。
為了示例,給出了第3A圖、第3B圖、第3C圖和第3D圖的配置。以上在第3A圖、第3B圖、第3C圖和第3D圖中提到的元件的多種構造在本案的一實施例的預期範圍內。例如,在多種實施例中,在平面圖中,絕緣層370在x方向上延伸並且與擴散上方金屬315和355重疊。
現在參照第4A圖。第4A圖是根據一實施例的積體電路400的部分的等效電路圖。為了示例,積體電路400包括電晶體410、420、430、440、450、460。如第4A圖所示,電晶體410的閘極耦合到電晶體440的閘極,電晶體410的第一源極/汲極耦合到電晶體430的第一源極/汲極,以及電晶體410的第二源極/汲極耦合到電晶體420的第一源極/汲極、電晶體430的第二源極/汲極和電晶體440的第一源極/汲極。電晶體420的閘極耦合到電晶體430的閘極,並且電晶體420的第二源極/汲極耦合到電晶體440的第二源極/汲極、電晶體450的第一源極/汲極以及電晶體460的第一源極/汲極。電晶體450的第二源極/汲極耦合到地,並且電晶體460的第二源極/汲極耦合到電壓源。在一些實施例中,積體電路400是傳輸閘。然而,本案的一實施例並不旨在限制本案的範圍。
在一些實施例中,電晶體410、420和450是N型FET,並且電晶體430、440和460是P型FET。然而,本案的一實施例並不旨在限制本案的範圍。例如,在一些實施例中,電晶體410、420和450是P型電晶體,並且電晶體430、440和460是N型電晶體。
現在參照第4B圖。根據多種實施例,第4B圖是半導體結構的部 分的立體圖,半導體結構的部分對應於第4A圖中虛線環繞的積體電路400的部分。為了示例,積體電路400包括有源區411-412、421、431-432和441,閘極413、422、433和442,擴散上方金屬414-415、423、434-435和443,絕緣層451-452,通孔461a-461d、462a-462c和463a-463d,金屬零段471a-471b和472-474,以及金屬一段481-482。在一些實施例中,有源區411-412和421,閘極413和422,以及擴散上方金屬414-415和423設置在第一層中。有源區431-432和441,閘極433和442,以及擴散上方金屬434-435和443設置在第一層上方的第二層中。金屬零段471a-471b和472-474設置在第二層上方的第三層中。金屬一段481-482在第三層上方的第四層中。
參照第4A圖和第4B圖,擴散上方金屬414對應於電晶體410的第一源極/汲極。擴散上方金屬415對應於電晶體410的第二源極/汲極和電晶體420的第一源極/汲極。閘極413配置為電晶體410的閘極。擴散上方金屬423對應於電晶體420的第二源極/汲極。閘極422配置為電晶體420的閘極。擴散上方金屬434對應於電晶體430的第一源極/汲極。擴散上方金屬435對應於電晶體430的第二源極/汲極和電晶體440的第一源極/汲極。閘極433配置為電晶體430的閘極。擴散上方金屬443對應於電晶體440的第二源極/汲極。閘極442配置為電晶體440的閘極。
參照第4A圖和第4B圖,閘極413配置為電晶體410的閘極。擴散上方金屬414對應於電晶體410的第一源極/汲極,電晶體410的第一源極/汲極耦合到電晶體430的端子。擴散上方金屬415對應於電晶體410的第二源極/汲極,電晶體410的第二源極/汲極耦合到電晶體430的端子。
為了示例,繼續參照第4B圖,有源區411-412、421、431-432和441在x方向上延伸。有源區411-412和421在Z方向上與有源區431-432和441分離。在一些實施例中,在平面圖或佈局圖中,有源區411-412和421與 有源區431-432和441重疊。在一些實施例中,有源區411和有源區412配置為分別對應於例如第1圖的有源區111和有源區112。有源區431和有源區432配置為分別對應於例如例如第1圖的有源區121和有源區122。此外,在一些實施例中,有源區412和有源區421配置為分別對應於例如第1圖的有源區111和有源區112。有源區432和有源區441配置為分別對應於例如第1圖的有源區121和有源區122。
為了示例,擴散上方金屬414-415、423、434-435和443在y方向上延伸。在一些實施例中,擴散上方金屬414和415配置為對應於例如第1圖的擴散上方金屬114、115。擴散上方金屬434和435配置為對應於例如第1圖的擴散上方金屬124、125。在一些實施例中,擴散上方金屬415和423配置為對應於例如第1圖的擴散上方金屬114、115。擴散上方金屬435和443配置為對應於例如第1圖的擴散上方金屬124、125。如第4B圖所示,擴散上方金屬414和415分別耦合到有源區411和412。擴散上方金屬423耦合到有源區421。擴散上方金屬434和435分別耦合到有源區431和432。擴散上方金屬443耦合到有源區441。在一些實施例中,擴散上方金屬414-415、423、434-435和443被耦合到其上的相應有源區穿透。在一些實施例中,在平面圖中,擴散上方金屬414與擴散上方金屬434重疊,擴散上方金屬415與擴散上方金屬435重疊,並且擴散上方金屬423與擴散上方金屬443重疊。
為了示例,閘極413、422、433和442在y方向上延伸。如第4B圖所示,閘極413和閘極433透過其間的絕緣層451在z方向上彼此分離。閘極422和閘極442透過其間的絕緣層452在z方向上彼此分離。在一些實施例中,閘極413和閘極422配置為分別對應於例如第1圖和第2圖的閘極113。閘極433和閘極442配置為分別對應於例如第1圖和第2圖的閘極123。在一些實施例中,在平面圖中,閘極413和閘極433彼此部分重疊,並且閘極422 和閘極442彼此部分重疊。然而,本案的一實施例並不旨在限制本案的範圍。例如,在一些實施例中,在x方向上閘極413和422的寬度不同於閘極433和442的寬度。
絕緣層451-452在y方向上延伸。在一些實施例中,絕緣層451-452配置為對應於例如第1圖和第2圖的絕緣層130。在一些實施例中,絕緣層451使閘極413與閘極433電絕緣,並且絕緣層452使閘極422與閘極442電絕緣。
通孔461a耦合在閘極413和金屬零段471b之間。通孔461b耦合在閘極422和金屬零段471b之間。通孔461c耦合在閘極442和金屬零段473之間。通孔461d耦合在閘極433和金屬零段474之間。如第4B圖所示,通孔461a和通孔461d在y方向上彼此分離,並且通孔461b和通孔461c在y方向上彼此分離。在一些實施例中,通孔461a和通孔461d配置為分別對應於例如第2圖的通孔141和通孔142。通孔461b和通孔461c配置為分別對應於例如第2圖的通孔141和通孔142。
通孔462a耦合在擴散上方金屬414和擴散上方金屬434之間。因此,有源區411透過擴散上方金屬414、通孔462a和擴散上方金屬434耦合到有源區431。通孔462b耦合在擴散上方金屬415和擴散上方金屬435之間。因此,有源區412透過擴散上方金屬415、通孔462b和擴散上方金屬435耦合到有源區432。通孔462c耦合在擴散上方金屬423和擴散上方金屬443之間。因此,有源區421透過擴散上方金屬423、通孔462c和擴散上方金屬443耦合到有源區441。
金屬零段471a-471b和金屬零段472-474在x方向上延伸並且在y方向上彼此分離。金屬一段481-482在y方向上延伸並且在x方向上彼此分離。
通孔463a耦合在金屬零段471a和金屬一段481之間。通孔463b耦合在金屬零段473和金屬一段481之間。通孔463c耦合在金屬零段471b和 金屬一區段482之間。通孔463d耦合在金屬零段474和金屬一段482之間。
因此,如上所述,第一層中的閘極413透過通孔461a、金屬零段471a、通孔463a、金屬一段481、通孔463b、金屬零段473和通孔461c耦合到第二層中的閘極442。第一層中的閘極422透過通孔461b、金屬零段471b、通孔463c、金屬一段482、通孔463d、金屬零段474和通孔461d耦合到第二層中的閘極433。
現在參照第4C圖。根據多種實施例,第4C圖是積體電路300的部分的平面示圖佈局圖,積體電路400的部分對應於第4B圖的部分400A。為了易於理解,第4C圖中與第4B圖中的元件相同的部分標注了相同的參照標號。為了簡潔,本文省略了已經在上面的段落中詳細討論的相似元件的具體操作,除非需要引入與第4C圖所示的元件的配合關係。
如第4C圖所示,積體電路400包括有源區431-432和441、擴散上方金屬434-435和443、閘極433和442、通孔461a-461d和463a-463d、金屬零段471a-471b和472-474、以及金屬一段481-482。為了示例,閘極433與有源區431和432交叉,並且閘極442與有源區432和441交叉。金屬零段471a與通孔461a和463a重疊。金屬零段471b與通孔461b和463c重疊。金屬零段473與通孔463b和461c重疊。金屬零段474與通孔461d和463d重疊。
現在參照第4D圖。根據多種實施例,第4D圖是積體電路400的部分的平面示圖佈局圖,積體電路400的部分對應於第4B圖的部分400B。為了易於理解,第4D圖中與第4B圖中的元件相同的部分標注了相同的參照標號。為了簡潔,本文省略了已經在上面的段落中詳細討論的相似元件的具體操作,除非需要引入與第4D圖所示的元件的配合關係。
如第4D圖所示,積體電路400包括有源區411-412和421、閘極413和422、擴散上方金屬414-315和423、絕緣層451-452、通孔462a-462c、 以及電源軌491-492。為了示例,閘極413與有源區411和412交叉,並且閘極422與有源區412和421交叉。閘極413與絕緣層451和通孔461a重疊,並且閘極422與絕緣層452和通孔461b重疊。通孔462a與擴散上方金屬414重疊,通孔462b與擴散上方金屬415重疊,並且通孔462c與擴散上方金屬423重疊。
電源軌491-492在x方向上延伸並且在y方向上彼此分離。在一些實施例中,電源軌491-492設置在有源區411-412和421、閘極413和422、擴散上方金屬414-415和423、以及通孔461a-461b和462a-462c的下方。電源軌491耦合到通孔(未示出)以接收用於積體電路400的電壓VSS,並且電源軌492耦合到另一通孔(未示出)以接收用於積體電路400的電壓VDD。
在一些方法中,為了實施第4A圖的等效電路需要至少三個閘極,三個閘極具有包括例如有源區和擴散上方金屬的相應元件。具體地,至少三個閘極在x方向上彼此分離。因此,與本案的一實施例的積體電路400相比,在那些方法中具有相應元件的至少三個閘極在平面圖中佔據更大的面積。
與以上方法相比,利用第4A圖、第4B圖、第4C圖和第4D圖所示的構造,閘極413和閘極433垂直堆疊並且彼此重疊。因此,在平面圖中,減小了積體電路400的閘極413、422、433和442的所需面積。相應地,如第4B圖、第4C圖和第4D圖所示,減少了具有相應元件(包括有源區和擴散上方金屬)的閘極413、422、433和442的所需面積。
為了示例,給出了第4A圖、第4B圖、第4C圖和第4D圖的配置。以上在第4A圖、第4B圖、第4C圖和第4D圖中提到的元件的多種構造在本案的一實施例的預期範圍內。例如,在多種實施例中,絕緣層451-452在x方向和y方向上延伸。
在一些實施例中,具有上述配置的積體電路400在佈局圖中包括的 面積比具有一些方法的配置的積體電路所占的面積小約16%,因為那些方法不包括在閘極之間的絕緣層。
現在參照第5圖和第6A圖至第6S圖。根據本案的一實施例的一些實施例,第5圖是用於製造第6A圖至第6S圖所示的積體電路100、300或400或積體電路600的方法500的流程圖。根據本案的一實施例的一些實施例,第6A圖至第6S圖是沿x方向(即,源極/汲極至汲極/源極方向)的積體電路600的部分的截面示意圖,第6A圖至第6S圖示出了第5圖的方法500的多種過程。應當理解,可以在第5圖和第6A圖至第6S圖所示的過程之前、之中和之後提供附加操作,對於該方法的另外的實施例以下描述的一些操作可以被替換或消除。操作/工藝的順序可以互換。貫穿多種視圖和說明性實施例,相似的參照標號用於指示相似的元件。
在第5圖的步驟510中,透過如第6A圖至第6D圖所示的過程形成包括第一半導體層和第二半導體層的積體電路600中的多層堆疊。在一些實施例中,多層堆疊例如包括如上所述的第1圖的電晶體110和120的溝道區。多層堆疊的形成將在以下段落中參照第6A圖至第6D圖詳細討論。
為了示例,如第6A圖所示,絕緣體602設置在基板601上方。第一半導體層603和第二半導體層604設置在絕緣體602上並且沿z方向彼此交替堆疊。在一些實施例中,第一半導體層603和第二半導體層604在絕緣體602上磊晶生長。
在一些實施例中,第一半導體層603和第二半導體層604由晶格常數彼此不同的材料製成。例如,在一些實施例中,第一半導體層603由矽製成,第二半導體層604由矽鍺(SiGe)製成。為了示例,給出了第一半導體層603和第二半導體層604的以上材料。第一半導體層603和第二半導體層604的多種材料在本案的一實施例的預期範圍內。例如,在多種實施例中,用於形成第 一半導體層603和第二半導體層604的材料包括Ge、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb或InP中的一個或多個層。
如第6B圖所示,相鄰於第一半導體層603和第二半導體層604限定並形成溝槽,並且淺溝槽隔離(STI)605a-605b設置在溝槽中。為了示例,在第一半導體層603和第二半導體層604的相對側形成溝槽,從而在第一半導體層603和第二半導體層604的相對側形成淺溝槽隔離605a-605b。
接下來,如第6C圖所示,在第一半導體層603的最上部第一半導體層603上圖案化並設置虛置閘極圖案606。在一些實施例中,第6C圖中的最上部第一半導體層603是暴露的頂層。在一些實施例中,透過沉積和圖案化閘極遮罩層來形成虛置閘極圖案606,閘極遮罩層形成在形成為暴露的頂層的最上部第一半導體層603上方。在一些實施例中,虛置閘極圖案606由氮化矽(SiN)製成,其透過化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其他合適的工藝形成,化學氣相沉積(CVD)例如包括低壓CVD(LPCVD)和等離子體增強CVD(PECVD)。透過使用包括例如光刻和蝕刻的圖案化操作將虛置閘極圖案606圖案化為遮罩圖案。
如第6D圖所示,形成多層堆疊607。在一些實施例中,暴露的第一半導體層603和第二半導體層604透過使用圖案化的遮罩層被圖案化並且然後被蝕刻,所述第一半導體層603和第二半導體層604在其上方不設置虛置閘極圖案606的情況下被暴露,使得在多層堆疊607中形成在虛置閘極圖案606下方的第一半導體層603和第二半導體層604。
此外,在第6E圖中,沿著x方向在多層堆疊607的第一部分的相對側上形成絕緣體608。為了示例,如第6E圖所示,與靠近虛置閘極圖案606的多層堆疊607的第二部分相比,多層堆疊607的第一部分包括更靠近基板601和絕緣體602的部分第一半導體層603和部分第二半導體層604。在一些 實施例中,當多層堆疊607的第一部分的兩側接觸絕緣體608時,在y方向上暴露多層堆疊607的第一部分。
在一些實施例中,用於絕緣體608的絕緣材料例如包括氧化矽、氮化矽、氮氧化矽(SiON)、SiOCN、SiCN、摻氟矽酸鹽玻璃(FSG)或介電材料。
在一些實施例中,絕緣體608的形成例如包括沉積、化學機械拋光(CMP)和蝕刻。在多種實施例中,絕緣體608形成在多層堆疊607的第一部分和第二部分兩者的相對側上。在形成絕緣體608之後,例如透過乾蝕刻和/或濕蝕刻或其他合適的方法凹進絕緣體608,直到暴露出包括在多層堆疊607的第二部分中的最底部第一半導體層603。
出於說明目的,如以上關於第6E圖所討論的,第一半導體層603和第二半導體層604的數量對應於多層堆疊607的第一部分,並且第一半導體層603和第二半導體層604的數量對應於多層堆疊607的第二部分。對應於多層堆疊607不同部分的第一半導體層603和第二半導體層604的多種數量在本案的一實施例的預期範圍內。例如,在多種實施例中,多層堆疊607的第一部分(具有形成在相對側的絕緣體608)包括多於四層的第一半導體層603和多於三層的第二半導體層604。
為了示例,如第6F圖所示,絕緣體609形成在虛置閘極圖案606和多層堆疊607的第二部分的兩個相對側上。在一些實施例中,絕緣體609接觸絕緣體608。在多種實施例中,絕緣體609的形成例如包括沉積和蝕刻,諸如CVD或其他合適的方法。在一些實施例中,絕緣體609的絕緣材料是基於氮化矽的材料,諸如SiN、SiON、SiOCN或SiCN及其組合。
在多種實施例中,絕緣體608和609的絕緣材料彼此不同並且透過不同的蝕刻劑被蝕刻。在可選實施例中,相同的蝕刻劑在絕緣體608和609的 絕緣材料之間具有不同的蝕刻速率。換言之,蝕刻劑在絕緣體608和609之間表現出高蝕刻選擇性。
為了示例,在第6G圖中,去除絕緣體608。如第6G圖所示,暴露出多層堆疊607的第一部分。在一些實施例中,例如透過乾蝕刻和/或濕蝕刻選擇性地去除絕緣體608。
接下來,如第6H圖所示,包括在多層堆疊607的第一部分中的第一半導體層603的側部沿著z方向形成,並且與對應於多層堆疊607的第一部分的第一半導體層603連接,以形成第一半導體結構603a。第一半導體結構603a在x方向上接觸其中的第二半導體層604。換言之,第二半導體層604沿y方向暴露。在一些實施例中,第一半導體結構603a是在絕緣體602上磊晶生長。
在第5圖的步驟520中,如第6I圖所示,第一汲極區610a和第一源極區610b形成在多層堆疊607的第一部分的相對側上。在一些實施例中,第一汲極區610a和第一源極區610b設置為鄰接第一半導體結構603a。在一些實施例中,第一汲極區610a和第一源極區610b配置為對應於例如包括第1圖的有源區111和112。
在多種實施例中,第一汲極區610a和第一源極區610b的形成包括例如沉積和蝕刻。出於說明性目的給出了第一汲極區610a和第一源極區610b的配置,但是本案的一實施例不限於此。例如,在一些實施例中,第一汲極區610a和第一源極區610b設置在相對於第6I圖的第一汲極區610a和第一源極區610b的替代位置上。
在一些實施例中,第一汲極區610a和第一源極區610b中的每一個包括用於n型FET的Si、SiP、SiC和SiCP或用於p-型FET的Si、SiGe、Ge的一層或多層。透過使用CVD、ALD或分子束磊晶(MBE)的磊晶生長方法 和蝕刻來形成第一汲極區610a和第一源極區610b。在一些實施例中,第一汲極區610a和第一源極區610b的形成包括利用離子在垂直方向(由第6I圖中的排列指示)上的離子注入,離子分別由NMOS和PMOS的n型和p型摻雜劑組成。在一些實施例中,包括第一汲極區610a和第一源極區610b的n型FET例如對應於第1圖的電晶體110。
在多種實施例中,第一汲極區610a和第一源極區610b形成在多層堆疊607的第一部分和第二部分兩者的相對側上。在形成第一汲極區610a和第一源極區610b之後,透過乾蝕刻和/或濕蝕刻或其他合適的方法凹進第一汲極區610a和第一源極區610b,直到達到第一半導體結構603a和絕緣體609的介面的高度。
此外,為了示例,在第6J圖中,去除絕緣體609。如第6J圖所示,暴露出虛置閘極圖案606和多層堆疊607的第二部分。在一些實施例中,例如透過乾蝕刻和/或濕蝕刻來去除絕緣體609。
為了示例,在第6K圖中,在第一汲極區610a和第一源極區610b上形成絕緣體611。在一些實施例中,絕緣體611佈置在第二半導體層604的至少一層的兩個相對側處。在多種實施例中,絕緣體611的形成包括例如沉積和蝕刻。在一些實施例中,絕緣體611由氮化矽(SiN)製成,例如透過CVD形成氮化矽,包括低壓CVD(LPCVD)和等離子體增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其他合適的工藝。
接下來,如第6L圖所示,形成包括在多層堆疊607的第二部分中的第一半導體層603的側部,並將第一半導體層603的每一層連接到第一半導體層603的相鄰層以形成第二半導體結構603b。第二半導體結構603b將第二半導體層604圍繞在其中。在一些實施例中,第二半導體結構603b是在絕緣體611上的外延生長。
在第5圖的步驟530中,如第6M圖所示,在多層堆疊607的第二部分的相對側上形成第二汲極區612a和第二源極區612b。在一些實施例中,第二汲極區612a和第二源極區612b設置為鄰接第二半導體結構603b。在一些實施例中,第二汲極區612a和第二源極區612b配置為對應於例如包括如上所述的第1圖的有源區121和122。在多種實施例中,第二汲極區612a和第二源極區612b的形成例如包括沉積、離子注入、化學機械拋光和蝕刻,如關於第一汲極區610a和第一源極區610b所說明的。
此外,在第6N圖中,去除虛置閘極圖案606,並且在淺溝槽隔離605a-605b、第二汲極區612a、第二源極區612b和第二半導體結構603b上設置絕緣體613。在一些實施例中,例如透過乾蝕刻和/或濕蝕刻來去除虛置閘極圖案606。絕緣體613透過例如CVD工藝被形成,並且透過使用包括光刻和蝕刻的圖案化操作被圖案化為遮罩圖案。
在第5圖的步驟540中,去除多層堆疊607的第二半導體層604,從而在第一半導體層603的兩個相鄰層之間提供間隔,如第6O圖所示。具體地,在步驟540之前,在y方向上暴露多層堆疊607的第二半導體層604。因此,在一些實施例中,使用濕蝕刻劑沿y方向去除或蝕刻穿過第二半導體層604,濕蝕刻劑可以選擇性地在第一半導體層603上蝕刻第二半導體層604。濕蝕刻劑例如但不限於僅限於氫氧化銨(NH4OH)、四甲基氫氧化銨(TMAH)、乙二胺鄰苯二酚(EDP)或氫氧化鉀(KOH)溶液。換言之,第二半導體層604(例如,SiGe)的蝕刻在第一半導體層603處停止。
在一些實施例中,利用第6O圖的配置,透過絕緣體611將第一半導體結構603a與第二半導體結構603b電絕緣。
在一些實施例中,多層堆疊607透過例如圓線/方線、奈米板、奈米片、多橋溝道、奈米環或任何其他合適種類的奈米結構來實現。
在第5圖的步驟550中,如第6P圖所示,在多層堆疊607的第一部分上方形成第一閘極區614。如第6P圖所示,第一閘極區614填充在第一半導體結構603a中。第一閘極區614配置為例如相對於第1圖的閘極113。在一些實施例中,第一閘極區614包括一層或多層導電材料,諸如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他合適的材料和/或其組合。在一些實施例中,第一閘極區614的形成包括例如CVD、ALD、電鍍或其他合適的方法。
在一些實施例中,在形成第一閘極區614之前,在第一半導體層603中形成閘極介電層(未示出)並且圍繞第一半導體層603的內部。第一閘極區614還形成在閘極介電層中。在一些實施例中,閘極介電層包括一層或多層介電材料,諸如氧化矽、氮化矽或高k介電材料、其他合適的介電材料和/或其組合。高k介電材料的示例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高k介電材料和/或其組合。在一些實施例中,閘極介電層102包括形成在溝道層和介電材料之間的介面層。
在多種實施例中,閘極介電層的形成例如包括CVD、ALD或任何合適的方法。在一個實施例中,為了確保在包括第一半導體層603的每個溝道層周圍形成具有均勻厚度的閘極介電層,使用諸如ALD的高保形沉積工藝形成閘極介電層。在一個實施例中,閘極介電層的厚度在約1nm至約6nm的範圍內。
在第5圖的步驟560中,如第6Q圖所示,在第一閘極區614上方形成絕緣層615。絕緣層615配置為例如相對於第1圖的絕緣層130。為了示例,由絕緣體611圍繞絕緣層615。在一些實施例中,透過用絕緣材料填充第一半導體結構603a和第二半導體結構603b之間的間隔來形成絕緣層615。在 多種實施例中,絕緣層615的形成例如包括沉積、化學機械拋光和蝕刻。
在第5圖的步驟570中,如第6R圖所示,在多層堆疊607的第二部分上方形成第二閘極區616。如第6R圖所示,第二閘極區616填充在第二半導體結構603b中並且佈置在第一半導體層603的暴露的頂層上方。第二閘極區616配置為例如相對於第1圖的閘極123。在一些實施例中,第二閘極區616例如包括閘極介電層,閘極介電層與圍繞第二閘極區616的第一半導體層603接觸。在各種實施例中,第二閘極區616的形成例如包括沉積、化學機械拋光和蝕刻。
在一些實施例中,第一閘極區614和第二閘極區616由相同的閘極材料形成。然而,本案的一實施例並不旨在限制本案的範圍。例如,第一閘極區614和第二閘極區616由不同的閘極材料形成。
在一些實施例中,利用第6O圖的配置,透過絕緣體611將第一半導體結構603a與第二半導體結構603b電絕緣。
此外,為了示例,在第6S圖中,去除絕緣體613。如第6S圖所示,暴露出淺溝槽隔離605a-605b、第二汲極區612a、第二源極區612b和第二半導體結構603b。
如上所述,本案的一實施例中的積體電路在至少兩個閘極之間提供有絕緣層,其中至少兩個閘極垂直堆疊。絕緣層使至少兩個閘極中的一個閘極與另一個閘極電絕緣,從而簡化了至少兩個閘極之間的佈線佈置。因此,減小了積體電路在平面圖中的所需面積。
第7圖是根據本案的一實施例一些實施例的IC器件設計系統700的框圖。根據一些實施例,可以使用IC器件設計系統700來實施如以上關於第5圖所示的方法的一個或多個操作。
在一些實施例中,IC器件設計系統700是包括硬體處理器702和 非暫時性電腦可讀存儲介質704的計算器件。除其他之外,非暫時性電腦可讀存儲介質704被編碼存儲電腦程式代碼,即一組可執行指令706。由硬體處理器702執行指令706(至少部分地)代表積體電路器件設計系統實施了的以上關於第5圖討論方法的部分或全部(在下文中注明的過程和/或方法)。
處理器702經由匯流排708電耦合至非暫時性電腦可讀存儲介質704。處理器702透過匯流排708也電耦合至I/O介面710及製造工具716。網路介面712經由匯流排708也電耦合至處理器702。網路介面712連接到網路714,使得處理器702和非暫時性電腦可讀存儲介質704能夠經由網路714連接到外部元件。處理器702配置為執行編碼在非暫時性電腦可讀存儲介質704中的指令706,以使IC器件設計系統700可用於執行所提到的過程和/或方法的部分或全部。在一個或多個實施例中,處理器702是中央處理單元(CPU)、多處理器、分散式處理系統、專用積體電路(ASIC)和/或合適的處理單元。
在一個或多個實施例中,非暫時性電腦可讀存儲介質704是電子、磁性、光學、電磁、紅外和/或半導體系統(或裝置或器件)。例如,非暫時性電腦可讀存儲介質704包括半導體或固態記憶體、磁帶、可移動電腦軟碟、隨機存取記憶體(RAM)、唯讀記憶體(ROM)、剛性磁片和/或光碟。在使用光碟的一個或多個實施例中,非暫時性電腦可讀存儲介質704包括光碟唯讀記憶體(CD-ROM)、光碟讀/寫(CD-R/W)和/或數位視訊光碟(DVD)。
在一個或多個實施例中,非暫時性電腦可讀存儲介質704存儲指令706,指令706配置為使IC器件設計系統700可用於執行所提到的過程和/或方法的部分或全部。在一個或多個實施例中,非暫時性電腦可讀存儲介質704還存儲有助於執行所提到的過程和/或方法的部分或全部的資訊。在多種實施例中,非暫時性電腦可讀存儲介質704存儲至少一個IC佈局設計720或至少 一個設計規範722中的一個或組合,至少一個IC佈局設計720或至少一個設計規範722中的每個以上關於第3A圖至第4D圖和第5圖中的方法討論。
IC器件設計系統700包括I/O介面710。I/O介面710耦合到外部電路。在多種實施例中,I/O介面710包括鍵盤、小鍵盤、滑鼠、軌跡球、軌跡板、顯示器、觸控式螢幕和/或游標方向鍵之一或組合,以用於向處理器702和/或從處理器702傳達資訊和命令。
IC器件設計系統700還包括耦合到處理器702的網路介面712。網路介面712允許IC器件設計系統700與連接一個或多個其他電腦系統的網路714通信。網路介面712包括無線網路介面,諸如藍牙、WIFI、WIMAX、GPRS或WCDMA;或者有線網路介面,諸如ETHERNET、USB或IEEE-1364。在一個或多個實施例中,在兩個或多個系統700中實現所提到的過程和/或方法的部分或全部。
IC器件設計系統700配置為透過I/O介面710接收資訊。透過I/O介面710接收的資訊包括至少一個設計規則指令、至少一組標準、至少一個設計規則、至少一個DRM和/或供處理器702處理的其他參數中的一個或組合。資訊經由匯流排708傳輸到處理器702。IC器件設計系統700配置為透過I/O介面710的介面發送和/或接收與使用者有關的資訊。
在一些實施例中,所提到的過程和/或方法的部分或全部被實施為由處理器執行的獨立軟體應用程式。在一些實施例中,所提到的過程和/或方法的部分或全部被實施為作為部分附加軟體應用程式的軟體應用程式。在一些實施例中,所提到的過程和/或方法的部分或全部被實施為軟體應用程式的外掛程式。在一些實施例中,所提到的過程和/或方法中的至少一個被實施作為EDA工具的部分的軟體應用。在一些實施例中,使用諸如可從CADENCE DESIGN SYSTEMS,Inc獲得的VIRTUOSO®或其他合適的佈局生成工具來生 成IC佈局圖。
在一些實施例中,這些過程被實施為存儲在非暫時性電腦可讀記錄介質中的程式的功能。非暫時性電腦可讀記錄介質的示例包括但不限於外部/可移動和/或內部/內置存儲或記憶體單元,例如,諸如DVD的光碟、諸如硬碟的磁片、諸如ROM、RAM存儲卡的半導體記憶體等中的一個或多個。
透過可用於實施第5圖中的方法的一個或多個操作,IC器件設計系統700和非暫時性電腦可讀存儲介質(例如非暫時性電腦可讀存儲介質704)實現了以上關於第5圖中的方法所討論的益處。
第8圖是根據本案的一實施例的一些實施例的IC製造系統800以及與其相關聯的IC製造流程的框圖。在一些實施例中,使用IC製造系統800基於佈局設計來製造(A)一個或多個半導體遮罩中的至少一個或(B)半導體積體電路的層中的至少一個元件。
在第8圖中,IC製造系統800包括在設計、開發和製造週期中彼此/或與製造IC裝置860有關的服務交互的實體,諸如設計室820、遮罩室830和IC製造商/廠商(「fab」)850。系統800中的實體透過通信網路連接。在一些實施例中,通信網路是單個網路。在一些實施例中,通信網路是多個不同的網路,例如企業內部網和網際網路。通信網路包括有線和/或無線通訊通道。每個實體與一個或多個其他實體進行交互,並向一個或多個其他實體提供服務和/或從其中一個或多個其他實體接收服務。在一些實施例中,設計室820、遮罩室830和IC廠商850中的兩個或更多個由單個較大的公司擁有。在一些實施例中,設計室820、遮罩室830和IC廠商850中的兩個或更多個共存於公共設施中並使用公共資源。
參照第3A圖至第4D圖所討論的,設計室(或設計團隊)820基於第5圖中的方法生成IC設計佈局圖(或設計)822。IC設計佈局圖822包括多 種幾何圖案,多種幾何圖案與構成要製造的IC器件860的多種元件的金屬、氧化物或半導體層的圖案相對應。各個層組合形成多種IC功能。例如,IC設計佈局圖822的部分包括多種IC部件,諸如要在半導體基板(諸如矽晶圓)中形成的有源區、柵電極、源極和汲極、層間互連的金屬線或通孔、和用於用於焊盤的開口,以及設置在半導體基板上的多種材料層。設計室820實施適當的設計過程以形成IC設計佈局圖822,設計過程包括參照第3A圖至第4D圖所討論的第5圖中的方法。設計過程包括邏輯設計、物理設計或佈局和佈線中的一個或多外。IC設計佈局圖822呈現在具有幾何圖案資訊的一個或多個資料檔案中。例如,IC設計佈局圖822可以以GDSII檔案格式或DFII檔案格式表達。
遮罩室830包括資料準備832和遮罩製造844。遮罩室830使用IC設計佈局圖822來製造一個或多個遮罩845,以根據IC設計佈局圖822來製造IC器件860的各個層。遮罩室830執行遮罩資料準備832,其中IC設計佈局圖822被轉變成代表性資料檔案(「RDF」)。遮罩資料準備832將RDF提供給遮罩製造844。遮罩製造844包括遮罩寫入器。遮罩寫入器將RDF轉換為基板上的圖像,諸如遮罩(遮罩版)845或半導體晶圓853。透過遮罩資料準備832處理設計佈局圖822以符合遮罩寫入器的特定特徵和/或IC廠商850的要求。在第8圖中,遮罩資料準備832和遮罩製造844被示為單獨的元件。在一些實施例中,遮罩資料準備832和遮罩製造844統稱為遮罩資料準備。
在一些實施例中,遮罩資料準備832包括光學接近度校正(OPC),OPC使用光刻增強技術來補償圖像誤差,諸如可能由衍射、干涉、其他工藝效應等引起的圖像誤差。OPC調整IC設計佈局圖822。在一些實施例中,遮罩資料準備832包括其他解析度增強技術(RET),諸如離軸照明、子解析度輔助部件、相移遮罩、其他合適的技術等或其組合。在一些實施例中,還使用反 光刻技術(ILT),ILT將OPC視為反成像問題。
在一些實施例中,遮罩資料準備832包括遮罩規則檢查器(MRC),MRC使用一組遮罩創建規則來檢查已經在OPC中進行過處理的IC設計佈局圖822,遮罩創建規則包含某些幾何和/或連線性限制以確保足夠的餘量,以解決半導體製造工藝中的可變性等。在一些實施例中,MRC修改IC設計佈局圖822以補償遮罩製造844期間的限制,這可以撤銷由OPC執行的部分修改以滿足遮罩創建規則。
在一些實施例中,遮罩資料準備832包括光刻工藝檢查(LPC),LPC模擬將由IC廠商850實施以製造IC器件860的工藝。LPC基於IC設計佈局圖822來模擬該工藝以創建模擬的製造器件,諸如IC器件860。LPC模擬中的工藝參數可以包括與IC製造週期的多種工藝相關聯的參數、與用於製造IC的工具相關聯的參數和/或製造工藝的其他方面。LPC考慮了多種因素,諸如航空圖像對比度、焦深(「DOF」)、遮罩誤差增強因素(「MEEF」)、其他合適的因素等或其組合。在一些實施例中,在LPC已經創建了模擬的製造器件之後,如果模擬的器件在形狀上不夠接近以滿足設計規則,則重複OPC和/或MRC以進一步完善IC設計佈局圖822。
應當理解,為了清楚,遮罩資料準備832的以上描述已被簡化。在一些實施例中,資料準備832包括諸如邏輯操作(LOP)的附加部件,以根據製造規則來修改IC設計佈局圖822。另外,可以以多種不同的循序執行在資料準備832期間應用於IC設計佈局圖822的工藝。
在遮罩資料準備832之後並且在遮罩製造844期間,基於修改的IC設計佈局圖822製造遮罩845或一組遮罩845。在一些實施例中,遮罩製造844包括基於IC設計佈局圖822執行一個或多個光刻曝光。在一些實施例中,基於修改的IC設計佈局圖822,使用電子束(e-束)或多個電子束的機制在遮罩 (光遮罩或遮罩版)845上形成圖案。可以在多種技術中形成遮罩845。在一些實施例中,使用二進位技術形成遮罩845。在一些實施例中,遮罩圖案包括不透明區和透明區。用於曝光已經塗覆在晶圓上的圖像敏感材料層(例如,光致抗蝕劑),諸如紫外線(UV)束的輻射束被不透明區阻擋並且傳輸穿過透明區。在一個示例中,遮罩845的二元遮罩版本包括透明基板(例如,熔融石英)和塗覆在二元遮罩的不透明區中的不透明材料(例如,鉻)。在另一個示例中,使用相移技術形成遮罩845。在遮罩845的相移遮罩(PSM)版本中,形成在相移遮罩上的圖案中的多種部件配置為具有適當的相差以增強解析度和成像品質。在多種示例中,相移遮罩可以是衰減的PSM或交替的PSM。由遮罩製造844生成的遮罩用在多種工藝中。例如,在離子注入工藝中使用這種遮罩以在半導體晶圓853中形成多種摻雜區域,在蝕刻工藝中使用這種遮罩以在半導體晶圓853中形成多種蝕刻區域,和/或在其他合適的工藝中使用。
IC廠商850包括晶圓製造852。IC廠商850是IC製造企業,IC製造企業包括一個或多個用於製造多種不同IC產品的製造設施。在一些實施例中,IC廠商850是半導體鑄造廠。例如,可能有一個製造工廠用於多個IC產品的前端製造(前端(FEOL)製造),而第二個製造工廠可以為IC產品的互連和封裝提供後端製造(後端(BEOL)製造),並且第三製造工廠可以為鑄造業務提供其他服務。
IC廠商850使用由遮罩室830製造的遮罩845來製造IC器件860。因此,IC廠商850至少間接地使用IC設計佈局圖822來製造IC器件860。在一些實施例中,透過IC廠商850使用遮罩845來形成IC器件860。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖822來執行一個或多個光刻曝光。半導體晶圓853包括矽基板或其他適當的其上形成有材料層的基板。半導體晶圓853進一步包含多種摻雜區、介電部件、多層互連等中的一個或多 個(在隨後的製造步驟中形成)。
在一些實施例中公開了一種積體電路,包括第一電晶體、第二電晶體和第一絕緣層。第一電晶體設置在第一層中並且包括第一閘極。第二電晶體設置在第一層上方的第二層中,並且包括第二閘極。第一閘極和第二閘極在第一方向上彼此分離。第一絕緣層設置在第一電晶體的第一閘極和第二電晶體的第二閘極之間。第一絕緣層配置為將第一電晶體的第一閘極與第二電晶體的第二閘極電絕緣。在一些實施例中,第一電晶體和第二電晶體具有彼此不同的導電類型。在一些實施例中,第一電晶體還包括在不同於第一方向的第二方向上延伸的第一汲極和第一源極。第二電晶體還包括在第二方向上延伸的第二汲極和第二源極。在平面圖中,第一電晶體的第一汲極或第一源極與第二電晶體的第二汲極重疊。在一些實施例中,積體電路還包括設置在第二層上方的第三層中的第一導電段和第二導電段;第一通孔和第二通孔在與第一方向不同的第二方向上彼此分離。第一通孔耦合在第一導電段和第一電晶體的第一閘極之間,第二通孔耦合在第二導電段和第二電晶體的第二閘極之間。在一些實施例中,第一絕緣層包括與第一閘極接觸的第一表面和與第二閘極接觸的第二表面。在一些實施例中,積體電路還包括第三電晶體、第四電晶體、第五電晶體和第六電晶體。第三電晶體和第四電晶體設置在第一層中並且在第二方向上與第一電晶體分離。第五電晶體和第六電晶體設置在第二層中並且在第二方向上與第二電晶體分離。第三電晶體和第五電晶體包括在第二方向上與第一閘極和第二閘極分離的第三閘極。第四電晶體和第六電晶體包括沿著第二方向與第三閘極相鄰佈置的第四閘極。第一閘極和第三閘極接收第一控制信號,第二閘極和第四閘極接收與第一控制信號不同的第二控制信號。在一些實施例中,第三電晶體和第四電晶體的導電類型不同於第五電晶體和第六電晶體的導電類型。在一些實施例中,積體電路還包括第三電晶體、第四電晶體和第二絕緣層。第三電晶 體設置在第一層中並且在第二方向上與第一電晶體分離,其中第三電晶體包括第三閘極。第四電晶體設置在第二層中並且在第二方向上與第二電晶體分離,其中第四電晶體包括第四閘極。第二絕緣層設置在第三電晶體的第三閘極和第四電晶體的第四閘極之間。第二絕緣層配置為將第三電晶體的第三閘極與第四電晶體的第四閘極電絕緣。在一些實施例中,積體電路還包括多個通孔、多個第一導電段以及第二導電段。多個第一導電段設置在第二層上方的第三層中。第二導電段和第三導電段設置在第三層上方的第四層中。第一電晶體的第一閘極透過多個通孔中的第一組、多個第一導電段中的第一組和第二導電段耦合到第四電晶體的第四閘極。第二電晶體的第二閘極透過多個通孔中的第二組、多個第一導電段的第二組和第三導電段耦合到第三電晶體的第三閘極。在一些實施例中,第一絕緣層包括二氧化矽、氮化矽、碳氧化矽或碳化矽絕緣結構。
在另一些實施例中公開了一種積體電路,包括有源區、閘極和通孔。有源區在第一方向上延伸。閘極穿過有源區中的相應有源區並且在不同於第一方向的第二方向上延伸。閘極包括在佈局圖中彼此部分重疊的第一閘極和第二閘極,並且第一閘極和第二閘極在不同於第一方向和第二方向的第三方向上彼此分離。通孔包括第一通孔和第二通孔。第一通孔接觸第一閘極,第二通孔接觸第二閘極。第一通孔和第二通孔在第二方向上彼此分離。在一些實施例中,積體電路還包括第一絕緣層,第一絕緣層在第二方向上延伸並且在佈局視圖中與第一閘極和第二閘極部分重疊。第一絕緣層設置在第一閘極和第二閘極之間。在一些實施例中,積體電路還包括在第一方向上延伸並且在第二方向上彼此分離的多個導電段。多個導電段包括第一導電部分和第二導電部分,並且在佈局視圖中,第一導電部分與第一通孔重疊,第二導電部分與第二通孔重疊。在一些實施例中,積體電路還包括導電段,導電段耦合到第一通孔並在第一方向上延伸。多個閘極還包括在第一方向上與第一閘極和第二閘極分離的第三閘極, 並且多個通孔還包括耦合到第三閘極的第三通孔。第一閘極透過第一通孔、導電段和第三通孔耦合到第三閘極。在一些實施例中,積體電路還包括在第二方向上延伸並且在第一方向上彼此分離的第一絕緣層和第二絕緣層。多個閘極包括在佈局圖中彼此重疊的第三閘極和第四閘極,並且第一絕緣層設置在第一閘極和第二閘極之間,第二絕緣層設置在第三閘極和第四閘極之間。在一些實施例中,積體電路還包括多個第一導電段和多個第二導電段。多個第一導電段包括在第一方向上延伸的第一導電部分和第二導電部分。多個第二導電段包括在第二方向上延伸的第一導電部分。第一閘極透過第一通孔、多個第一導電段的第一導電部分和第二導電部分、多個第二導電段的第一導電部分和第三通孔耦合到第三閘極。在一些實施例中,多個有源區包括鄰近第一閘極設置的多個第一有源區和鄰近第二閘極設置的多個第二有源區。多個第一有源區和多個第二有源區具有不同的導電類型。
在另一些實施例中公開了一種積體電路的形成方法,方法包括以下步驟:形成多層堆疊,其中多層堆疊包括交替堆疊的第一半導體層和第二半導體層。形成在多層堆疊的第一部分的相對側上的第一源極區和第一汲極區,並且形成在多層堆疊的第二部分的相對側上的第二源極區和第二汲極區;去除多層堆疊中的第二半導體層;形成在多層堆疊的第一部分上方的第一閘極區;形成在第一閘極區上方的第一絕緣層;形成在第一絕緣層上方和多層堆疊的第二部分上方的第二閘極區。在一些實施例中,形成第一絕緣層包括用絕緣材料填充多層堆疊的第一部分和多層堆疊的第二部分之間的間隔。在一些實施例中,方法還包括在第一源極區和第一汲極區上方形成第二絕緣層。第二絕緣層佈置為圍繞第一絕緣層。
100:積體電路
110,120:電晶體
111,112,121,122:有源區
113,123:閘極
130:絕緣層
114,115,124,125:擴散上方金屬
XX’:橫截線

Claims (10)

  1. 一種積體電路,包括:一第一電晶體,設置在一第一層中並包括一第一閘極;一第二電晶體,設置在該第一層上方的一第二層中並且包括一第二閘極;其中,該第一閘極和該第二閘極在一第一方向上彼此分離;一第一絕緣層,設置在該第一電晶體的該第一閘極和該第二電晶體的該第二閘極之間,其中,該第一絕緣層配置為將該第一電晶體的該第一閘極與該第二電晶體的該第二閘極電絕緣,其中該第一絕緣層接觸該第一閘極以及該第二閘極;以及一第一通孔,與該第一閘極耦接,並沿該第一方向從該第一層延伸至該第二層。
  2. 如請求項1所述之積體電路,其中,該第一電晶體和該第二電晶體具有彼此不同的導電類型。
  3. 如請求項1所述之積體電路,其中,該第一電晶體還包括在與該第一方向不同的第二方向上延伸的第一汲極和第一源極;以及該第二電晶體還包括在該第二方向上延伸的第二汲極和第二源極; 其中,該第一電晶體的該第一汲極或該第一源極與該第二電晶體的該第二汲極在平面圖中重疊。
  4. 如請求項1所述之積體電路,更包括:一第一導電段和一第二導電段,設置在該第二層上方的一第三層中;以及一第二通孔,在與該第一方向不同的一第二方向上與該第一通孔分離;其中,該第一通孔耦合在該第一導電段和該第一電晶體的該第一閘極之間,該第二通孔耦合在該第二導電段和該第二電晶體的該第二閘極之間。
  5. 如請求項1所述之積體電路,其中,該第一絕緣層包括與該第一閘極接觸的一第一表面和與該第二閘極接觸的一第二表面。
  6. 如請求項1所述之積體電路,更包括:一第三電晶體和一第四電晶體,設置在該第一層中並且在一第二方向上與該第一電晶體分離;以及一第五電晶體和一第六電晶體,設置在該第二層中並且在該第二方向上與該第二電晶體分離;其中,該第三電晶體和該第五電晶體包括在該第二方向上與該第一閘極和該第二閘極分離的一第三閘極,以及該第四電晶體和該第六電晶體包括沿著該第二方向與該 第三閘極相鄰佈置的一第四閘極;其中,該第一閘極和該第三閘極接收一第一控制信號,並且該第二閘極和該第四閘極接收不同於該第一控制信號的一第二控制信號。
  7. 如請求項6所述之積體電路,其中,該第三電晶體和該第四電晶體的導電類型不同於該第五電晶體和該第六電晶體的導電類型。
  8. 如請求項1所述之積體電路,更包括:一第三電晶體,設置在該第一層中並且在一第二方向上與該第一電晶體分離,其中,該第三電晶體包括一第三閘極;一第四電晶體,設置在該第二層中並且在該第二方向上與該第二電晶體分離,其中,該第四電晶體包括一第四閘極;以及一第二絕緣層,設置在該第三電晶體的該第三閘極和該第四電晶體的該第四閘極之間,其中,該第二絕緣層配置為將該第三電晶體的該第三閘極與該第四電晶體的該第四閘極電絕緣。
  9. 一種積體電路,包括:複數個有源區,該些有源區中的每一者沿一第一方向延伸; 複數個閘極,該些閘極中的每一者跨過該些有源區中的一相應有源區並且在不同於該第一方向的一第二方向上延伸,其中,該些閘極包括在一佈局視圖中彼此部分重疊的在一第一層中的一第一閘極和在不同於該第一層之一第二層中的一第二閘極,並且該第一閘極和該第二閘極在不同於該第一方向和該第二方向的一第三方向上彼此分離;以及複數個通孔,包括一第一通孔和一第二通孔,其中,該第一通孔接觸該第一閘極,該第二通孔接觸該第二閘極,其中,該第一通孔和該第二通孔在該第二方向上彼此分離;其中該第一通孔沿該第三方向從該第一層延伸至該第二層。
  10. 一種積體電路的形成方法,包括:形成一多層堆疊,其中,該多層堆疊包括交替堆疊的複數個第一半導體層和複數個第二半導體層;形成在該多層堆疊的一第一部分的相對側上的一第一源極區和一第一汲極區,並且形成在該多層堆疊的一第二部分的相對側上的一第二源極區和一第二汲極區;去除該多層堆疊中的該些第二半導體層;形成在該多層堆疊的該第一部分上方的一第一閘極區;形成在該第一閘極區上方的一絕緣層;以及形成在該絕緣層上方和該多層堆疊的該第二部分上方的 一第二閘極區;其中該絕緣層在一佈局視圖中與該第一閘極區以及該第二閘極區重疊。
TW109111826A 2019-04-12 2020-04-08 積體電路及其形成方法 TWI749513B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962833464P 2019-04-12 2019-04-12
US62/833,464 2019-04-12
US16/806,978 2020-03-02
US16/806,978 US11374003B2 (en) 2019-04-12 2020-03-02 Integrated circuit

Publications (2)

Publication Number Publication Date
TW202105720A TW202105720A (zh) 2021-02-01
TWI749513B true TWI749513B (zh) 2021-12-11

Family

ID=72613743

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109111826A TWI749513B (zh) 2019-04-12 2020-04-08 積體電路及其形成方法

Country Status (3)

Country Link
US (2) US20220302111A1 (zh)
DE (1) DE102020106252A1 (zh)
TW (1) TWI749513B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230087690A1 (en) * 2021-09-20 2023-03-23 International Business Machines Corporation Semiconductor structures with power rail disposed under active gate
US11778803B2 (en) 2021-09-29 2023-10-03 Advanced Micro Devices, Inc. Cross FET SRAM cell layout
US11881393B2 (en) 2021-09-29 2024-01-23 Advanced Micro Devices, Inc. Cross field effect transistor library cell architecture design

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201511224A (zh) * 2013-06-25 2015-03-16 Intel Corp 具有區域內層互連的單晶三維(3d)積體電路(ic)
TW201731025A (zh) * 2015-12-18 2017-09-01 英特爾股份有限公司 堆疊電晶體
TW201735182A (zh) * 2015-12-26 2017-10-01 英特爾股份有限公司 使用共享共閘極之堆疊電晶體構建的動態邏輯
US10192867B1 (en) * 2018-02-05 2019-01-29 Globalfoundries Inc. Complementary FETs with wrap around contacts and method of forming same

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
KR101857873B1 (ko) * 2016-09-26 2018-06-19 고려대학교 산학협력단 로직 반도체 소자
US9997413B1 (en) * 2017-03-22 2018-06-12 International Business Machines Corporation Stacked vertical devices
US10553678B2 (en) * 2017-11-02 2020-02-04 International Business Machines Corporation Vertically stacked dual channel nanosheet devices
US10546925B2 (en) * 2017-11-02 2020-01-28 International Business Machines Corporation Vertically stacked nFET and pFET with dual work function
US10833078B2 (en) * 2017-12-04 2020-11-10 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
US10679906B2 (en) * 2018-07-17 2020-06-09 International Business Machines Corporation Method of forming nanosheet transistor structures with reduced parasitic capacitance and improved junction sharpness
US11380684B2 (en) * 2018-09-28 2022-07-05 Intel Corporation Stacked transistor architecture including nanowire or nanoribbon thin film transistors
US11362110B2 (en) * 2019-09-27 2022-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201511224A (zh) * 2013-06-25 2015-03-16 Intel Corp 具有區域內層互連的單晶三維(3d)積體電路(ic)
TW201731025A (zh) * 2015-12-18 2017-09-01 英特爾股份有限公司 堆疊電晶體
TW201735182A (zh) * 2015-12-26 2017-10-01 英特爾股份有限公司 使用共享共閘極之堆疊電晶體構建的動態邏輯
US10192867B1 (en) * 2018-02-05 2019-01-29 Globalfoundries Inc. Complementary FETs with wrap around contacts and method of forming same

Also Published As

Publication number Publication date
US20220302111A1 (en) 2022-09-22
DE102020106252A1 (de) 2020-10-15
US20230343784A1 (en) 2023-10-26
TW202105720A (zh) 2021-02-01

Similar Documents

Publication Publication Date Title
KR102281561B1 (ko) 집적 회로
TWI749513B (zh) 積體電路及其形成方法
KR102218929B1 (ko) 브릿징 위험성 감소 및 성능 향상을 위한 상이한 비아 크기의 구성
TWI765178B (zh) 半導體元件的佈局、系統與半導體元件
US20210375853A1 (en) Integrated circuit device, system and method
US20240014124A1 (en) Capacitor and method for forming the same
TWI806282B (zh) 積體電路裝置
US11923369B2 (en) Integrated circuit, system and method of forming the same
TW202209161A (zh) 半導體裝置及其製造方法
US20230022333A1 (en) Integrated circuit and method of forming the same
CN111816655B (zh) 集成电路及其形成方法
US11081479B1 (en) Integrated circuit layout with asymmetric metal lines
TW202240794A (zh) 積體電路結構
US11328957B2 (en) Semiconductor device and manufacturing method thereof
TWI807579B (zh) 半導體元件及其製造方法
US20230197145A1 (en) Integrated circuit device and manufacturing method of the same
TWI747292B (zh) 半導體裝置
US11855070B2 (en) Semiconductor device, method of and system for manufacturing semiconductor device
US12009362B2 (en) Method of making amphi-FET structure and method of designing
US12021021B2 (en) Integrated circuit structure
US20230245970A1 (en) Integrated circuit, system and method of forming same
US20230064223A1 (en) Integrated circuit structure
US20230387128A1 (en) Integrated circuit and method of forming the same
US20230260878A1 (en) Integrated circuit and method of forming the same
TW202141639A (zh) 半導體元件及其製造方法