TWI747977B - 具有任意角之圖案的光罩建模方法 - Google Patents

具有任意角之圖案的光罩建模方法 Download PDF

Info

Publication number
TWI747977B
TWI747977B TW106136692A TW106136692A TWI747977B TW I747977 B TWI747977 B TW I747977B TW 106136692 A TW106136692 A TW 106136692A TW 106136692 A TW106136692 A TW 106136692A TW I747977 B TWI747977 B TW I747977B
Authority
TW
Taiwan
Prior art keywords
layout
mask
photomask
kernel function
pattern
Prior art date
Application number
TW106136692A
Other languages
English (en)
Other versions
TW201823852A (zh
Inventor
賴建任
欣 周
丹平 彭
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201823852A publication Critical patent/TW201823852A/zh
Application granted granted Critical
Publication of TWI747977B publication Critical patent/TWI747977B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一種具有任意角之圖案的光罩建模方法,步驟包括:接收一光罩佈局;根據一組預選的光罩佈局樣本產生一組二維核函數;將上述組二維核函數應用於接收到的光罩佈局,以取得一校正場;以及根據校正場之一部份,判斷接收到的光罩佈局之近場。

Description

具有任意角之圖案的光罩建模方法
本發明實施例係有關於一種光罩建模方法,特別係有關於一種具有任意角之圖案的光罩建模方法。
半導體裝置工業係經歷了快速成長。於積體電路演化的過程中,功能密度(functional density)通常隨著製程可產生的幾何尺寸縮小而提高。尺寸縮減過程通常可帶來益處,例如增加製造之效率以及降低相關成本,但也會增加半導體裝置的設計以及製造的複雜度。
光學鄰近校正(optical proximity correction,OPC)係為一種應用於半導體裝置之設計以及製造之技術。光學鄰近校正包括應用將改變半導體裝置之佈局之光罩設計之特徵,以補償發生於使用微影工具期間輻射之繞射(diffraction)以及微影光阻之化學製程所引起的失真。因此,光學鄰近校正提供了可在裝置之基板上產生更符合半導體裝置設計者(例如積體電路裝置設計者)之設計佈局的電路圖案。光學鄰近校正包括使用一倍縮光罩(reticle)或者光罩進行的所有解析度增益技術,包括例如增加次解析度特徵(sub-resolution feature)至光罩中以於實體設計中與原圖案相互作用;增加例如截線(serif)之特徵至原圖案中;增加割階(jog)至原圖案之特徵中;修 改主要特徵之圖案形狀或者邊緣;以及其它加強處理等。隨著製程節點(process nodes)的縮小,光學鄰近校正處理以及所得到的圖案變得更加複雜。
先進的光學鄰近校正處理其中一個類型係為一反向微影技術(inverse lithography technology,ILT)。反向微影技術包括以反方向模擬光學微影技術,並於基板上使用所需的圖案作為上述模擬的輸入。反向微影技術可於光罩或者倍縮光罩上產生復雜的曲線圖案,而不是於常規的光罩或者倍縮光罩上形成曼哈頓圖案。不幸的是,關於非曼哈頓圖案方面,常規的反向微影技術光罩以及其製造方法仍然面臨各種困難。
因此,儘管現有的反向微影技術光罩通常已足以滿足其預期目的,但並非在所有方面都令人滿意,特別是缺少可處理非曼哈頓圖案之一精確光罩模型。
本發明一實施例係提供一種具有任意角之圖案的光罩建模方法,步驟包括:接收一光罩佈局;根據一組預選的光罩佈局樣本產生一組二維核函數;將上述組二維核函數應用於接收到的光罩佈局,以取得一校正場;以及根據校正場之一部份,判斷接收到的光罩佈局之近場。
100:積體電路製造系統
120:設計公司
122:積體電路設計佈局
130:光罩公司
132:光罩資料準備模組
144:光罩製造模組
150:積體電路製造商
152:晶圓
154:R & D晶圓
156:經驗分析
160:積體電路裝置
180:光罩設計系統
182:處理器
184:系統記憶體
186:大容量儲存裝置
188:通訊模組
190:光罩
192:第一GDSII檔案
194:第二GDSII檔案
200:真實近場
210:薄光罩場
220:校正場
230:核函數
240:放大視窗
300:方法
310-380:步驟流程
400:非曼哈頓圖案
411-416:具不同方位角的二維核函數
450:二維核函數
451-453:分量
460:經過旋轉的二維核函數
461-463:分量
600:方法
610-670:步驟流程
700:空間影像
800:方法
810-890:步驟流程
本發明可透過閱讀以下之詳細說明以及範例並配合相應之圖式以更詳細地了解。需要強調的是,依照業界之標準操作,各種特徵並未依照比例繪製,並且僅用於說明之目的。事實上,為了清楚論述,各種特徵之尺寸可以任意地增加 或減少。
第1圖為根據本發明各個實施例所述之積體電路製造系統之一實施例之簡化方塊圖。
第2圖為根據本發明各個實施例所述之光罩公司之詳細方塊圖。
第3圖為根據本發明各個實施例所述之如何產生一光罩之一近場之圖形化說明。
第4圖係顯示根據本發明各個實施例所述之一處理流程之一方法之流程圖。
第5圖為根據本發明各個實施例所述之用於該圖案之示例性非曼哈頓圖案以及若干二維核函數之圖形化說明。
第6圖為根據本發明各個實施例所述之二維核函數之分解以及旋轉之圖形化說明。
第7圖為根據本發明各個實施例所述之如何利用二維核函數對一非曼哈頓光罩圖案進行邊緣校正處理之一圖形化說明。
第8圖為根據本發明各個實施例所述之製備二維核函數之一方法之流程圖。
第9圖係顯示根據本發明各個實施例所述之如何透過回歸分析(regression analysis)產生二維核函數之簡化示例。
第10圖係顯示根據本發明各個實施例所述之非曼哈頓光罩圖案以及透過非曼哈頓圖案投影於一晶圓上之一空間影像(aerial image)。
第11圖為根據本發明各個實施例所述之對一光罩進行建模之一方法之流程圖。
以下係提供了許多不同之實施例、或示例,用於實現本發明之不同特徵。以下係揭示各種元件以及配置之具體實施例或者示例以簡化描述本發明。當然這些僅為示例但不以此為限。舉例來說,說明書中第一特徵位於第二特徵上方之結構可包括以第一特徵與第二特徵直接接觸之形式,以及可包括以於第一特徵與第二特徵之間插入額外之特徵之形式,使得第一特徵以及第二特徵並未直接接觸。此外,本發明於各種示例中將重複標號和/或字母。上述之重複係用於簡化以及清楚之目的,並非用以指定各種實施例和/或上述配置中之關係。
此外,空間相關術語例如“在...之下”、“以下”、“較低的”、“以上”、“上方”等空間相關術語在此被用於描述圖中例示之一個元件或特徵與另一元件或者特徵之間之關係。空間相關術語可包括設備於使用或操作中除了圖中描繪之方位以外之不同方位。設備可以其它方式被定向(旋轉90度或往其它方位),並且在此使用之空間相關描述詞應可被相應地理解。
隨著半導體製造進展到越來越小的技術節點(technology node),各種技術係用以協助實現小型的裝置尺寸。其中一個例子為反向微影技術。更詳細地,一般的光罩通常將曼哈頓圖案用於包括具有直邊的多邊形(例如矩形、正方形等)的積體電路特徵。在較舊的半導體技術節點中,於晶圓上製造的積體電路特徵(使用一般的微影遮罩)可合理地近似於光罩上的曼哈頓圖案。然而,隨著裝置尺寸的縮小,光罩上的幾何形狀可能明顯地偏離實際製造的積體電路特徵以及於 晶圓上各自的曼哈頓圖案。儘管偏差可以改善製造的製程窗口(process window),但其亦會增加建模的難度。
反向微影技術透過將光學鄰近校正(OPC)視為逆成像問題以解決上述問題,並使用設計圖案之整個區域而非僅使用設計圖案之邊緣來計算光罩圖案。儘管反向微影技術可能在某些情況下產生非直觀的(unintuitive)光罩圖案(例如不具有直線或者直線邊緣之自由形狀(freeform)或者任意形狀之圖案),但反向微影技術可用於製造具有高保真度和/或明顯改善焦深之光罩以及曝光寬容度(exposure latitude)之光罩,從而可轉印出其他方法無法實現的特徵(即幾何圖案)。
然而,反向微影技術也可能產生其它挑戰。舉例來說,建模光罩之常規技術係針對曼哈頓圖樣進行優化。換句話說,這些常規的光罩建模技術假設光罩上之圖案僅具有直的或者線型邊緣。由於反向微影技術係使用具有非直線或者曲線邊緣(例如具有任意角度之圖案)之圖案之光罩,常規光罩微影建模對於反向微影技術光罩可能無法達成預期的結果。
本發明實施例透過產生可快速旋轉之二維核函數以克服上述問題,以精確地建模具有自由形狀或者任意光罩圖案之反向微影技術光罩。以下將透過參閱第1~11圖對本發明之各個實施例提出更詳細之討論。
第1圖為一積體電路(IC)製造系統100以及一與積體電路製造系統相關之IC生產流程之簡單方塊圖。積體電路製造系統100包括若干實體機構(entity),例如一設計公司(design house)120、一光罩公司(mask house)130、以及一積體電路 製造商(IC manufacturer)(即晶圓廠)150。前述之實體機構於設計、開發、以及製造週期和/或於製造積體電路裝置160相關之服務(service)中彼此互動。前述多個實體機構可以通訊網路(communication network)連接,例如,單一網路或是多種不同之網路,例如內部網路(intranet)或是網際網路,並可包括有線或是無線的通訊管道(communication channel)。每一實體可與其它實體機構互動,且可由其它實體機構提供服務或是接收服務。設計公司120、光罩公司130、和/或積體電路製造商150可整合為一較大企業實體的一部份。
在各種實施例中,設計公司120可包括一個或者多個設計團隊,用以產生一積體電路設計佈局(IC design layout)122。積體電路設計佈局122包含各種設計給積體電路裝置(IC device)之幾何圖案(geometrical pattern)。舉例來說,幾何圖案可對應於金屬層、氧化層或是半導體層之圖案,以組成積體電路裝置160中不同的元件。這些不同的層結合後形成積體電路裝置160之各種特徵。舉例來說,積體電路設計佈局122之各個部份可包括例如形成於一半導體基板(例如矽晶圓)上以及設置於半導體基板之各個金屬層上之主動區、閘極、源極以及汲極、層間互連(interlayer interconnection)之金屬線或者導孔(via)以及焊墊(bonding pad)之開口(opening)等特徵。設計公司120進行一設計程序以形成積體電路設計佈局122。設計程序可包括邏輯設計(logic design)、實體設計(physical design)、和/或配置(place)以及線路安排(route)。積體電路設計佈局122以具有與幾何圖案相關之資訊之一個或 者多個資料檔案(data file)來呈現。於一些實施例中,積體電路設計佈局122可以GDSII檔案格式或者DFII檔案格式來表示。
於一些實施例中,設計公司120可經由例如前述的網路連接將積體電路設計佈局122發送至光罩公司130。接著,光罩公司130可利用積體電路設計佈局122以製造一個或者多個用以製造積體電路裝置160中之各個層的光罩。於各種實施例中,光罩公司130可進行光罩資料準備(mask data preparation)132(以下稱為光照資料準備模組)。於光罩資料準備模組132中,積體電路設計佈局122被編譯為可經由一光罩直寫器實體寫入的形式,以及於光罩製造(mask fabrication)144(以下稱為光罩製造模組144)中,修正由光罩資料準備模組132準備好的積體電路設計佈局以符合一特定的光罩直寫器及/或光罩製造商,進而製造該光罩。於第1圖之示例中,係將光罩資料準備模組132以及光罩製造模組144描述為兩個獨立的元件;然而,於一些實施例中,光罩資料準備模組132以及光罩製造模組144可統稱為光罩資料準備。
在一些示例中,光罩資料準備模組132包括應用一個或者多個解析度增益技術(RET)以補償潛在的微影誤差,例如可能由繞射、干涉(interference)或者其它製程影響所引起的微影誤差。於一些示例中,光學鄰近校正(OPC)可用以根據周圍幾何形狀之密度調整線寬、將類似“狗骨頭(dog-bone)”形狀之末端蓋(end-cap)增加至線的末端以防止線末端的縮短、校正電子束(e-beam)鄰近效應、或者用於 本領域已知的其它目的。舉例來說,光學鄰近校正技術可添加次解析輔助特徵(sub-resolution assist features,SRAFs),其可包括例如根據光學模型或者規則增加散射條(scattering bar)、截線(serifs)和/或鎚頭(hammerhead),使得於進行微影製程後,提高晶圓上之最終圖案之解析度以及精確度。光罩資料準備模組132更可包括進一步的解析度增益技術,例如離軸照射(off-axis illumination,OAI)、相位移遮罩(phase-shifting mask,PSM)、其它合適的技術或者其組合。
一種可與光學鄰近校正結合使用的技術為反向微影技術(inverse lithography technology,ILT),其將光學鄰近校正視為逆成像問題,並使用設計圖案之整個區域而非僅用設計圖案之邊緣來計算光罩圖案。儘管反向微影技術可於某些情況下產生不直觀的光罩圖案,但其可用於製造具有高保真度和/或實質上改善的焦深以及曝光寬容度之光罩,藉此以轉印其它方法可能無法實現的特徵(即幾何圖案)。於一些實施例中,反向微影技術製程可更一般地被稱為基於模型(MB)的光罩校正處理。可以肯定的是,在一些示例中,如前述的其它解析度增益技術以及那些可使用用以計算次解析度輔助特徵外型等之模型亦屬於基於模型的光罩校正過程之範疇。
光罩資料準備模組132更可包括一光罩規則檢查器(mask rule checker,MRC),其透過一組光罩建模規則來檢查已經過一個或者多個RET處理(例如OPC、ILT等)之積體電路設計佈局。光罩建模規則可能包含某些幾何以及連接限制,以確保足夠的餘裕來容許半導體製程的變異。於某些情況下, 光罩規則檢查器修改積體電路設計佈局以補償光罩製造模組144可能遇到的限制,其可能透過一個或者多個RET步驟來進行修改以滿足光罩建模規則。
於一些實施例中,光罩資料準備模組132可進一步地包含微影製程檢查(lithography process checking,LPC),LPC模擬IC製造商150製造積體電路裝置160之實施過程。LPC可根據積體電路設計佈局122模擬此流程所製成的裝置,例如積體電路裝置160。於LPC模擬中之製程參數可包含與IC製造週期各種製程相關之參數、與製造IC之工具相關之參數和/或製造過程之其它方面相關之參數。舉例而言,LPC可考慮各種因素,例如投影對比度、焦深(depth of focus,DOF)、光罩誤差增強因子(mask error enhancement factor,MEEF)、其它合適的因素或其組合。如下文更詳細地描述,模擬的製程(例如由LPC實施)可用於提供製程感知規則表之產生(例如用於次解析輔助特徵(SRAF)的插入)。因此,於各種實施例中,考慮到IC製造商150之處理條件,可針對具體積體電路設計佈局122產生SRAF規則表。
於一些實施例中,於模擬的製造裝置已透過LPC產生之後,若模擬的裝置佈局在形狀上並不足夠精確地滿足設計規則,那麼可重複於光罩資料準備模組132中的某些操作(例如OPC以及MRC)以進一步地優化積體電路設計佈局122。在上述情況下,更可更新先前產生的SRAF規則表。
應理解的是,光罩資料準備模組132之描述已因便於描述的目的進行簡化,且資料準備可包含另外的圖形,例如 用於根據製造規則修改積體電路設計佈局之邏輯運算(logic operation,LOP)。此外,於光罩資料準備模組132期間應用於積體電路設計佈局122之製程可以多種不同順序進行。
於光罩資料準備模組132後以及光罩製造模組144期間,可根據修改的積體電路設計佈局製造一光罩或者一組光罩。光罩可以各種技術形成。於一個實施例中,可透過使用二元技術形成光罩。於一些實施例中,光罩圖案包括不透明(opaque)區域以及透明區域。用以曝光塗覆於一晶圓上之一輻射敏感性材料層(radiation-sensitive material layer)(例如光阻劑)之一輻射束(例如紫外(UV)光束)係被不透明區域所阻擋並傳遞穿過透明區域。於一個示例中,二元光罩(binary mask)包括一透明基板(例如熔融石英)以及塗覆於光罩之不透明區域中之不透明材料(例如鉻)。於一些示例中,利用一相位移技術形成光罩。於相位移光罩(phase shift mask,PSM)中,形成於光罩上之圖案中之各種特徵被配置為具有預先配置的相位差,以改善影像解析度以及成像品質。於各種示例中,相位移光罩可為衰減式相位移光罩或者交替式相位移光罩。
於一些實施例中,IC製造商150(例如半導體鑄造廠)使用由光罩公司130所製造的光罩(或複數光罩)將一個或者多個光罩圖案轉移至生產晶圓(production wafer)152上,從而於生產晶圓152上製造積體電路裝置160。IC製造商150可包括用於製造各種不同IC產品的無數製造設備之IC製造設備。舉例來說,IC製造商150可包括用於複數IC產品(即前端 製程(front-end-of-line,FEOL)生產)之第一製造設施,而第二製造設施可提供用於積體電路產品之內連線(interconnection)以及封裝的後端生產(即後端製程(back-end-of-line,BEOL)生產),以及第三製造設施可提供其它服務給製造商事業體。
在各種實施例中,被製造的積體電路裝置160內部和/或其上的半導體晶圓(即生產晶圓152)可包括矽基板或者具有材料層形成於其上的其它基板。其它基板材料可包括另一合適的元素半導體,例如金剛石或者鍺;合適的化合物半導體,例如碳化矽、砷化銦或者磷化銦;或者合適的合金半導體,例如碳化矽鍺、磷砷化鎵或磷化銦鎵。於一些實施例中,半導體晶圓更可包括各種摻雜區域、電介質材料以及多層互連(形成於隨後的製造操作中)。此外,光罩(或者複數光罩)可用於各種製程。舉例來說,光罩(或者複數光罩)可用於離子注入製程中,以於半導體晶圓中形成各種摻雜區域,用於蝕刻製程中,以形成半導體晶圓中的各種蝕刻區域和/或其它合適的製程。
必須理解的是,IC製造商150可使用由光罩公司130所製造的光罩(或者複數光罩)將一個或者多個光罩圖案轉移至研發(research and develop,R & D)晶圓154上。一個或者多個複數微影製程可於R & D晶圓154上進行。R & D晶圓154於微影處理後,可將R & D晶圓154轉移至用於經驗分析(empirical analysis)156之一測試實驗室(例如計量實驗室或者參數測試實驗室)。蒐集來自R & D晶圓154之經驗數據,然 後將其轉移至光罩公司130以便於光罩資料準備模組132。
第2圖為根據本發明各個實施例之第1圖所示之光罩公司130之詳細方塊圖。於所示之實施例中,光罩公司130包括光罩設計系統180,其可用以進行與第1圖之光罩資料準備模組132之相關描述的功能。光罩設計系統180為一資訊處理系統,例如電腦、伺服器、工作站或者其它合適之系統。光罩設計系統180包含處理器182,此處理器182通訊耦接至一系統記憶體184、大容量儲存裝置186以及通訊模組188。系統記憶體184提供具有非暫態且電腦可讀取儲存媒體,以供處理器182執行電腦指令。系統記憶體之示例可包含隨機存取記憶(random access memory,RAM)裝置(例如動態隨機存取記憶體(dynamic RAM,DRAM)、同步動態隨機存取記憶體(synchronous DRAM,SDRAM)、固態記憶體裝置、和/或本發明實施例所屬技術領域習知的各種其它記憶體裝置。電腦程式、指令以及資料係儲存於大容量儲存裝置186中。大容量儲存裝置186之示例可包含硬碟、光碟、磁光碟、固態儲存裝置、和/或本發明實施例所屬技術領域習知的各種其它大容量儲存裝置。通訊模組188可用以與積體電路製造系統100中之其它元件(例如設計公司120)傳遞資訊(例如積體電路設計佈局檔案)。通訊模組188之示例可包含乙太網路卡、IEEE 802.11 WiFi裝置、蜂巢式數據無線電裝置(cellular data radio)、和/或本發明實施例所屬技術領域習知的合適裝置。
在操作上,光罩設計系統180用以在積體電路設計佈局122透過光罩製造模組144轉移至光罩190之前根據各種不 同設計規則以及限制來操作積體電路設計佈局122。舉例來說,於一實施例中,包括OPC、ILT、MRC、和/或LPC之光罩資料準備模組132可被實施為光罩設計系統180上所執行之軟體指令。於此實施例中,光罩設計系統180自設計公司120接收包含積體電路設計佈局122之第一GDSII檔案192。於光罩資料準備模組132完成後,光罩設計系統180傳送包含經修改之積體電路設計佈局之第二GDSII檔案194至光罩製造模組144。於另一實施例中,積體電路設計佈局可以其它檔案格式(例如DFII、CIF、OASIS或其它合適的檔案類型)於積體電路製造系統100之元件之間傳輸。除此之外,於其它實施例中,光罩設計系統180以及光罩公司130可包含額外和/或不同的元件。
在微影處理中,二元光罩圖案之近場類似於光罩圖案,但具有模糊(blurred)的圖案邊緣。因此,二元光罩圖案之近場可近似於薄光罩模型,且此薄光罩模型透過分別將兩個不同的恆定場數值(constant field value)分配給被圖案所佔用或者未佔用之區域的光罩圖案。為了改善近場模型(near field model)之準確性,需要確定一個校正單元(亦稱為一核函數)。經確定後,沿著光罩圖案之(銳利)邊緣應用該核函數,以產生將被增加至薄光罩場(thin mask field)之校正場。這將產生一個具有模糊邊緣的場,其非常類似於光罩的真實近場。
第3圖為如何使用上述處理(即將包含核函數的校正場加到薄光罩場之上)產生光罩之近場的圖形化說明。舉例來說,第3圖係顯示多邊形光罩圖案之真實近場200。從第3圖 中可看出真實近場200具有模糊的邊緣。透過結合一薄光罩場210以及一校正場220可近似於真實近場200。薄光罩場210具有尖銳的邊緣(即不模糊)。校正場220由核函數230形成,第3圖中之放大視窗240係顯示核函數之一些示例。精確的核函數對於任何光罩圖案之真實近場之精確模擬為不可或缺的。
值得注意的是,第3圖中的核函數230為一維核函數,其僅沿著一個維度改變,而其它維度則為均勻的。一維核函數對於曼哈頓圖案(例如第3圖中所示之圖案)係正常作用。然而,對於非曼哈頓圖案而言(例如用於ILT中之曲線圖案或者具有任意角度的圖案),一維核函數可能不足以產生精確的校正場,也就難以產生精確的近場。為了克服此一問題,本發明實施例使用可快速旋轉的二維核函數。這些二維核函數用於產生加於薄光罩場之上的校正場,以對用於ILT中之非曼哈頓圖案產生準確的近場,以下將提出更詳細之描述。
第4圖為本發明一實施例所述之描述方法300之整體流程的流程圖。
方法300包括操作310,載入光罩佈局。光罩佈局可用於ILT光罩,ILT光罩如前所述可包含用於針對某些積體電路圖案進行優化的非曼哈頓形狀。舉例來說,在此所載入的光罩佈局可包括曲線圖案邊緣(curvilinear pattern edges)。
方法300包括操作320,對操作310所載入的光罩佈局進行預處理。於一些實施例中,預處理可包括例如柵格化(rasterization)和/或抗混疊濾波(anti-aliasing filtering)之操作。柵格化是指將以向量繪圖格式(vector graphics format) 描繪(例如包括光罩圖案之多邊形形狀)之圖像轉換為包括像素(或者點)之柵格圖像的工作。於柵格化過程中,可取得一高解析度結果。然而,由於可能不需要如此高的解析度,因此高解析度可能被下轉(down-convert)為較低的解析度。這種下轉過程可能涉及可能導致混疊之訊號處理。對於不感興趣的(not of interest)高頻混疊信號而言,可透過抗混疊濾波之操作將其濾除。
方法300包括操作330,為操作320中所處理的光罩佈局構建非曼哈頓圖案之一邊緣分佈以及一邊緣指向圖(orientation map)。操作330所相關之更詳細的描述將於後續加以說明。
方法300包括操作340,將不同的旋轉分解的核函數(rotationally decomposed kernel)(例如二維核函數)應用於邊緣以及指向圖以取得(對於非曼哈頓圖案的)邊緣校正。換句話說,儘管在此所述之校正場類似於第3圖所示之校正場220,但操作340係使用二維核函數。操作340所相關之更詳細之描述將於後續加以說明。
方法300包括操作350,將薄光罩模型應用於操作320中所取得之經處理的光罩佈局。如前所述,薄光罩模型包含光罩上之該些圖案之二元建模。換句話說,薄光罩模型將光罩圖案描述為具有銳利邊緣(例如黑色以及白色)。當薄光罩模型被應用於經處理的光罩佈局時,可取得薄光罩場(thin mask field)(例如第3圖中之薄光罩場210)。當然,由於本發明實施例可使用光罩上之非曼哈頓圖案,因此本發明實施例 所取得的薄光罩場亦可具有非曼哈頓形狀。
方法300包括操作360,結合薄光罩結果(於操作350中取得)以及邊緣校正(於操作340中取得)以取得一近場。同樣地,邊緣校正可被視為類似於第3圖中之校正場之校正場(儘管是由二維核函數得到的)。校正場之核函數可沿著光罩圖案之邊緣來鋪置以產生校正場,該校正場將模糊邊緣增加至薄光罩場以近似光罩圖案之真實近場。
方法300包括操作370,將光學模型應用於近場(在操作360中取得)以取得晶圓上的空間影像。操作370亦可被視為進行曝光模擬。
方法300包括操作380,將光阻模型應用於空間影像以取得晶圓上之一最終光阻影像。操作380亦可被視為進行光阻模擬。
請參閱第5圖以更進一步地討論操作330和340,第5圖為非曼哈頓圖案400之一示例以及用於非曼哈論圖案400之若干二維核函數411~416之示例之圖形化說明。非曼哈頓圖案400係以像素網格之形式顯示,其中每個像素具有對應於X軸之尺寸(X-axis dimension)△x以及對應於Y軸之尺寸(Y-axis dimension)△y。於一些實施例中,每個△x以及△y之範圍係介於1nm至32nm之間。非曼哈頓圖案400包含曲線邊緣。也可以說非曼哈頓圖案400具有任意的角度(而並非與曼哈頓圖案相同僅具有0度、90度、180度以及270度之角度)。必須理解的是,由於圖案400並不具有明顯分開的邊緣區段,因此其亦可被視為具有單一連續邊緣,其中邊緣由數個點所構成,每個點 具有與其相關聯之二維核函數。
非曼哈頓圖案400之邊緣上之像素被稱為邊緣像素。這些邊緣像素可以藉由計算圖案之梯度(或者梯度量值)加以辨識。根據所應用之梯度方法以及抗混疊濾波器,邊緣可能具有幾個像素的寬度。第5圖中之邊緣像素係在視覺上強調顯示。這些邊緣像素中之每一者包含非曼哈頓圖案400之邊緣之一區段。每個像素中邊緣之區段之指向可透過該邊緣區段的法線(也稱為法線向量)加以確定。相對於表面之法線/向量係指垂直或者正交於該表面的線/向量。因此,與任何邊緣像素相關聯之法線/向量為與特定像素之邊緣之區段垂直或者正交的線/向量。
於辨識出邊緣像素(例如透過梯度)以及確定每個像素中之邊緣區段之指向(例如透過判斷法線/向量)後,將二維核函數應用於每個像素中相應的邊緣區段。二維核函數可各自具有其方位角,此方位角係相應於像素之邊緣區段之指向。換句話說,二維核函數沿著非曼哈頓圖案400的邊緣以不同角度旋轉,每個二維核函數係為非曼哈頓圖案400中一對應邊緣之指向的函數。
第5圖係顯示二維核函數411~416之示例。舉例來說,二維核函數411具有一種方位角、二維核函數412具有另一種方位角、二維核函數413具有第三種方位角、二維核函數414具有第四種方位角、二維核函數415具有第五種方位角,以及二維核函數416具有第六種方位角。第一、第二、第三、第四、第五以及第六種方位角皆彼此不同。
本發明實施例係有關於一種方法其可快速且準確地決定應該被應用圍繞於非曼哈頓圖案400之邊緣之各種旋轉的二維核函數。第6圖中係顯示二維核函數之分解以及旋轉的圖形化說明。首先,第6圖係顯示二維核函數450之示例。二維核函數450尚未旋轉,即其旋轉0度。由於核函數450為二維的,因此其具有兩個自由度(degrees of freedom),在這種情況下可以極坐標表示之。舉例來說,二維核函數450可在極坐標中表示為f2D(r,θ),其中”2D”表示其本質上為二維的,”r”表示半徑部份(亦稱為極坐標之徑向坐標(radial coordinate)),”θ”表示極坐標的角度部份(亦稱為角坐標或者極角(pole angle))。
如第6圖所示,本實施例中之二維核函數450包括部件(portion)450A以及一個大於部件450A之部件450B。部件450A以及部件450B係相連於對應於極坐標系之原點(即r=0)。於第5圖中,二維核函數係於核函數的原點與每個邊緣像素相交。
二維核函數450被分解為若干分量(component),其中第6圖中之一些示例係顯示所分解的分量451、452以及453。具有不同旋轉對稱性之分解分量係以hn(r)einθ之形式表示,其中“n”為分量之順序。因此,對於分量451而言,“n”為0,對於分量452而言,“n”為1,以及對於分量453而言,為“n”為2。可以理解的是,“n”包含所有整數(正整數、負整數以及0),並可自-∞變化至∞。“r”以及“θ”分別為徑向以及角坐標。“i”為負1的平方根。
必須理解的是,二維核函數450理論上可被分解成無限多個分量。而所分解的分量之數量越多,越能準確地近似二維核函數450。然而,實務上,少量的分量通常足以精確地表示二維核函數450。
當二維核函數450旋轉成二維核函數460時,其可被分解為若干分量,其中第6圖中之一些示例係顯示所分解的分量461、462以及463。同樣地,二維核函數460可分解成無限多個分量,但少量的分量即可足以準確地近似二維核函數460。分量461~463分別與分量451~453相關(或分別為其函數)。舉例來說,分量461為分解分量451以及常數C0之乘積,分量462為分量452以及常數C1之乘積,以及分量463為分量453以及常數C2之乘積。於第6圖所示之實施例中,C0=1、C1=exp(-iφ)、C2=exp(-i2φ),其中”exp(x)”係指自然指數函數,即等同於ex。於分量之數量為n的實施例中,常數Cn可表示為exp(-inφ),其中“n”為分量之順序。如前所述,“n”涵蓋所有整數,並可變化於-∞到∞之間。
值得注意的是,θ以及φ表示不同的東西。如前所述,θ表示二維核函數的角坐標,其取決於第5圖中之二維核函數之位置,而φ代表第5圖中二維核函數的旋轉角度(即透過每個邊緣像素之法線/向量所確定之方位角)。
由此可以看出因為所分解的分量461~463可僅透過將分解之分量451~453乘上其各自之常數C0、C1以及C2而導出,因此相較於將坐標自(x,y)變換為(xcosφ+ysinφ,ycosφ-xsinφ)之常規旋轉,二維核函數450之旋轉(至旋轉後 的二維核函數460)可被更快速且更準確地進行。
第7圖為根據本發明之實施例所述之如何使用二維核函數對非曼哈頓光罩圖案400進行邊緣校正處理之圖形化說明。該過程之第一操作為分解二維核函數450。該分解過程類似於第6圖所討論之過程。然而,第7圖所示之實施例並非將二維核函數450分解為三個分量,而是將二維核函數450分解為兩個分量451以及452,其中分量451表示為h0(r),以及分量452表示為h1(r)e。可以理解的是,兩個分量451以及452僅作為一個示例,並且於其他實施例中,二維核函數450可被分解成任何其它數量的分量。
第7圖中所示之該過程之第二操作為取得梯度以及指向圖。所取得之圖案400之梯度係為一數值大小並表示為|grad(x,y)|。邊緣像素可根據梯度加以辨識。如前所述,指向圖係指與每個邊緣像素之法線/向量相關聯之角度。換句話說,對於每個邊緣像素而言,法線/向量具有對應之角度或者方位,而指向圖則包含了所有邊緣像素之角度/方位資訊。為了簡單起見,指向圖於數學上表示為φ(x,y)。值得注意的是,於本發明實施例中φ(x,y)和φ可互換使用,其中φ為φ(x,y)之簡寫。
第7圖所示之過程之第三操作為進行邊緣校正處理。作為邊緣校正過程之一部份,將梯度大小|grad(x,y)|與分解分量451卷積(convolve)運算,並且將梯度大小|grad(x,y)|與exp[-iφ(x,y)]相乘(換句話說,與前述參閱第6圖所討論之常數C1相乘),接著與分解分量451進行卷積運算。
接著,將兩個卷積運算後的結果相加,以取得邊緣校正結果。邊緣校正處理之結果即為非曼哈頓圖案400之校正場(例如類似於第3圖所示之校正場220,除了於此實施例中為二維核函數而非一維核函數)。於取得校正場後,可透過將校正場應用於薄光罩場(類似於第3圖中的薄光罩場210)以得出圖案400之近場(例如類似於第3圖所示之真實近場200,除了非曼哈頓圖案不同外)。
第8圖為本發明實施例所討論之準備二維核函數之方法600之流程圖。方法600包括操作610,產生校準光罩佈局圖案樣本。於一些實施例中,校準光罩佈局圖案可能有數百個。
方法600包括操作620,將光罩佈局進行預處理,例如柵格化以及抗混疊濾波。
方法600包括操作630,將薄光罩模型應用於操作620中所處理的每個光罩圖案。
方法600包括操作640,計算每個處理的光罩佈局的精確(rigorous)近場。此為一繁重之計算過程,因此可能不適用於實際的生產環境。然而,由於方法600僅在校準環境下使用,因此事實上操作640之繁重計算為可被接受的。
方法600包括操作650,計算精確的近場(即操作640之結果)與薄光罩近場(即操作630之結果)之間之差異。操作650產生目標校正場。
方法600包括操作660,建構每個經處理的校準光罩佈局圖案之邊緣分佈以及指向圖。換句話說,在此係對每個 校準圖案重複前述參閱第5圖所討論之過程。
方法600包括操作670,對相對於指向圖之近場差進行回歸分析以取得所需之核函數。作為回歸分析之一部份,將可得到若干係數。操作670可產生一資料庫以供後續重複使用以產生二維核函數。這些二維核函數亦可用於不同之光罩。
第9圖係顯示如何根據前述之操作670中所討論之回歸分析產生二維核函數之簡化示例。於第9圖中,術語”△field”表示第8圖之操作650之結果。如第9圖中所示,對圖案400、圖案400與指數項(exponential term)401之乘積以及分量451~452進行快速傅立葉轉換(FFT)。結果如下:N 0( k )H 0( k )+ N 1( k )H 1( k )=F( k )其中,k為快速傅立葉轉換空間(k=k1,k2,...,kV)中之二維指標。
若於操作610中產生M個不同樣本,則對每個樣本進行快速傅立葉轉換將給出M個方程式。從操作650得知△F(k),接著使用以下M個線性方程式透過最小平方法(least square method)求解H 0 (k i )以及H 1 (k i )N 01( k i )H 0( k i )+N 11( k i )H 1( k i )=△F 1( k i )
N 02( k i )H 0( k i )+N 12( k i )H 1( k i )=△F 2( k i )
N 0M ( k i )H 0( k i )+N 1M ( k i )H 1( k i )=△F M ( k i )上述過程將針對每一個單獨的k i 上產生包含H0以及H1之二維核函數之資料庫,而此資料庫將i可用於許多不同之光罩圖案。
第10圖係顯示非曼哈頓光罩圖案400以及透過圖 案400投影於一晶圓上之一空間影像700。空間影像700可被視為第4圖操作370之結果的示例,即將光學模型應用於近場所產生的結果。根據第10圖之內容,可以看出空間影像700非常類似於原始的非曼哈頓光罩圖案400,這表示本發明實施例之方法可實現足夠的精確度。舉例來說,由於二維核函數可具有任何任意角度/方位,因此透過本發明實施例所產生的空間影像700不具有不需要的角隅或者與其它方法相關聯之其它實際不存在的特徵。除此之外,在以”大O符號(Big O notation)”表示的時間複雜度中,本發明之快速旋轉方法僅具有O(N2lgN)的時間複雜度,而直接坐標旋轉之常規方法則具有O(N4)的時間複雜度,也因此常規方法因太慢使其無法應用於OPC或者ILT計算中。在此“N”係指二維模擬區段(simulation clip)之一側之大小。根據O(N2lgN)以及O(N4)之間之差異,可看出本發明實施例之方法可更快地旋轉二維核函數,其將提供比採用常規方法之一維核函數更好的靈活性以及準確性。
第11圖為一光罩之建模方法800之一流程圖。方法800包括操作810,接收一光罩佈局,光罩佈局包含非曼哈頓圖案。
方法800包括操作802,處理光罩佈局。於一些實施例中,操作820係有關於對接收到的光罩佈局進行柵格化或者抗混疊濾波。
方法800操作830,辨識非曼哈頓圖案之邊緣以及該邊緣之指向。於一些實施例中,該邊緣可透過對接收到且經處理的光罩佈局取梯度加以辨識。
方法800包括操作840,檢查是否產生分解過的二維核函數。如果否,則透過稱為方法600之操作845產生分解的二維核函數。分解的二維核函數各自具有相應的旋轉對稱性。於一些實施例中,操作845係有關於將每個二維核函數分解為若干分量。
方法800包括操作850,載入二維核函數並將其鋪置於非曼哈頓圖案之所有邊緣,以取得非曼哈頓圖案之一校正場。
方法800包括操作860,將薄光罩模型應用於非曼哈頓圖案。薄光罩模型包含非曼哈頓圖案之二元建模。
方法800包括操作870,透過將校正場疊加於非曼哈頓圖案之薄光罩模型近場以取得該非曼哈頓圖案之近場。
方法800包括操作880,將一光學模型應用於該近場,以取得一晶圓上之一空間影像。
方法800包括操作890,將一光阻模型應用於該空間影像,以取得晶圓上之一最終光阻影像。
必須理解的是,儘管方法800係以具有非曼哈頓圖案之光罩佈局作為示例,但亦可將方法800應用於具有曼哈頓圖案之光罩佈局。除此之外,可於本發明實施例之操作810~890執行前、期間或者之後執行額外的操作。舉例來說,額外操作可包括製造光罩、和/或使用光罩進行半導體製造。為了簡單起見,在此沒未詳細討論這些額外之操作。
本發明一實施例有關於一種具有任意角之圖案的光罩建模方法,步驟包括:接收一光罩佈局;根據一組預選的 光罩佈局樣本產生一組二維核函數;將上述組二維核函數應用於上述接收到的光罩佈局以取得一校正場;以及根據上述校正場來決定上述接收到的光罩佈局之近場。
根據本發明另一實施例,其中接收到的光罩佈局包含一非曼哈頓圖案,以及其中使用非曼哈頓圖案進行產生操作、應用操作以及決定操作。
根據本發明另一實施例,其中產生操作包括分解上述組二維核函數之每一者。
根據本發明另一實施例,其中二維核函數係使用極坐標來描述。
根據本發明另一實施例,其中應用操作包括透過採用接收到的光罩佈局之梯度來辨識接收到的光罩佈局之圖案之邊緣以及邊緣之指向。
根據本發明另一實施例,其中進行應用操作使得上述組二維核函數根據梯度方向旋轉,以及旋轉的二維核函數之每一者具有各自不同的旋轉角度。
根據本發明另一實施例,該方法更包括處理接收到的光罩佈局,其中將上述組二維核函數應用於接收到且處理過的光罩佈局。
根據本發明另一實施例,其中處理接收到的光罩佈局之操作包括對接收到的光罩佈局進行柵格化或者抗混疊濾波。
根據本發明另一實施例,該方法更包括將薄光罩模型應用於接收到的光罩佈局,其近場則為此光罩之校正場以 及其薄光罩近場之函數。
根據本發明另一實施例,其中薄光罩模型包含位於接收的光罩佈局上的圖案的二元建模。
根據本發明另一實施例,該方法更包括將一光學模型應用於近場以取得一晶圓上之一空間影像,以及將一光阻模型應用於空間影像以取得上述晶圓上之一最終光阻影像。
本發明另一實施例有關於一種具有任意角之圖案的光罩建模方法,步驟包括:接收一光罩佈局,光罩佈局包含一非曼哈頓圖案;處理接收到的光罩佈局;根據一組經處理的預選光罩佈局樣本,產生若干二維核函數,其中二維核函數之每一者具有一相應的旋轉對稱性;將二維核函數應用於非曼哈頓圖案的所有邊緣,以取得非曼哈頓圖案之一校正場;以及根據校正場判斷非曼哈頓圖案之一近場。
根據本發明另一實施例,其中產生二維核函數操作包括將二維核函數之每一者分解為若干分量。
根據本發明另一實施例,其中將二維核函數應用於非曼哈頓圖案的所有邊緣之操作包括透過採用接收到的光罩佈局之梯度,辨識非曼哈頓圖案之邊緣以及邊緣之指向。
根據本發明另一實施例,其中處理接收到的光罩佈局之操作包括對接收到的光罩佈局進行柵格化或者抗混疊濾波。
根據本發明另一實施例,該方法更包括將一薄光罩模型應用於接收到的光罩佈局,薄光罩模型包含非曼哈頓圖案之二元建模,其中透過結合校正場以及具有薄光罩模型應用 於上的接收到的光罩佈局決定近場。
根據本發明另一實施例,該方法更包括將一光學模型應用於近場以取得於一晶圓上之一空間影像,以及將一光阻模型應用於空間影像以取得晶圓上之一最終光阻影像。
本發明另一實施例有關於一種具有任意角之圖案的光罩建模方法,步驟包括:接收一光罩佈局,光罩佈局包含一非曼哈頓圖案;處理接收到的光罩佈局;根據一組經處理的預選光罩佈局樣本,產生若干二維核函數,其中二維核函數之每一者具有一相應的旋轉對稱性;辨識非曼哈頓圖案之所有邊緣;將二維核函數應用於非曼哈頓圖案的所有邊緣,以取得非曼哈頓圖案之一校正場;將一薄光罩模型應用於非曼哈頓圖案,該薄光罩模型包含非曼哈頓圖案之一二元建模;透過將校正場應用於具有薄光罩模型應用於其上之非曼哈頓圖案來決定非曼哈頓圖案之近場;將一光學模型應用於近場,以取得一晶圓上之一空間影像;以及將一光阻模型應用於空間影像,以取得晶圓上之最終光阻影像。
根據本發明另一實施例,其中產生二維核函數的操作包括將二維核函數之每一者分解為若干分量。
根據本發明另一實施例,其中處理接收到的光罩佈局之操作包括對接收到的光罩佈局進行柵格化或者抗混疊濾波。
前述之實施例或者示例已概述本發明之特徵,本領域技術人員可更佳地理解本發明之各個方面。本領域技術人員應當理解,他們可輕易地使用本發明作為用於設計或者修改 其它過程以及結構以實施相同之目的和/或實現本發明所介紹之實施例或示例之相同優點。本領域技術人員可理解的是,上述等效構造並未脫離本發明之精神和範圍,並且可於不脫離本發明之精神和範圍進行各種改變、替換和更改。
800‧‧‧方法
810-890、845‧‧‧步驟流程

Claims (10)

  1. 一種具有任意角之圖案的光罩建模方法,包括:接收一光罩佈局;根據一組預選的光罩佈局樣本產生一二維核函數;將上述二維核函數應用於上述光罩佈局,以取得上述光罩佈局的一校正場,其中應用上述二維核函數包含:建立上述光罩佈局的邊緣指向圖,上述邊緣指向圖包括用於上述光罩佈局的邊緣的每個邊緣區段的方位角φ;以及根據上述邊緣指向圖應用上述二維核函數於上述邊緣;以及根據上述校正場的至少一部份決定出上述光罩佈局之近場;根據相對於一設計規則的上述光罩佈局的上述近場評估一模擬的裝置佈局;根據上述評估優化上述光罩佈局;以及使用具有上述優化過的光罩佈局的光罩製造一晶圓。
  2. 一種具有任意角之圖案的光罩建模方法,包括:接收一光罩佈局,上述光罩佈局包含非曼哈頓圖案;處理上述光罩佈局;根據一組處理過的預選光罩佈局樣本產生一二維核函數,其中上述二維核函數具有相應的旋轉對稱性;應用上述二維核函數於具有一方位角φ的上述非曼哈頓圖案的一邊緣區段以得到用一修正核函數表示的上述非曼哈頓圖案的一校正場,其中上述修正核函數包含e-iφ,“i”為 負1的平方根;根據上述校正場的至少一部份決定上述非曼哈頓圖案的一近場;根據上述近場取得上述非曼哈頓圖案的一最終光阻影像;相對於一設計規則來評估上述最終光阻影像;根據上述評估優化上述光罩佈局;以及使用具有上述優化過的光罩佈局的光罩製造一晶圓。
  3. 如請求項1或請求項2所述的光罩建模方法,更包含:應用一薄光罩模型於上述光罩佈局,以及其中上述近場被確定為具有上述薄光罩模型應用於上的上述光罩佈局的上述校正場的函數。
  4. 一種具有任意角之圖案的光罩建模方法,包括:產生一二維核函數,其中上述產生包含:接收具有一第一非曼哈頓圖案的一處理過的預選光罩佈局樣本;識別上述第一非曼哈頓圖案的一第一組的邊緣以及每一個上述第一組的邊緣的一方位角;以及產生上述二維核函數作為獨立於上述每一個上述第一組的邊緣的上述方位角的一第一函數;接收一光罩佈局,上述光罩佈局包含一第二非曼哈頓圖案;處理上述光罩佈局;識別上述第二非曼哈頓圖案的光罩第二組的邊緣以及每一個上述第二組的邊緣的一方位角;通過卷積上述二維核函數與上述每一個上述第二組的邊緣 的上述方位角來應用上述二維核函數於上述每一個上述第二組的邊緣,以得到上述第二非曼哈頓圖案的一校正場;應用一薄光罩模型於上述第二非曼哈頓圖案,上述薄光罩模型包含上述第二非曼哈頓圖案的一二元建模;通過應用上述校正場於具有上述薄光罩模型應用於上的上述第二非曼哈頓圖案來決定上述第二非曼哈頓圖案的一近場;應用一光學模型於上述近場以得到一晶圓上的一空間影像;應用一光阻模型於上述空間影像以得到上述晶圓上的一最終光阻影像;相對於一設計規則來評估上述最終光阻影像;根據上述評估優化上述光罩佈局;以及使用具有上述優化過的光罩佈局的光罩製造上述晶圓。
  5. 一種具有任意角之圖案的光罩建模方法,包括:接收一光罩佈局;開發一二維核函數,上述開發包括:接收具有一非曼哈頓圖案的一光罩佈局樣本;識別上述非曼哈頓圖案的一組圖案邊緣以及每個圖案邊緣的一方位角;以及產生上述二維核函數作為獨立於上述每個圖案邊緣的上述方位角的函數;應用上述二維核函數於上述光罩佈局以取得上述光罩佈局的一校正場; 根據相對於一設計規則的上述校正場的至少一部份評估一模擬的裝置佈局;根據上述評估優化上述光罩佈局;以及使用具有上述優化過的光罩佈局的光罩製造一晶圓。
  6. 如請求項5所述的光罩建模方法,更包含:應用一薄光罩模型於上述光罩佈局;用上述二維核函數修正上述薄光罩模型以取得一近場;應用一光學模型於上述近場以得到上述晶圓上的一空間影像;以及應用一光阻模型於上述空間影像以得到上述晶圓上的上述模擬的裝置佈局。
  7. 一種具有任意角之圖案的光罩建模方法,包括:接收一光罩佈局;根據一組光罩佈局樣本開發二維核函數,上述開發包括:建立每個光罩佈局樣本的一邊緣指向圖;以及根據上述邊緣指向圖產生上述二維核函數;應用上述二維核函數於上述光罩佈局以取得上述光罩佈局的一校正場;根據上述校正場的至少一部份取得上述光罩佈局的一最終光阻影像;相對於一設計規則來評估上述最終光阻影像;根據上述評估優化上述光罩佈局;以及使用具有上述優化過的光罩佈局的光罩製造一晶圓。
  8. 如請求項7所述的光罩建模方法,其中根據上述緣指向圖產 生上述二維核函數包含:應用一薄光罩模型於上述組光罩佈局樣本的每個光罩佈局樣本以取得上述每個光罩佈局樣本的一薄光罩近場;計算上述每個光罩佈局樣本的一精確近場;計算上述每個光罩佈局樣本的上述薄光罩近場與上述精確近場之間的一差異;以及相對於上述組邊緣指向圖回歸上述每個光罩佈局樣本的上述差異。
  9. 一種具有任意角之圖案的光罩建模方法,包括:根據一組處理過的預選光罩佈局樣本產生一二維核函數;接收一光罩佈局,其中上述光罩佈局包含一非曼哈頓圖案;識別上述非曼哈頓圖案的一組邊緣以及上述組邊緣中的每個邊緣的一方位角;應用上述二維核函數於具有一方位角φ的每個邊緣以取得由一修正核函數表示的上述非曼哈頓圖案的一校正場,其中上述修正核函數包含e-iφ ,“i”為負1的平方根;根據上述校正場的至少一部份取得上述光罩佈局的一最終光阻影像;相對於一設計規則來評估上述最終光阻影像;根據上述評估優化上述光罩佈局;以及使用具有上述優化過的光罩佈局的光罩製造一晶圓。
  10. 如請求項9的光罩建模方法,其中上述二維核函數的產生包含對上述組處理過的預選光罩佈局樣本的圖案進行快速傅立葉轉換。
TW106136692A 2016-11-29 2017-10-25 具有任意角之圖案的光罩建模方法 TWI747977B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427286P 2016-11-29 2016-11-29
US62/427,286 2016-11-29
US15/666,775 2017-08-02
US15/666,775 US10466586B2 (en) 2016-11-29 2017-08-02 Method of modeling a mask having patterns with arbitrary angles

Publications (2)

Publication Number Publication Date
TW201823852A TW201823852A (zh) 2018-07-01
TWI747977B true TWI747977B (zh) 2021-12-01

Family

ID=62192817

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106136692A TWI747977B (zh) 2016-11-29 2017-10-25 具有任意角之圖案的光罩建模方法

Country Status (3)

Country Link
US (3) US10466586B2 (zh)
CN (1) CN108121151B (zh)
TW (1) TWI747977B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10466586B2 (en) * 2016-11-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles
US10990003B2 (en) 2018-02-18 2021-04-27 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
KR20200028169A (ko) * 2018-09-06 2020-03-16 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
CN109977933B (zh) * 2019-05-27 2019-09-03 墨研计算科学(南京)有限公司 计算光刻系统模型中混叠现象的处理方法
KR20210045607A (ko) 2019-10-17 2021-04-27 삼성전자주식회사 패턴 레이아웃 설계 방법, 이를 이용한 패턴 형성 방법, 및 이를 이용한 반도체 장치 제조 방법
US20210158223A1 (en) * 2019-11-22 2021-05-27 Kla Corporation Finding Semiconductor Defects Using Convolutional Context Attributes
KR20210156399A (ko) 2020-06-17 2021-12-27 삼성전자주식회사 Opc 방법 및 이를 이용한 반도체 소자의 제조 방법
KR20230066471A (ko) * 2020-09-18 2023-05-15 어플라이드 머티어리얼스, 인코포레이티드 보조 피처들을 이용하여 디지털 리소그래피를 위한 프로세스 윈도우 및 해상도를 개선하기 위한 방법들
TWI782372B (zh) * 2020-12-22 2022-11-01 台灣積體電路製造股份有限公司 改善設計布局的方法及其半導體布局系統
CN117454831B (zh) * 2023-12-05 2024-04-02 武汉宇微光学软件有限公司 一种掩模版图形优化方法、系统及电子设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6738859B2 (en) * 2000-09-12 2004-05-18 Asml Masktools B.V. Method and apparatus for fast aerial image simulation
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
US20130080982A1 (en) * 2011-09-23 2013-03-28 Mentor Graphics Corporation Simulation And Correction Of Mask Shadowing Effect
US20130283217A1 (en) * 2012-04-18 2013-10-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US20130283216A1 (en) * 2012-04-18 2013-10-24 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
US8762900B2 (en) * 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7266480B2 (en) * 2002-10-01 2007-09-04 The Regents Of The University Of California Rapid scattering simulation of objects in imaging using edge domain decomposition
US7603648B2 (en) 2004-02-27 2009-10-13 Intel Corporation Mask design using library of corrections
US7263683B1 (en) 2004-09-07 2007-08-28 Advanced Micro Devices, Inc. Simplified optical proximity correction based on 1-dimension versus 2-dimension pattern shape classification
US20110004856A1 (en) * 2005-02-28 2011-01-06 Yuri Granik Inverse Mask Design and Correction for Electronic Design
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7954071B2 (en) * 2008-10-31 2011-05-31 Synopsys, Inc. Assist feature placement based on a focus-sensitive cost-covariance field
US8078995B2 (en) * 2009-01-06 2011-12-13 International Business Machines Corporation Efficient isotropic modeling approach to incorporate electromagnetic effects into lithographic process simulations
DE102009001021A1 (de) 2009-02-19 2010-08-26 Wacker Chemie Ag Verfahren und Vorrichtung zur Herstellung von Vinylacetat
CN101957555B (zh) * 2009-07-16 2012-10-03 中芯国际集成电路制造(上海)有限公司 掩模版图修正方法、掩模版制作方法和光学邻近校正方法
JP4918598B2 (ja) 2010-01-18 2012-04-18 株式会社ニューフレアテクノロジー 検査装置および検査方法
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
KR20120090362A (ko) * 2011-02-07 2012-08-17 삼성전자주식회사 마스크 레이아웃 보정 방법 및 장치
KR20130008662A (ko) * 2011-02-28 2013-01-23 삼성전자주식회사 포토마스크 레이아웃 형성 방법
CN104025255B (zh) * 2011-12-30 2016-09-07 英特尔公司 用于工艺优化的相位调谐的技术
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8631360B2 (en) 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8640060B2 (en) 2012-05-29 2014-01-28 Applied Materials Israel, Ltd. Method of generating a recipe for a manufacturing tool and system thereof
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8954899B2 (en) 2012-10-04 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contour alignment system
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8812999B2 (en) 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US8918743B1 (en) 2013-08-12 2014-12-23 Synopsys, Inc. Edge-based full chip mask topography modeling
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9348964B2 (en) 2014-04-21 2016-05-24 Synopsys, Inc. MASK3D model accuracy enhancement for small feature coupling effect
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10430543B2 (en) 2014-10-04 2019-10-01 Synopsys, Inc. Matrix reduction for lithography simulation
US10466586B2 (en) * 2016-11-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6738859B2 (en) * 2000-09-12 2004-05-18 Asml Masktools B.V. Method and apparatus for fast aerial image simulation
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
US20130080982A1 (en) * 2011-09-23 2013-03-28 Mentor Graphics Corporation Simulation And Correction Of Mask Shadowing Effect
US20130283217A1 (en) * 2012-04-18 2013-10-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US20130283216A1 (en) * 2012-04-18 2013-10-24 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
US8762900B2 (en) * 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction

Also Published As

Publication number Publication date
US11143955B2 (en) 2021-10-12
CN108121151B (zh) 2022-12-16
US10466586B2 (en) 2019-11-05
US20200050101A1 (en) 2020-02-13
US20220026812A1 (en) 2022-01-27
US20180149967A1 (en) 2018-05-31
TW201823852A (zh) 2018-07-01
US11994796B2 (en) 2024-05-28
CN108121151A (zh) 2018-06-05

Similar Documents

Publication Publication Date Title
TWI747977B (zh) 具有任意角之圖案的光罩建模方法
TWI747983B (zh) 光罩建模方法
US11748549B2 (en) Method and apparatus for integrated circuit mask patterning
US9465906B2 (en) System and method for integrated circuit manufacturing
US9256709B2 (en) Method for integrated circuit mask patterning
US8762900B2 (en) Method for proximity correction
US8589830B2 (en) Method and apparatus for enhanced optical proximity correction
US20200293023A1 (en) Synchronized Parallel Tile Computation for Large Area Lithography Simulation
CN106469234B (zh) 基于模型的规则表产生
US10877380B1 (en) Using inverse lithography technology in a method of mask data preparation for generating integrated circuit
US11360383B2 (en) Mask optimization process
US20210326507A1 (en) Critical Dimension Uniformity
US20230028023A1 (en) Methods and systems for integrated circuit photomask patterning