CN108121151B - 具有任意角的图案的掩模建模方法 - Google Patents

具有任意角的图案的掩模建模方法 Download PDF

Info

Publication number
CN108121151B
CN108121151B CN201710982988.XA CN201710982988A CN108121151B CN 108121151 B CN108121151 B CN 108121151B CN 201710982988 A CN201710982988 A CN 201710982988A CN 108121151 B CN108121151 B CN 108121151B
Authority
CN
China
Prior art keywords
mask
layout
mask layout
dimensional kernel
edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710982988.XA
Other languages
English (en)
Other versions
CN108121151A (zh
Inventor
赖建任
周欣
彭丹平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108121151A publication Critical patent/CN108121151A/zh
Application granted granted Critical
Publication of CN108121151B publication Critical patent/CN108121151B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本公开提供一种具有任意角的图案的掩模建模方法,步骤包括:接收一掩模布局;根据一组预选的掩模布局样本产生一组二维核函数;将上述组二维核函数应用于接收到的掩模布局,以取得一校正场;以及根据校正场的一部分,判断接收到的掩模布局的一近场。本公开提供的具有任意角的图案的掩模建模方法可以精确地建模具有自由形状或者任意掩模图案的反向光刻技术掩模。

Description

具有任意角的图案的掩模建模方法
技术领域
本发明涉及半导体制造技术领域,具体而言,涉及一种具有任意角的图案的掩模建模方法。
背景技术
半导体装置工业经历了快速成长,于集成电路演化的过程中,功能密度(functional density)通常随着制程可产生的几何尺寸缩小而提高。尺寸缩减过程通常可带来益处,例如增加制造的效率以及降低相关成本,但也会增加半导体装置的设计以及制造的复杂度。
光学邻近校正(optical proximity correction,OPC)为一种应用于半导体装置的设计以及制造的技术。光学邻近校正包括应用将改变半导体装置的布局的掩模设计的特征,以补偿发生于使用光刻工具期间辐射的绕射 (diffraction)以及光刻光刻胶的化学制程所引起的失真。因此,光学邻近校正提供了可在装置的基板上产生更符合半导体装置设计者(例如集成电路装置设计者)的设计布局的电路图案。光学邻近校正包括使用一倍缩掩模(reticle)或者掩模进行的所有分辨率增益技术,包括例如增加次分辨率特征(sub-resolution feature)至掩模中以于实体设计中与原图案相互作用;增加例如截线(serif)的特征至原图案中;增加割阶(jog)至原图案的特征中;修改主要特征的图案形状或者边缘;以及其它加强处理等。随着制程节点(process nodes)的缩小,光学邻近校正处理以及所得到的图案变得更加复杂。
先进的光学邻近校正处理其中一个类型为一反向光刻技术(inverselithography technology,ILT)。反向光刻技术包括以反方向模拟光学光刻技术,并于基板上使用所需的图案作为上述模拟的输入。反向光刻技术可于掩模或者倍缩掩模上产生复杂的曲线图案,而不是于常规的掩模或者倍缩掩模上形成曼哈顿图案。不幸的是,关于非曼哈顿图案方面,常规的反向光刻技术掩模以及其制造方法仍然面临各种困难。
因此,尽管现有的反向光刻技术掩模通常已足以满足其预期目的,但并非在所有方面都令人满意,特别是缺少可处理非曼哈顿图案的一精确掩模模型。
发明内容
本发明一实施例是提供一种具有任意角的图案的掩模建模方法,步骤包括:接收一掩模布局;根据一组预选的掩模布局样本产生一组二维核函数;将上述组二维核函数应用于接收到的掩模布局,以取得一校正场;以及根据校正场的一部分,判断接收到的掩模布局的一近场。
附图说明
本发明可通过阅读以下的详细说明以及范例并配合相应的附图以更详细地了解。需要强调的是,依照业界的标准操作,各种特征并未依照比例绘制,并且仅用于说明的目的。事实上,为了清楚论述,各种特征的尺寸可以任意地增加或减少。
图1为根据本发明各个实施例所述的集成电路制造系统的一实施例的简化方框图。
图2为根据本发明各个实施例所述的掩模公司的详细方框图。
图3为根据本发明各个实施例所述的如何产生一掩模的一近场的图形化说明。
图4是显示根据本发明各个实施例所述的一处理流程的一方法的流程图。
图5为根据本发明各个实施例所述的用于该图案的示例性非曼哈顿图案以及若干二维核函数的图形化说明。
图6为根据本发明各个实施例所述的二维核函数的分解以及旋转的图形化说明。
图7为根据本发明各个实施例所述的如何利用二维核函数对一非曼哈顿掩模图案进行边缘校正处理的一图形化说明。
图8为根据本发明各个实施例所述的制备二维核函数的一方法的流程图。
图9是显示根据本发明各个实施例所述的如何通过回归分析(regressionanalysis)产生二维核函数的简化示例。
图10是显示根据本发明各个实施例所述的非曼哈顿掩模图案以及通过非曼哈顿图案投影于一晶圆上的一空间影像(aerial image)。
图11为根据本发明各个实施例所述的对一掩模进行建模的一方法的流程图。
附图标记说明:
100~集成电路制造系统
120~设计公司
122~集成电路设计布局
130~掩模公司
132~掩模数据准备模块
144~掩模制造模块
150~集成电路制造商
152~晶圆
154~R&D晶圆
156~经验分析
160~集成电路装置
180~掩模设计系统
182~处理器
184~系统存储器
186~大容量储存装置
188~通信模块
190~掩模
192~第一GDSII文件
194~第二GDSII文件
200~真实近场
210~薄掩模场
220~校正场
230~核函数
240~放大视窗
300~方法
310~380~步骤流程
400~非曼哈顿图案
411~416~具不同方位角的二维核函数
450~二维核函数
451~453~分量
460~经过旋转的二维核函数
461~463~分量
600~方法
610~670~步骤流程
700~空间影像
800~方法
810~890~步骤流程
具体实施方式
以下是提供了许多不同的实施例、或示例,用于实现本发明的不同特征。以下是公开各种元件以及配置的具体实施例或者示例以简化描述本发明。当然这些仅为示例但不以此为限。举例来说,说明书中第一特征位于第二特征上方的结构可包括以第一特征与第二特征直接接触的形式,以及可包括以于第一特征与第二特征之间插入额外的特征的形式,使得第一特征以及第二特征并未直接接触。此外,本发明于各种示例中将重复标号和/ 或字母。上述的重复用于简化以及清楚的目的,并非用以指定各种实施例和/或上述配置中的关系。
此外,空间相关术语例如“在…之下”、“以下”、“较低的”、“以上”、“上方”等空间相关术语在此被用于描述图中例示的一个元件或特征与另一元件或者特征之间的关系。空间相关术语可包括设备于使用或操作中除了图中描绘的方位以外的不同方位。设备可以其它方式被定向(旋转90度或往其它方位),并且在此使用的空间相关描述词应可被相应地理解。
随着半导体制造进展到越来越小的技术节点(technology node),各种技术是用以协助实现小型的装置尺寸。其中一个例子为反向光刻技术。更详细地,一般的掩模通常将曼哈顿图案用于包括具有直边的多边形(例如矩形、正方形等)的集成电路特征。在较旧的半导体技术节点中,于晶圆上制造的集成电路特征(使用一般的光刻遮罩)可合理地近似于掩模上的曼哈顿图案。然而,随着装置尺寸的缩小,掩模上的几何形状可能明显地偏离实际制造的集成电路特征以及于晶圆上各自的曼哈顿图案。尽管偏差可以改善制造的制程窗口(process window),但其亦会增加建模的难度。
反向光刻技术通过将光学邻近校正(OPC)视为逆成像问题以解决上述问题,并使用设计图案的整个区域而非仅使用设计图案的边缘来计算掩模图案。尽管反向光刻技术可能在某些情况下产生非直观的(unintuitive) 掩模图案(例如不具有直线或者直线边缘的自由形状(freeform)或者任意形状的图案),但反向光刻技术可用于制造具有高保真度和/或明显改善焦深的掩模以及曝光宽容度(exposure latitude)的掩模,从而可转印出其他方法无法实现的特征(即几何图案)。
然而,反向光刻技术也可能产生其它挑战。举例来说,建模掩模的常规技术是针对曼哈顿图样进行优化。换句话说,这些常规的掩模建模技术假设掩模上的图案仅具有直的或者线型边缘。由于反向光刻技术是使用具有非直线或者曲线边缘(例如具有任意角度的图案)的图案的掩模,常规掩模光刻建模对于反向光刻技术掩模可能无法实现预期的结果。
本发明实施例通过产生可快速旋转的二维核函数以克服上述问题,以精确地建模具有自由形状或者任意掩模图案的反向光刻技术掩模。以下将通过参阅图1~图11对本发明的各个实施例提出更详细的讨论。
图1为一集成电路(IC)制造系统100以及一与集成电路制造系统相关的 IC生产流程的简单方框图。集成电路制造系统100包括若干实体机构 (entity),例如一设计公司(design house)120、一掩模公司(mask house) 130、以及一集成电路制造商(ICmanufacturer)(即晶圆厂)150。前述的实体机构于设计、开发、以及制造周期和/或于制造集成电路装置160相关的服务(service)中彼此互动。前述多个实体机构可以通信网络(communication network)连接,例如,单一网络或是多种不同的网络,例如内部网络(intranet)或是网际网络,并可包括有线或是无线的通信管道 (communicationchannel)。每一实体可与其它实体机构互动,且可由其它实体机构提供服务或是接收服务。设计公司120、掩模公司130、和/或集成电路制造商150可整合为一较大企业实体的一部分。
在各种实施例中,设计公司120可包括一个或者多个设计团队,用以产生一集成电路设计布局(IC design layout)122。集成电路设计布局122 包含各种设计给集成电路装置(IC device)的几何图案(geometrical pattern)。举例来说,几何图案可对应于金属层、氧化层或是半导体层的图案,以组成集成电路装置160中不同的元件。这些不同的层结合后形成集成电路装置160的各种特征。举例来说,集成电路设计布局12的各个部分可包括例如形成于一半导体基板(例如硅晶圆)上以及设置于半导体基板的各个金属层上的一主动区、栅极、源极以及漏极、层间互连(interlayer interconnection) 的金属线或者导孔(via)以及焊垫(bonding pad)的开口(opening)等特征。设计公司120进行一设计程序以形成集成电路设计布局122。设计程序可包括逻辑设计(logic design)、实体设计(physicaldesign)、和/或配置(place) 以及线路安排(route)。集成电路设计布局122以具有与几何图案相关的信息的一个或者多个数据文件(data file)来呈现。于一些实施例中,集成电路设计布局122可以GDSII文件格式或者DFII文件格式来表示。
于一些实施例中,设计公司120可经由例如前述的网络连接将集成电路设计布局122发送至掩模公司130。接着,掩模公司130可利用集成电路设计布局122以制造一个或者多个用以制造集成电路装置160中的各个层的掩模。于各种实施例中,掩模公司130可进行掩模数据准备(mask data preparation)132(以下称为光照数据准备模块)。于掩模数据准备模块132 中,集成电路设计布局122被编译为可经由一掩模直写器实体写入的形式,以及于掩模制造(mask fabrication)144(以下称为掩模制造模块144)中,修正由掩模数据准备模块132准备好的集成电路设计布局以符合一特定的掩模直写器及/或掩模制造商,进而制造该掩模。于图1的示例中,是将掩模数据准备模块132以及掩模制造模块144描述为两个独立的元件;然而,于一些实施例中,掩模数据准备模块132以及掩模制造模块144可统称为掩模数据准备。
在一些示例中,掩模数据准备模块132包括应用一个或者多个分辨率增益技术(RET)以补偿潜在的光刻误差,例如可能由绕射、干涉(interference) 或者其它制程影响所引起的光刻误差。于一些示例中,光学邻近校正(OPC) 可用以根据周围几何形状的密度调整线宽、将类似“狗骨头(dog-bone)”形状的末端盖(end-cap)增加至线的末端以防止线末端的缩短、校正电子束(e-beam)邻近效应、或者用于本领域已知的其它目的。举例来说,光学邻近校正技术可添加次解析辅助特征(sub-resolution assist features, SRAFs),其可包括例如根据光学模型或者规则增加散射条(scattering bar)、截线(serifs)和/或锤头(hammerhead),使得于进行光刻制程后,提高晶圆上的最终图案的分辨率以及精确度。掩模数据准备模块132还可包括进一步的分辨率增益技术,例如离轴照射(off-axisillumination,OAI)、相位移遮罩(phase-shifting mask,PSM)、其它合适的技术或者其组合。
一种可与光学邻近校正结合使用的技术为反向光刻技术(inverse lithographytechnology,ILT),其将光学邻近校正视为逆成像问题,并使用设计图案的整个区域而非仅用设计图案的边缘来计算掩模图案。尽管反向光刻技术可于某些情况下产生不直观的掩模图案,但其可用于制造具有高保真度和/或实质上改善的焦深以及曝光宽容度的掩模,借此以转印其它方法可能无法实现的特征(即几何图案)。于一些实施例中,反向光刻技术制程可更一般地被称为基于模型(MB)的掩模校正处理。可以肯定的是,在一些示例中,如前述的其它分辨率增益技术以及那些可使用用以计算次分辨率辅助特征外型等的模型亦属于基于模型的掩模校正过程的范畴。
掩模数据准备模块132还可包括一掩模规则检查器(mask rule checker, MRC),其通过一组掩模建模规则来检查已经过一个或者多个RET处理(例如OPC、ILT等)的集成电路设计布局。掩模建模规则可能包含某些几何以及连接限制,以确保足够的余裕来容许半导体制程的变异。于某些情况下,掩模规则检查器修改集成电路设计布局以补偿掩模制造模块144可能遇到的限制,其可能通过一个或者多个RET步骤来进行修改,以满足掩模建模规则。
于一些实施例中,掩模数据准备模块132可进一步地包含光刻制程检查(lithography process checking,LPC),LPC模拟IC制造商150制造集成电路装置160的实施过程。LPC可根据集成电路设计布局122模拟此流程所制成的装置,例如集成电路装置160。于LPC模拟中的制程参数可包含与IC制造周期各种制程相关的参数、与制造IC的工具相关的参数和/或制造过程的其它方面相关的参数。举例而言,LPC可考虑各种因素,例如投影对比度、焦深(depth of focus,DOF)、掩模误差增强因子(mask error enhancementfactor,MEEF)、其它合适的因素或其组合。如下文更详细地描述,模拟的制程(例如由LPC实施)可用于提供制程感知规则表的产生(例如用于次解析辅助特征(SRAF)的插入)。因此,于各种实施例中,考虑到 IC制造商150的处理条件,可针对具体集成电路设计布局122产生SRAF 规则表。
于一些实施例中,于模拟的制造装置已通过LPC产生之后,若模拟的装置布局在形状上并不足够精确地满足设计规则,那么可重复于掩模数据准备模块132中的某些操作(例如OPC以及MRC)以进一步地优化集成电路设计布局122。在上述情况下,还可更新先前产生的SRAF规则表。
应理解的是,掩模数据准备模块132的描述已因便于描述的目的进行简化,且数据准备可包含另外的图形,例如用于根据制造规则修改集成电路设计布局的逻辑运算(logicoperation,LOP)。此外,于掩模数据准备模块132期间应用于集成电路设计布局122的制程可以多种不同顺序进行。
于掩模数据准备模块132后以及掩模制造模块144期间,可根据修改的集成电路设计布局制造一掩模或者一组掩模。掩模可以各种技术形成。于一个实施例中,可通过使用二元技术形成掩模。于一些实施例中,掩模图案包括不透明(opaque)区域以及透明区域。用以曝光涂覆于一晶圆上的一辐射敏感性材料层(radiation-sensitive material layer)(例如光刻胶剂)的一辐射束(例如紫外(UV)光束)是被不透明区域所阻挡并传递穿过透明区域。于一个示例中,二元掩模(binary mask)包括一透明基板(例如熔融石英)以及涂覆于掩模的不透明区域中的不透明材料(例如铬)。于一些示例中,利用一相位移技术形成掩模。于相位移掩模(phase shift mask,PSM) 中,形成于掩模上的图案中的各种特征被配置为具有预先配置的相位差,以改善影像分辨率以及成像品质。于各种示例中,相位移掩模可为衰减式相位移掩模或者交替式相位移掩模。
于一些实施例中,IC制造商150(例如半导体铸造厂)使用由掩模公司130所制造的掩模(或多个掩模)将一个或者多个掩模图案转移至生产晶圆(production wafer)152上,从而于生产晶圆152上制造集成电路装置 160。IC制造商150可包括用于制造各种不同IC产品的无数制造设备的IC 制造设备。举例来说,IC制造商150可包括用于多个IC产品(即前端制程 (front-end-of-line,FEOL)生产)的第一制造设施,而第二制造设施可提供用于集成电路产品的内连线(interconnection)以及封装的后端生产(即后端制程(back-end-of-line,BEOL)生产),以及第三制造设施可提供其它服务给制造商事业体。
在各种实施例中,被制造的集成电路装置160内部和/或其上的半导体晶圆(即生产晶圆152)可包括硅基板或者具有材料层形成于其上的其它基板。其它基板材料可包括另一合适的元素半导体,例如金刚石或者锗;合适的化合物半导体,例如碳化硅、砷化铟或者磷化铟;或者合适的合金半导体,例如碳化硅锗、磷砷化镓或磷化铟镓。于一些实施例中,半导体晶圆还可包括各种掺杂区域、电介质材料以及多层互连(形成于随后的制造操作中)。此外,掩模(或者多个掩模)可用于各种制程。举例来说,掩模 (或者多个掩模)可用于离子注入制程中,以于半导体晶圆中形成各种掺杂区域,用于蚀刻制程中,以形成半导体晶圆中的各种蚀刻区域和/或其它合适的制程。
必须理解的是,IC制造商150可使用由掩模公司130所制造的掩模(或者多个掩模)将一个或者多个掩模图案转移至研发(research and develop,R &D)晶圆154上。一个或者多个多个光刻制程可于R&D晶圆154上进行。 R&D晶圆154于光刻处理后,可将R&D晶圆154转移至用于经验分析 (empirical analysis)156的一测试实验室(例如计量实验室或者参数测试实验室)。搜集来自R&D晶圆154的经验数据,然后将其转移至掩模公司 130以便于掩模数据准备132。
图2为根据本发明各个实施例的图1所示的掩模公司130的详细方框图。于所示的实施例中,掩模公司130包括掩模设计系统180,其可用以进行与图1的掩模数据准备模块132的相关描述的功能。掩模设计系统180 为一信息处理系统,例如电脑、服务器、工作站或者其它合适的系统。掩模设计系统180包含处理器182,此处理器182通信耦接至一系统存储器 184、大容量储存装置186以及通信模块188。系统存储器184提供具有非暂态且电脑可读取储存媒体,以供处理器182执行电脑指令。系统存储器的示例可包含随机存取存储(random access memory,RAM)装置(例如动态随机存取存储器(dynamic RAM,DRAM)、同步动态随机存取存储器 (synchronous DRAM,SDRAM)、固态存储器装置、和/或本发明实施例所属技术领域现有的各种其它存储器装置。电脑程序、指令以及数据是储存于大容量储存装置186中。大容量储存装置186的示例可包含硬盘、光盘、磁光盘、固态储存装置、和/或本发明实施例所属技术领域现有的各种其它大容量储存装置。通信模块188可用以与集成电路制造系统100中的其它元件(例如设计公司120)传递信息(例如集成电路设计布局文件)。通信模块188的示例可包含以太网络卡、IEEE 802.11WiFi装置、蜂巢式数据无线电装置(cellular data radio)、和/或本发明实施例所属技术领域现有的合适装置。
在操作上,掩模设计系统180用以在集成电路设计布局122通过掩模制造模块144转移至掩模190之前根据各种不同设计规则以及限制来操作集成电路设计布局122。举例来说,于一实施例中,包括OPC、ILT、MRC、和/或LPC的掩模数据准备模块132可被实施为掩模设计系统180上所执行的软件指令。于此实施例中,掩模设计系统180自设计公司120接收包含集成电路设计布局122的第一GDSII文件192。于掩模数据准备模块132 完成后,掩模设计系统180传送包含经修改的集成电路设计布局的第二 GDSII文件194至掩模制造模块144。于另一实施例中,集成电路设计布局可以其它文件格式(例如DFII、CIF、OASIS或其它合适的文件类型)于集成电路制造系统100的元件之间传输。除此之外,于其它实施例中,掩模设计系统180以及掩模公司130可包含额外和/或不同的元件。
在光刻处理中,二元掩模图案的近场类似于掩模图案,但具有模糊 (blurred)的图案边缘。因此,二元掩模图案的近场可近似于薄掩模模型,且此薄掩模模型通过分别将两个不同的恒定场数值(constant field value)分配给被图案所占用或者未占用的区域的掩模图案。为了改善近场模型(near field model)的准确性,需要确定一个校正单元(亦称为一核函数)。经确定后,沿着掩模图案的(锐利)边缘应用该核函数,以产生将被增加至薄掩模场(thin mask field)的一校正场。这将产生一个具有一模糊边缘的场,其非常类似于掩模的真实近场。
图3为如何使用上述处理(即将包含核函数的校正场加到薄掩模场之上)产生一掩模的近场的图形化说明。举例来说,图3是显示多边形掩模图案的真实近场200。从图3中可看出真实近场200具有模糊的边缘。通过结合一薄掩模场210以及一校正场220可近似于真实近场200。薄掩模场 210具有尖锐的边缘(即不模糊)。校正场220包括由核函数230形成,图 3中的放大视窗240是显示核函数的一些示例。精确的核函数对于任何掩模图案的真实近场的精确模拟为不可或缺的。
值得注意的是,图3中的核函数230为一维核函数,其仅沿着一个维度改变,而其它维度则为均匀的。一维核函数对于曼哈顿图案(例如图3 中所示的图案)是正常作用。然而,对于非曼哈顿图案而言(例如用于ILT 中的曲线图案或者具有任意角度的图案),一维核函数可能不足以产生精确的校正场,也就难以产生精确的近场。为了克服此一问题,本发明实施例使用可快速旋转的二维核函数。这些二维核函数加于产生用于薄掩模场之上的校正场,以对用于ILT中的非曼哈顿图案产生准确的近场,以下将提出更详细的描述。
图4为本发明一实施例所述的描述方法300的整体流程的流程图。
方法300包括操作310,载入掩模布局。掩模布局可用于ILT掩模,ILT 掩模如前所述可包含用于针对某些集成电路图案进行优化的非曼哈顿形状。举例来说,在此所载入的掩模布局可包括曲线图案边缘(curvilinear pattern edges)。
方法300包括操作320,对操作310所载入的掩模布局进行预处理。于一些实施例中,预处理可包括例如栅格化(rasterization)和/或抗混叠滤波 (anti-aliasingfiltering)的操作。栅格化是指将以向量绘图格式(vector graphics format)描绘(例如包括掩模图案的多边形形状)之图像其转换为包括像素(或者点)的栅格图像的工作。于栅格化过程中,可取得一高分辨率结果。然而,由于可能不需要如此高的分辨率,因此高分辨率可能被下转(down-convert)为较低的分辨率。这种下转过程可能涉及可能导致混叠的信号处理。对于不感兴趣的(not of interest)高频混叠信号而言,可通过抗混叠滤波的操作将其滤除。
方法300包括操作330,为操作320中所处理的掩模布局构建非曼哈顿图案的一边缘分布以及一边缘指向图(orientation map)。操作330所相关的更详细的描述将于后续加以说明。
方法300包括操作340,将不同的旋转分解的核函数(rotationally decomposedkernel)(例如二维核函数)应用于边缘以及边缘指向图以取得 (对于非曼哈顿图案的)边缘校正。换句话说,尽管在此所述的校正场类似于图3所示的校正场220,但操作340是使用二维核函数。操作340所相关的更详细的描述将于后续加以说明。
方法300包括操作350,将薄掩模模型应用于操作320中所取得的经处理的掩模布局。如前所述,薄掩模模型包含掩模上的所述图案的二元建模。换句话说,薄掩模模型将掩模图案描述为具有锐利边缘(例如黑色以及白色)。当薄掩模模型被应用于经处理的掩模布局时,可取得薄掩模场(thin mask field)(例如图3中的薄掩模场210)。当然,由于本发明实施例可使用掩模上的非曼哈顿图案,因此本发明实施例所取得的薄掩模场亦可具有非曼哈顿形状。
方法300包括操作360,结合薄掩模结果(于操作350中取得)以及边缘校正(于操作340中取得)以取得一近场。同样地,边缘校正可被视为类似于图3中的校正场的校正场(尽管是由二维核函数得到的)。校正场的核函数可沿着掩模图案的边缘来铺置,以产生校正场,该校正场将模糊边缘增加至薄掩模场以近似掩模图案的真实近场。
方法300包括操作370,将光学模型应用于近场(在操作360中取得) 以取得晶圆上的空间影像。操作370亦可被视为进行曝光模拟。
方法300包括操作380,将光刻胶模型应用于空间影像以取得晶圆上的一最终光刻胶影像。操作380亦可被视为进行光刻胶模拟。
请参阅图5以更进一步地讨论操作330和340,图5为非曼哈顿图案 400的一示例以及用于非曼哈论图案400的若干二维核函数411~416的示例的图形化说明。非曼哈顿图案400是以像素网格的形式显示,其中每个像素具有对应于X轴的尺寸(X-axis dimension)Δx以及对应于Y轴的尺寸 (Y-axis dimension)Δy。于一些实施例中,每个Δx以及Δy的范围是介于 1nm至32nm之间。非曼哈顿图案400包含曲线边缘。也可以说非曼哈顿图案400具有任意的角度(而并非与曼哈顿图案相同具有0度、90度、180 度以及270度的角度)。必须理解的是,由于图案400并不具有明显分开的边缘区段,因此其亦可被视为具有单一连续边缘,其中边缘由数个点所构成,每个点具有与其相关联的二维核函数。
非曼哈顿图案400的边缘上的像素被称为边缘像素。这些边缘像素可以藉由计算图案的梯度(或者梯度量值)加以识别。根据所应用的梯度方法以及抗混叠滤波器,边缘可具有几个像素的宽度。图5中的边缘像素是在视觉上强调显示。这些边缘像素中的每一者包含非曼哈顿图案400的边缘的一区段。每个像素中边缘的区段的指向可通过该边缘区段的法线(也称为法线向量)加以确定。相对于表面的法线/向量是指垂直或者正交于该表面的线/向量。因此,与任何边缘像素相关联的法线/向量为与特定像素的边缘的区段垂直或者正交的线/向量。
于识别出边缘像素(例如通过梯度)以及确定每个像素中的边缘区段的指向(例如通过判断法线/向量)后,将二维核函数应用于每个像素中相应的边缘区段。二维核函数可各自具有其方位角,此方位角是相应于像素的边缘区段的指向。换句话说,二维核函数沿着非曼哈顿图案400的边缘以不同角度旋转,每个二维核函数为非曼哈顿图案400中一对应边缘的指向的函数。
图5是显示二维核函数411~416的示例。举例来说,二维核函数411 具有一种方位角、二维核函数412具有另一种方位角、二维核函数413具有第三种方位角、二维核函数414具有第四种方位角、二维核函数415具有第五种方位角,以及二维核函数416具有第六种方位角。第一、第二、第三、第四、第五以及第六种方位角皆彼此不同。
本发明实施例涉及一种方法其可快速且准确地决定应该被应用围绕于非曼哈顿图案400的边缘的各种旋转的二维核函数。图6中是显示二维核函数的分解以及旋转的图形化说明。首先,图6是显示二维核函数450的示例。二维核函数450尚未旋转,即其旋转0度。由于核函数450为二维的,因此其具有两个自由度(degrees of freedom),在这种情况下可以极坐标表示的。举例来说,二维核函数450可在极坐标中表示为f2D(r,θ),其中“2D”表示其本质上为二维的,“r”表示半径部分(亦称为极坐标的径向坐标(radial coordinate)),“θ”表示极坐标的角度部分(亦称为角坐标或者极角(pole angle))。
如图6所示,本实施例中的二维核函数450包括部件(portion)450A 以及一个大于部件450A的部件450B。部件450A以及部件450B是相连于对应于极坐标系的原点(即r=0)。于图5中,二维核函数是于核函数的原点与每个边缘像素相交。
二维核函数450被分解为若干分量(component),其中图6中的一些示例是显示所分解的分量451、452以及453。具有不同旋转对称性的分解分量是以hn(r)einθ的形式表示,其中“n”为分量的顺序。因此,对于分量 451而言,“n”为0,对于分量452而言,“n”为1,以及对于分量453而言,为“n”为2。可以理解的是,“n”包含所有整数(正整数、负整数以及0),并可自-∞变化至∞。“r”以及“θ”分别为径向以及角坐标。“i”为负1的平方根。
必须理解的是,二维核函数450理论上可被分解成无限多个分量。而所分解的分量的数量越多,越能准确地近似二维核函数450。然而,实务上,少量的分量通常足以精确地表示二维核函数450。
当二维核函数450旋转成二维核函数460时,其可被分解为若干分量,其中图6中的一些示例是显示所分解的分量461、462以及463。同样地,二维核函数460可分解成无限多个分量,但少量的分量即可足以准确地近似二维核函数460。分量461~463分别与分量451~453相关(或分别为其函数)。举例来说,分量461为分解分量451以及常数C0的乘积,分量462为分量452以及常数C1的乘积,以及分量463为分量453以及常数C2的乘积。于图6所示的实施例中,C0=1、
Figure BDA0001439860100000141
其中“exp(x)”是指自然指数函数,即等同于ex。于分量的数量为n的实施例中,常数Cn 可表示为
Figure BDA0001439860100000142
其中“n”为分量的顺序。如前所述,“n”涵盖所有整数,并可变化于-∞到∞之间。
值得注意的是,θ以及
Figure BDA0001439860100000144
表示不同的东西。如前所述,θ表示二维核函数的角坐标,其取决于图5中的二维核的位置,而
Figure BDA0001439860100000143
代表图5中二维核函数的旋转角度(即通过每个边缘像素的法线/向量所确定的方位角)。
由此可以看出因为所分解的分量461~463可仅通过将分解的分量 451~453乘上其各自的常数C0、C1以及C2而导出,因此相较于将坐标自 (x,y)变换为
Figure BDA0001439860100000151
的常规旋转,二维核函数450的旋转(至旋转后的二维核函数460)可被更快速且更准确地进行。
图7为根据本发明的实施例所述的如何使用二维核函数对非曼哈顿掩模图案400进行边缘校正处理的图形化说明。该过程的第一操作为分解二维核函数450。该分解过程类似于图6所讨论的过程。然而,图7所示的实施例并非将二维核函数450分解为三个分量,而是将二维核函数450分解为两个分量451以及452,其中分量451表示为h0(r),以及分量452表示为 h1(r)e。可以理解的是,两个分量451以及452仅作为一个示例,并且于替代实施例中,二维核函数450可被分解成任何其它数量的分量。
图7中所示的该过程的第二操作为取得梯度以及边缘指向图。所取得的图案400的梯度为一数值大小并表示为|grad(x,y)|。边缘像素可根据梯度加以识别。如前所述,边缘指向图是指与每个边缘像素的法线/向量相关联的角度。换句话说,对于每个边缘像素而言,法线/向量具有对应的角度或者方位,而指向图则包含了所有边缘像素的角度/方位信息。为了简单起见,边缘指向图于数学上表示为
Figure BDA0001439860100000152
值得注意的是,于本发明实施例中
Figure BDA0001439860100000153
Figure BDA0001439860100000154
Figure BDA0001439860100000155
可互换使用,其中
Figure BDA0001439860100000156
Figure BDA0001439860100000157
的简写。
图7所示的过程的第三操作为进行边缘校正处理。作为边缘校正过程的一部分,将梯度大小|grad(x,y)|与分解分量451卷积(convolve)运算,并且将梯度大小|grad(x,y)|与
Figure BDA0001439860100000158
相乘(换句话说,与前述参阅图6 所讨论的常数C1相乘),接着与分解分量451进行卷积运算。
接着,将两个卷积运算后的结果相加,以取得边缘校正结果。边缘校正处理的结果即为非曼哈顿图案400的校正场(例如类似于图3所示的校正场220,除了于此实施例中为二维核函数而非一维核函数)。于取得校正场后,可通过将校正场应用于薄掩模场(类似于图3中的薄掩模场210)以得出图案400的近场(例如类似于图3所示的真实近场200,除了非曼哈顿图案不同外)。
图8为本发明实施例所讨论的准备二维核函数的方法600的流程图。方法600包括操作610,产生校准掩模布局图案样本。于一些实施例中,校准掩模布局图案可能有数百个。
方法600包括操作620,将掩模布局进行预处理,例如栅格化以及抗混叠滤波。
方法600包括操作630,将薄掩模模型应用于操作620中所处理的每个掩模图案。
方法600包括操作640,计算每个处理的掩模布局的精确(rigorous) 近场。此为一繁重的计算过程,因此可能不适用于实际的生产环境。然而,由于方法600仅在校准环境下使用,因此事实上操作640的繁重计算为可被接受的。
方法600包括操作650,计算精确的近场(即操作640的结果)与薄掩模近场(即操作630的结果)之间的差异。操作650产生目标校正场。
方法600包括操作660,建构每个经处理的校准掩模布局图案的边缘分布以及边缘指向图。换句话说,在此是对每个校准图案重复前述参阅图5 所讨论的过程。
方法600包括操作670,对相对于边缘指向图的近场差进行回归分析以取得所需的核函数。作为回归分析的一部分,将可得到若干系数。操作670 可产生一数据库以供后续重复使用以产生二维核函数。这些二维核函数亦可用于不同的掩模。
图9是显示如何根据前述的操作670中所讨论的回归分析产生二维核函数的简化示例。于图9中,术语“Δfield”表示图8的操作650的结果。如图9中所示,对图案400、图案400与指数项(exponential term)401的乘积以及分量451~452进行快速傅里叶转换(FFT)。结果如下:
其中,k为快速傅里叶转换空间(k=k1,k2,...,kV)中的二维索引。
若于操作610中产生M个不同样本,则对每个样本进行快速傅里叶转换将给出M个方程式。从操作650得知ΔF(k),接着使用以下M个线性方程式通过最小平方法(leastsquare method)求解H0(ki)以及H1(ki):
N0(k)H0(k)+N1(k)H1(k)=ΔF(k)
N01(ki)H0(ki)+N11(ki)H1(ki)=ΔF1(ki)
N02(ki)H0(ki)+N12(ki)H1(ki)=ΔF2(ki)
Figure BDA0001439860100000161
N0M(ki)H0(ki)+N1M(ki)H1(ki)=ΔFM(ki)
上述过程将针对每一个单独的ki上产生包含H0以及H1的二维核函数的数据库,而此数据库将ki可用于许多不同的掩模图案。
图10是显示非曼哈顿掩模图案400以及通过图案400投影于一晶圆上的一空间影像700。空间影像700可被视为图4操作S370的结果的示例,即将光学模型应用于近场所产生的结果。根据图10的内容,可以看出空间影像700非常类似于原始的非曼哈顿掩模图案400,这表示本发明实施例的方法可实现足够的精确度。举例来说,由于二维核函数可具有任何任意角度/方位,因此通过本发明实施例所产生的空间影像700不具有不需要的角隅或者与其它方法相关联的其它实际不存在的特征。除此之外,在以“大O 符号(Big O notation)”表示的时间复杂度中,本发明的快速旋转方法仅具有O(N2lgN)的时间复杂度,而直接坐标旋转的常规方法则具有O(N4)的时间复杂度,也因此常规方法因太慢使其无法应用于OPC或者ILT计算中。在此“N”是指二维模拟区段(simulation clip)的一侧的大小。根据O(N2lgN)以及O(N4)之间的差异,可看出本发明实施例的方法可更快地旋转二维核函数,其将提供比采用常规方法的一维核函数更好的灵活性以及准确性。
图11为一掩模的建模方法800的一流程图。方法800包括操作810,接收一掩模布局,掩模布局包含非曼哈顿图案。
方法800包括操作802,处理掩模布局。于一些实施例中,操作820涉及对接收到的掩模布局进行栅格化或者抗混叠滤波。
方法800操作830,识别非曼哈顿图案的边缘以及该边缘的指向。于一些实施例中,该边缘可通过对接收到且经处理的掩模布局取梯度加以识别。
方法800包括操作840,检查是否产生分解过的二维核函数。如果否,则通过称为方法600的操作845产生分解的二维核函数。分解的二维核函数各自具有相应的旋转对称性。于一些实施例中,操作845涉及将每个二维核函数分解为若干分量。
方法800包括操作850,载入二维核函数并将其铺置于非曼哈顿图案的所有边缘,以取得非曼哈顿图案的一校正场。
方法800包括操作860,将薄掩模模型应用于非曼哈顿图案。薄掩模模型包含非曼哈顿图案的一二元建模。
方法800包括操作870,通过将校正场迭加于非曼哈顿图案薄掩模模型近场以取得该非曼哈顿图案的一近场。
方法800包括操作880,将一光学模型应用于该近场,以取得一晶圆上的一空间影像。
方法800包括操作890,将一光刻胶模型应用于该空间影像,以取得晶圆上的一最终光刻胶影像。
必须理解的是,尽管方法800是以具有非曼哈顿图案的掩模布局作为示例,但亦可将方法800应用于具有曼哈顿图案的掩模布局。除此之外,可于本发明实施例的操作810~890执行前、期间或者之后执行额外的操作。举例来说,额外操作可包括制造掩模、和/或使用掩模进行半导体制造。为了简单起见,在此没未详细讨论这些额外的操作。
本发明一实施例有关于一种具有任意角的图案的掩模建模方法,步骤包括:接收一掩模布局;根据一组预选的掩模布局样本产生一组二维核函数;将上述组二维核函数应用于上述接收到的掩模布局,以取得一校正场;以及根据上述校正场来决定上述接收到的掩模布局的一近场。
根据本发明另一实施例,其中接收到的掩模布局包含一非曼哈顿图案,以及其中使用非曼哈顿图案进行产生操作、应用操作以及决定操作。
根据本发明另一实施例,其中产生操作包括分解上述组二维核函数的每一者。
根据本发明另一实施例,其中二维核函数是使用极坐标来描述。
根据本发明另一实施例,其中应用操作包括通过采用接收到的掩模布局的一梯度来识别接收到的掩模布局的一图案的一边缘以及边缘的一指向。
根据本发明另一实施例,其中进行应用操作使得上述组二维核函数根据一梯度方向旋转,以及旋转的二维核函数的每一者具有各自不同的旋转角度。
根据本发明另一实施例,该方法还包括处理接收到的掩模布局,其中将上述组二维核函数应用于接收到且处理过的掩模布局。
根据本发明另一实施例,其中处理接收到的掩模布局的操作包括对接收到的掩模布局进行栅格化或者抗混叠滤波。
根据本发明另一实施例,该方法还包括将薄掩模模型应用于接收到的掩模布局,其近场则为此光罩之校正场以及其薄光罩近场的函数。
根据本发明另一实施例,其中薄掩模模型包含位于接收的掩模布局上的图案的二元建模。
根据本发明另一实施例,该方法还包括将一光学模型应用于近场以取得一晶圆上的一空间影像,以及将一光刻胶模型应用于空间影像以取得上述晶圆上的一最终光刻胶影像。
本发明另一实施例有关于一种具有任意角的图案的掩模建模方法,步骤包括:接收一掩模布局,掩模布局包含一非曼哈顿图案;处理接收到的掩模布局;根据一组经处理的预选掩模布局样本,产生若干二维核函数,其中二维核函数的每一者具有一相应的旋转对称性;将二维核函数应用于非曼哈顿图案的所有边缘,以取得非曼哈顿图案的一校正场;以及根据校正场判断非曼哈顿图案的一近场。
根据本发明另一实施例,其中产生二维核函数操作包括将二维核函数的每一者分解为若干分量。
根据本发明另一实施例,其中将二维核函数应用于非曼哈顿图案的所有边缘的操作包括通过采用接收到的掩模布局的一梯度,识别非曼哈顿图案的一边缘以及边缘的一方位。
根据本发明另一实施例,其中处理接收到的掩模布局的操作包括对接收到的掩模布局进行栅格化或者抗混叠滤波。
根据本发明另一实施例,该方法还包括将一薄掩模模型应用于接收到的掩模布局,薄掩模模型包含非曼哈顿图案的一二元建模,其中通过结合校正场以及具有薄掩模模型应用于上的接收到的掩模布局决定近场。
根据本发明另一实施例,该方法还包括将一光学模型应用于近场以取得于一晶圆上的一空间影像,以及将一光刻胶模型应用于空间影像以取得晶圆上的一最终光刻胶影像。
本发明另一实施例有关于一种具有任意角的图案的掩模建模方法,步骤包括:接收一掩模布局,掩模布局包含一非曼哈顿图案;处理接收到的掩模布局;根据一组经处理的预选掩模布局样本,产生若干二维核函数,其中二维核函数的每一者具有一相应的旋转对称性;识别非曼哈顿图案的所有边缘;将二维核函数应用于非曼哈顿图案的所有边缘,以取得非曼哈顿图案的一校正场;将一薄掩模模型应用于非曼哈顿图案,该薄掩模模型包含非曼哈顿图案的一二元建模;通过将校正场应用于具有薄掩模模型应用于其上的非曼哈顿图案来决定非曼哈顿图案的一近场;将一光学模型应用于近场,以取得一晶圆上的一空间影像;以及将一光刻胶模型应用于空间影像,以取得晶圆上的一最终光刻胶影像。
根据本发明另一实施例,其中产生二维核函数的操作包括将二维核函数的每一者分解为若干分量。
根据本发明另一实施例,其中处理接收到的掩模布局的操作包括对接收到的掩模布局进行栅格化或者抗混叠滤波。
前述的实施例或者示例已概述本发明的特征,本领域技术人员可更佳地理解本发明的各个方面。本领域技术人员应当理解,他们可轻易地使用本发明作为用于设计或者修改其它过程以及结构以实施相同的目的和/或实现本发明所介绍的实施例或示例的相同优点。本领域技术人员可理解的是,上述等效构造并未脱离本发明的构思和范围,并且可于不脱离本发明的构思和范围进行各种改变、替换和更改。

Claims (40)

1.一种具有任意角的图案的掩模建模方法,包括:
接收一掩模布局;
根据一组预选的掩模布局样本产生一二维核函数;
将上述二维核函数应用于上述掩模布局,以取得上述掩模布局的一校正场,其中应用上述二维核函数包括:
建立上述掩模布局的边缘指向图,上述边缘指向图包括用于上述掩模布局的边缘的每个边缘区段的方位角
Figure FDA0002281906390000011
以及
根据上述边缘指向图应用上述二维核函数于上述边缘;
根据上述校正场的至少一部份决定上述掩模布局的一近场;
根据相对于一设计规则的上述掩模布局的上述近场评估一模拟的装置布局;
根据上述评估优化上述掩模布局;以及
使用具有上述优化过的掩模布局的掩模制造一晶圆。
2.如权利要求1所述的掩模建模方法,其中上述掩模布局包含一非曼哈顿图案,以及其中上述产生、上述应用上述二维核函数于上述掩模布局、上述决定以及上述评估是使用具有用以根据各种设计规则操作一集成电路设计布局的掩模设计系统的上述非曼哈顿图案来执行,其中上述各种设计规则包含上述设计规则。
3.如权利要求1所述的掩模建模方法,其中上述二维核函数是使用极坐标来描述。
4.如权利要求2所述的掩模建模方法,其中建立上述掩模布局的上述边缘指向图包含通过采用上述非曼哈顿图案的梯度来识别上述边缘;以及通过根据上述边缘区段的法线向量决定上述每个边缘区段的上述方位角来建立上述边缘指向图。
5.如权利要求4所述的掩模建模方法,其中根据上述边缘指向图应用上述二维核函数包含根据上述边缘区段的上述方位角旋转上述二维核函数;以及应用上述旋转的二维核函数于上述边缘区段。
6.如权利要求1所述的掩模建模方法,还包括:处理上述掩模布局,其中上述二维核函数是应用于上述处理过的掩模布局。
7.如权利要求1所述的掩模建模方法,还包括:应用薄掩模模型于上述掩模布局,其中上述近场被确定为具有上述薄掩模模型应用于上的上述掩模布局的上述校正场的函数。
8.如权利要求1所述的掩模建模方法,
其中上述产生上述二维核函数包括产生作为径向坐标r与角坐标θ的函数f(r,θ),并作为至少包含h0(r)与h1(r)e的复数部件的总和,以及
其中上述应用上述二维核函数包括对于具有上述方位角
Figure FDA0002281906390000021
的上述每个边缘区段应用一旋转的二维核函数,上述旋转的二维核函数包含与上述方位角
Figure FDA0002281906390000022
的函数卷积的上述二维核函数以及包含至少包含h0(r)与
Figure FDA0002281906390000023
9.如权利要求1所述的掩模建模方法,其中上述产生还包括:
建立一组边缘指向图,其每个关联于上述组预选的掩模布局样本的一样本;
应用薄掩模模型于上述组预选的掩模布局样本的第一样本以取得薄掩模近场;
计算上述第一样本的精确近场;
计算上述精确近场与上述薄掩模近场之间的差异;以及
相对于上述组边缘指向图回归上述差异。
10.一种具有任意角的图案的掩模建模方法,包括:
接收一掩模布局,上述掩模布局包含非曼哈顿图案;
处理上述掩模布局;
根据一组经处理的预选掩模布局样本产生二维核函数,其中上述二维核函数具有相应的旋转对称性;
应用上述二维核函数于具有方位角
Figure FDA0002281906390000024
的上述非曼哈顿图案的边缘区段以得到用一修正核函数表示的上述非曼哈顿图案的校正场,其中上述修正核函数包含
Figure FDA0002281906390000025
根据上述校正场的至少一部份决定上述非曼哈顿图案的近场;
根据上述近场取得上述非曼哈顿图案的最终光刻胶影像;
相对于一设计规则来评估上述最终光刻胶影像;
根据上述评估优化上述掩模布局;以及
使用具有上述优化过的掩模布局的掩模制造一晶圆。
11.如权利要求10所述的掩模建模方法,其中上述应用上述二维核函数包括通过采用上述非曼哈顿图案的梯度来识别上述非曼哈顿图案的上述边缘区段以及根据上述边缘区段的法线向量来识别上述方位角
Figure FDA0002281906390000031
12.如权利要求10所述的掩模建模方法,其中上述处理上述掩模布局包括对上述掩模布局进行栅格化或者抗混叠滤波。
13.如权利要求10所述的掩模建模方法,还包括:应用薄掩模模型于上述掩模布局,上述薄掩模模型包含上述非曼哈顿图案的二元建模,其中上述近场是通过结合上述校正场以及具有上述薄掩模模型应用于上的上述掩模布局来决定。
14.如权利要求10所述的掩模建模方法,其中上述二维核函数包括多个部件,各上述部件具有旋转对称性,其中上述产生包含通过采用上述组经处理的预选掩模布局样本的每一者的梯度来建立图案边缘,以及利用上述图案边缘的区段的法线向量来建立边缘指向图。
15.如权利要求14所述的掩模建模方法,其中上述多个部件中的每一者为独立于上述法线向量的函数。
16.如权利要求10所述的掩模建模方法,其中上述二维核函数包括部件h0(r)与h1(r)ei θ,其中r为径向坐标且θ为角坐标,以及其中上述校正场包含h0(r)与
Figure FDA0002281906390000032
17.一种具有任意角的图案的掩模建模方法,包括:
产生二维核函数,其中上述产生包含:
接收具有第一非曼哈顿图案的一处理过的预选掩模布局样本;
识别上述第一非曼哈顿图案的第一组的边缘以及每一个上述第一组的方位角;以及
产生上述二维核函数作为独立于上述每一个上述第一组的边缘的上述方位角的第一函数;
接收一掩模布局,上述掩模布局包含第二非曼哈顿图案;
处理上述掩模布局;
识别上述第二非曼哈顿图案的第二组的边缘以及每一个上述第二组的边缘的方位角;
通过卷积上述二维核函数与上述每一个上述第二组的边缘的上述方位角来应用上述二维核函数于上述每一个上述第二组的边缘,以得到上述第二非曼哈顿图案的校正场;
应用薄掩模模型于上述第二非曼哈顿图案,上述薄掩模模型包含上述第二非曼哈顿图案的二元建模;
通过应用上述校正场于具有上述薄掩模模型应用于上的上述第二非曼哈顿图案来决定上述第二非曼哈顿图案的近场;
应用光学模型于上述近场以得到晶圆上的空间影像;
应用光刻胶模型于上述空间影像以得到上述晶圆上的最终光刻胶影像;
相对于一设计规则来评估上述最终光刻胶影像;
根据上述评估优化上述掩模布局;以及
使用具有上述优化过的掩模布局的掩模制造上述晶圆。
18.如权利要求17所述的掩模建模方法,其中上述第一函数包含复数部件,其每一者具有相应的旋转对称性并且独立于上述第一组的边缘中的每一者的上述方位角。
19.如权利要求17所述的掩模建模方法,其中上述二维核函数包括部件h0(r)与h1(r)ei θ,其中r为径向坐标且θ为角坐标,以及其中上述校正场包含h0(r)与
Figure FDA0002281906390000041
20.如权利要求17所述的掩模建模方法,
其中上述产生上述二维核函数作为第一函数包含产生部件h0(r)与h1(r)e,r为径向坐标,且θ为角坐标,以及
其中上述应用上述二维核函数包括对于具有方位角
Figure FDA0002281906390000042
的每个边缘区段应用一旋转的二维核函数,上述旋转的二维核函数包含h0(r)与
Figure FDA0002281906390000043
21.一种具有任意角的图案的掩模建模方法,包括:
接收掩模布局;
开发二维核函数,上述开发包括:
接收具有非曼哈顿图案的掩模布局样本;
识别上述非曼哈顿图案的一组图案边缘以及每个图案边缘的方位角;以及
产生上述二维核函数作为独立于上述每个图案边缘的上述方位角的函数;
应用上述二维核函数于上述掩模布局以取得上述掩模布局的校正场;
根据相对于一设计规则的上述校正场的至少一部份评估一模拟的装置布局;
根据上述评估优化上述掩模布局;以及
使用具有上述优化过的掩模布局的掩模制造一晶圆。
22.如权利要求21所述的掩模建模方法,其中上述识别包含采用上述非曼哈顿图案的梯度。
23.如权利要求21所述的掩模建模方法,其中上述产生包含使用极坐标来描述上述二维核函数。
24.如权利要求21所述的掩模建模方法,其中上述产生包含产生上述二维核函数作为径向坐标r与角坐标θ的函数f(r,θ),上述函数f(r,θ)表示为至少包含项目h0(r)与h1(r)e的总和。
25.如权利要求21所述的掩模建模方法,其中上述应用包括:
识别上述掩模布局的一组布局边缘以及每个布局边缘的边缘方位角;以及
根据上述掩模布局的上述布局边缘的上述边缘方位角应用上述二维核函数。
26.如权利要求25所述的掩模建模方法,其中上述校正场包括用于每个上述布局边缘的修正二维核函数,上述修正二维核函数包含与上述边缘方位角的函数卷积的上述二维核函数。
27.如权利要求26所述的掩模建模方法,其中:
上述二维核函数包含部件h0(r)与h1(r)e,r为径向坐标,且θ为角坐标,以及
用于具有边缘方位角
Figure FDA0002281906390000051
的布局边缘的上述修正二维核函数包含h0(r)与
Figure FDA0002281906390000052
28.如权利要求21所述的掩模建模方法,还包括处理上述掩模布局,上述处理包含对上述掩模布局进行栅格化或者抗混叠滤波,其中上述二维核函数是应用于上述处理过的掩模布局。
29.如权利要求21所述的掩模建模方法,还包括:
应用薄掩模模型于上述掩模布局;
用上述二维核函数修正上述薄掩模模型以取得近场;
应用光学模型于上述近场以得到晶圆上的空间影像;以及
应用光刻胶模型于上述空间影像以得到上述晶圆上的上述模拟的装置布局。
30.一种具有任意角的图案的掩模建模方法,包括:
接收掩模布局;
根据一组掩模布局样本开发二维核函数,上述开发包括:
建立每个掩模布局样本的边缘指向图;以及
根据上述边缘指向图产生上述二维核函数;
应用上述二维核函数于上述掩模布局以取得上述掩模布局的校正场;
根据上述校正场的至少一部份取得上述掩模布局的最终光刻胶影像;
相对于一设计规则来评估上述最终光刻胶影像;
根据上述评估优化上述掩模布局;以及
使用具有上述优化过的掩模布局的掩模制造一晶圆。
31.如权利要求30所述的掩模建模方法,其中上述建立上述边缘指向图包含识别上述每个掩模布局样本的边缘,以及识别关联于每个边缘的方位角。
32.如权利要求30所述的掩模建模方法,其中上述掩模布局包含非曼哈顿图案,以及其中上述产生、上述应用、上述取得、上述评估以及上述优化是使用上述非曼哈顿图案来进行。
33.如权利要求30所述的掩模建模方法,其中根据上述缘指向图产生上述二维核函数包含:
应用薄掩模模型于上述组掩模布局样本的每个掩模布局样本以取得上述每个掩模布局样本的薄掩模近场;
计算上述每个掩模布局样本的精确近场;
计算上述每个掩模布局样本的上述薄掩模近场与上述精确近场之间的差异;以及
相对于上述组边缘指向图回归上述每个掩模布局样本的上述差异。
34.如权利要求30所述的掩模建模方法,其中上述应用包含通过采用上述掩模布局的梯度来识别上述掩模布局的边缘以及上述边缘的方位角。
35.如权利要求34所述的掩模建模方法,其中上述应用包含根据上述掩模布局的上述边缘的上述方位角来旋转上述二维核函数。
36.如权利要求30所述的掩模建模方法,其中上述二维核函数包含h0(r)+h1(r)e,以及上述校正场包含
Figure FDA0002281906390000071
其中r为径向坐标,θ为角坐标,且
Figure FDA0002281906390000072
为上述掩模布局的边缘的方位角。
37.一种具有任意角的图案的掩模建模方法,包括:
根据一组处理过的预选掩模布局样本产生二维核函数;
接收掩模布局,其中上述掩模布局包含非曼哈顿图案;
识别上述非曼哈顿图案的一组边缘以及上述组边缘中的每个边缘的方位角;
应用上述二维核函数于具有方位角
Figure FDA0002281906390000073
的每个边缘以取得由修正核函数表示的上述非曼哈顿图案的校正场,其中上述修正核函数包含
Figure FDA0002281906390000074
根据上述校正场的至少一部份取得上述掩模布局的最终光刻胶影像;
相对于一设计规则来评估上述最终光刻胶影像;
根据上述评估优化上述掩模布局;以及
使用具有上述优化过的掩模布局的掩模制造一晶圆。
38.如权利要求37所述的掩模建模方法,其中上述产生包含建立上述组处理过的预选掩模布局样本中的每一者的边缘指向图。
39.如权利要求37所述的掩模建模方法,其中上述产生包含对上述组处理过的预选掩模布局样本的图案进行快速傅立叶变换程序。
40.如权利要求37所述的掩模建模方法,其中上述产生包含产生与上述组处理过的预选掩模布局样本的图案的方位角独立的上述二维核函数。
CN201710982988.XA 2016-11-29 2017-10-20 具有任意角的图案的掩模建模方法 Active CN108121151B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427286P 2016-11-29 2016-11-29
US62/427,286 2016-11-29
US15/666,775 2017-08-02
US15/666,775 US10466586B2 (en) 2016-11-29 2017-08-02 Method of modeling a mask having patterns with arbitrary angles

Publications (2)

Publication Number Publication Date
CN108121151A CN108121151A (zh) 2018-06-05
CN108121151B true CN108121151B (zh) 2022-12-16

Family

ID=62192817

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710982988.XA Active CN108121151B (zh) 2016-11-29 2017-10-20 具有任意角的图案的掩模建模方法

Country Status (3)

Country Link
US (3) US10466586B2 (zh)
CN (1) CN108121151B (zh)
TW (1) TWI747977B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10466586B2 (en) * 2016-11-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles
KR102444680B1 (ko) 2018-02-18 2022-09-19 에이에스엠엘 네델란즈 비.브이. 이진화 방법 및 프리폼 마스크 최적화 흐름
KR102693518B1 (ko) * 2018-09-06 2024-08-08 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
CN109977933B (zh) * 2019-05-27 2019-09-03 墨研计算科学(南京)有限公司 计算光刻系统模型中混叠现象的处理方法
KR20210045607A (ko) 2019-10-17 2021-04-27 삼성전자주식회사 패턴 레이아웃 설계 방법, 이를 이용한 패턴 형성 방법, 및 이를 이용한 반도체 장치 제조 방법
US20210158223A1 (en) * 2019-11-22 2021-05-27 Kla Corporation Finding Semiconductor Defects Using Convolutional Context Attributes
KR20210156399A (ko) 2020-06-17 2021-12-27 삼성전자주식회사 Opc 방법 및 이를 이용한 반도체 소자의 제조 방법
KR20230066471A (ko) * 2020-09-18 2023-05-15 어플라이드 머티어리얼스, 인코포레이티드 보조 피처들을 이용하여 디지털 리소그래피를 위한 프로세스 윈도우 및 해상도를 개선하기 위한 방법들
TWI782372B (zh) * 2020-12-22 2022-11-01 台灣積體電路製造股份有限公司 改善設計布局的方法及其半導體布局系統
CN117454831B (zh) * 2023-12-05 2024-04-02 武汉宇微光学软件有限公司 一种掩模版图形优化方法、系统及电子设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
CN101957555A (zh) * 2009-07-16 2011-01-26 中芯国际集成电路制造(上海)有限公司 掩模版图修正方法、掩模版制作方法和光学邻近校正方法
KR20120090362A (ko) * 2011-02-07 2012-08-17 삼성전자주식회사 마스크 레이아웃 보정 방법 및 장치
KR20130008662A (ko) * 2011-02-28 2013-01-23 삼성전자주식회사 포토마스크 레이아웃 형성 방법
CN104025255A (zh) * 2011-12-30 2014-09-03 英特尔公司 用于工艺优化的相位调谐的技术

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US7266480B2 (en) * 2002-10-01 2007-09-04 The Regents Of The University Of California Rapid scattering simulation of objects in imaging using edge domain decomposition
US7603648B2 (en) 2004-02-27 2009-10-13 Intel Corporation Mask design using library of corrections
US7263683B1 (en) 2004-09-07 2007-08-28 Advanced Micro Devices, Inc. Simplified optical proximity correction based on 1-dimension versus 2-dimension pattern shape classification
US20110004856A1 (en) * 2005-02-28 2011-01-06 Yuri Granik Inverse Mask Design and Correction for Electronic Design
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7954071B2 (en) * 2008-10-31 2011-05-31 Synopsys, Inc. Assist feature placement based on a focus-sensitive cost-covariance field
US8078995B2 (en) * 2009-01-06 2011-12-13 International Business Machines Corporation Efficient isotropic modeling approach to incorporate electromagnetic effects into lithographic process simulations
DE102009001021A1 (de) 2009-02-19 2010-08-26 Wacker Chemie Ag Verfahren und Vorrichtung zur Herstellung von Vinylacetat
JP4918598B2 (ja) 2010-01-18 2012-04-18 株式会社ニューフレアテクノロジー 検査装置および検査方法
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8719739B2 (en) * 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US8539393B2 (en) * 2011-09-23 2013-09-17 Mentor Graphics Corporation Simulation and correction of mask shadowing effect
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8631360B2 (en) 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
US9038003B2 (en) * 2012-04-18 2015-05-19 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8640060B2 (en) 2012-05-29 2014-01-28 Applied Materials Israel, Ltd. Method of generating a recipe for a manufacturing tool and system thereof
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8954899B2 (en) 2012-10-04 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contour alignment system
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8812999B2 (en) 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US8918743B1 (en) 2013-08-12 2014-12-23 Synopsys, Inc. Edge-based full chip mask topography modeling
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9348964B2 (en) 2014-04-21 2016-05-24 Synopsys, Inc. MASK3D model accuracy enhancement for small feature coupling effect
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10430543B2 (en) 2014-10-04 2019-10-01 Synopsys, Inc. Matrix reduction for lithography simulation
US10466586B2 (en) * 2016-11-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
CN101957555A (zh) * 2009-07-16 2011-01-26 中芯国际集成电路制造(上海)有限公司 掩模版图修正方法、掩模版制作方法和光学邻近校正方法
KR20120090362A (ko) * 2011-02-07 2012-08-17 삼성전자주식회사 마스크 레이아웃 보정 방법 및 장치
KR20130008662A (ko) * 2011-02-28 2013-01-23 삼성전자주식회사 포토마스크 레이아웃 형성 방법
CN104025255A (zh) * 2011-12-30 2014-09-03 英特尔公司 用于工艺优化的相位调谐的技术

Also Published As

Publication number Publication date
US11994796B2 (en) 2024-05-28
US11143955B2 (en) 2021-10-12
TWI747977B (zh) 2021-12-01
US10466586B2 (en) 2019-11-05
CN108121151A (zh) 2018-06-05
US20220026812A1 (en) 2022-01-27
US20200050101A1 (en) 2020-02-13
US20180149967A1 (en) 2018-05-31
TW201823852A (zh) 2018-07-01

Similar Documents

Publication Publication Date Title
CN108121151B (zh) 具有任意角的图案的掩模建模方法
CN108121150B (zh) 掩模建模方法
US11748549B2 (en) Method and apparatus for integrated circuit mask patterning
US9465906B2 (en) System and method for integrated circuit manufacturing
US10909294B2 (en) Modeling of a design in reticle enhancement technology
US8589830B2 (en) Method and apparatus for enhanced optical proximity correction
US11747786B2 (en) Synchronized parallel tile computation for large area lithography simulation
CN106469234B (zh) 基于模型的规则表产生
US20150227671A1 (en) Method For Integrated Circuit Mask Patterning
CN110943022B (zh) 半导体装置的制造方法
EP2113109B1 (en) Simulation site placement for lithographic process models
US20200096876A1 (en) Dose Map Optimization for Mask Making
CN110824831A (zh) 改善临界尺寸一致性的方法与系统
CN117034531A (zh) 掩膜的衍射近场的计算方法、光刻成像计算方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant