TWI740641B - 影像感測器與用於形成影像感測器的方法 - Google Patents

影像感測器與用於形成影像感測器的方法 Download PDF

Info

Publication number
TWI740641B
TWI740641B TW109131357A TW109131357A TWI740641B TW I740641 B TWI740641 B TW I740641B TW 109131357 A TW109131357 A TW 109131357A TW 109131357 A TW109131357 A TW 109131357A TW I740641 B TWI740641 B TW I740641B
Authority
TW
Taiwan
Prior art keywords
substrate
layer
interlayer
element layer
image sensor
Prior art date
Application number
TW109131357A
Other languages
English (en)
Other versions
TW202115892A (zh
Inventor
劉柏均
張永昌
逸群 陳
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202115892A publication Critical patent/TW202115892A/zh
Application granted granted Critical
Publication of TWI740641B publication Critical patent/TWI740641B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • H01L27/14607Geometry of the photosensitive area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • H01L27/14649Infrared imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

本揭露的各種實施例是關於一種用於形成元件層具有高結晶品質的影像感測器的方法。根據一些實施例,硬罩幕層經沈積為覆蓋基底。對硬罩幕層及基底執行第一蝕刻以形成腔室。執行第二蝕刻以移除由第一蝕刻引起的結晶損傷且使基底橫向凹陷於腔室中,因此硬罩幕層突出於腔室之上。犧牲層經形成為內襯於腔室,經由犧牲層對基底執行毯覆式離子植入,且移除犧牲層。磊晶生長間層為內襯於腔室且具有在硬罩幕層之下的頂部表面,且磊晶生長元件層為在間層上方填充腔室。在元件層中形成光偵測器。

Description

影像感測器與用於形成影像感測器的方法
本發明實施例是有關於一種影像感測器與用於形成影像感測器的方法。
具有影像感測器的積體電路(Integrated circuit;IC)用於各種現代電子元件中,諸如(例如)攝影機及蜂巢式電話。近年來,互補金屬氧化物半導體(complementary metal-oxide-semiconductor;CMOS)影像感測器已開始廣泛使用,從而在很大程度上替代了電荷耦合元件(charge-coupled device;CCD)影像感測器。相較於CCD影像感測器,CMOS影像感測器歸因於低電力消耗、小尺寸、快速資料處理、直接資料輸出以及低製造成本而愈來愈有利。一些類型的CMOS影像感測器包含前側照明(front side illuminated;FSI)影像感測器及後側照明(back side illuminated;BSI)影像感測器。
本申請的一些實施例提供一種影像感測器,包括:基底;元件層,上覆於所述基底且插入至所述基底中,其中所述基底包括 環繞所述元件層的底部且沿所述元件層的側壁及所述元件層的底部表面進一步延伸的摻雜區;光偵測器,在所述元件層中;以及間層,將所述元件層與所述基底分離,其中所述間層在所述元件層的所述側壁及所述元件層的所述底部表面上;其中所述基底及所述間層為與所述元件層不同的半導體材料,且其中所述間層具有比所述摻雜區低的摻雜濃度。
此外,本申請的其他實施例提供一種影像感測器,包括:基底;元件層,上覆於所述基底且凹陷至所述基底中;頂蓋層,上覆於所述元件層;光偵測器,在所述元件層中;以及間層,使所述元件層的下側成杯狀且將所述元件層與所述基底分離;其中所述基底、所述頂蓋層、所述間層以及所述元件層為半導體,其中所述間層未經摻雜,且其中所述元件層具有與所述基底不同的吸收係數。
另外,本申請的其他實施例提供一種用於形成影像感測器的方法,包括:沈積覆蓋基底的硬罩幕層;對所述硬罩幕層及所述基底執行第一蝕刻以形成腔室,其中所述第一蝕刻形成內襯於所述基底中的所述腔室的結晶損傷層;對所述基底執行第二蝕刻以移除所述結晶損傷層,其中所述第二蝕刻使所述基底的側壁橫向凹陷,因此所述硬罩幕層的一部分突出於所述腔室之上;磊晶生長內襯於所述腔室的間層,其中所述間層未經摻雜且具有在所述硬罩幕層的所述部分之下的頂部表面;磊晶生長填充所述間層上方的所述腔室的元件層,其中所述元件層為與所述間層不同的半導體材料;以及在所述元件層中形成光偵測器。
100、300、400、500、600、700、800、900A、900B、1000、1100、1200A、1200B、1300、1400、1500、1600、1700A、1700B、1700C、1800、1900、2000、2100、2200:橫截面視圖
102:元件層
104:基底
104b:後側
104f:前側
106:像素
108:光偵測器
110:基底植入區
112:間層
112i:內部表面
112o:外部表面
114:第一介面
116:第二介面
118:第一接觸區
120:第二接觸區
122:頂蓋層
124:深植入隔離區
126:淺植入隔離區
128:深基底植入區
130:淺基底植入區
200:頂部佈局
502:硬罩幕層
504、1002:開口
802:基底介電層
902:內連線結構
904:內連線介電層
906:觸點
908:電線
910:穿孔
912:矽化物層
914:光阻保護介電層
916:接觸蝕刻終止層
918:微透鏡
920:抗反射層
1004:第一鈍化層
1006:第二鈍化層
1202:腔室
1204:結晶損傷層
1402:犧牲介電層
1702:隆起物
2102:矽化物開口
2300:方塊圖
2302、2304、2306、2308、2310、2312、2314、2316、2318、2320、2322、2324:步驟
A:線
D1:第一距離
D2:第二距離
Dc:深度
Dhm:距離
Hdl、Hhmp:高度
Thm、Ti、Tsdl、Tsir:厚度
Xdl:第一尺寸
Ydl:第二尺寸
Φ:角度
結合隨附圖式閱讀以下詳細描述時會最佳地理解本揭露的態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。實際上,出於論述清楚起見,可任意增大或減小各種特徵的尺寸。
圖1示出影像感測器的一些實施例的橫截面視圖,其中元件層凹陷至基底中且具有高結晶品質。
圖2示出圖1的影像感測器的一些實施例的頂部佈局。
圖3示出圖1的影像感測器的一些替代實施例的橫截面視圖,其中頂蓋層部分地覆蓋間層的頂部表面。
圖4示出圖1的影像感測器的一些替代實施例的橫截面視圖,其中省略了基底植入區。
圖5示出圖1的影像感測器的一些替代實施例的橫截面視圖,其中硬罩幕層上覆於基底。
圖6及圖7示出圖5的影像感測器的一些替代實施例的橫截面視圖,其中影像感測器的組成部分改變。
圖8示出圖1的影像感測器的一些替代實施例的橫截面視圖,其中基底介電層在基底的最外側壁上。
圖9A及圖9B示出圖1的影像感測器的一些更詳細實施例的橫截面視圖,其中影像感測器更包含內連線結構且分別為後側照明(BSI)及前側照明(FSI)。
圖10示出圖1的影像感測器的一些更詳細實施例的橫截面視圖,其中影像感測器為FSI且更包含限定光偵測器開口的內連線結構。
圖11、圖12A、圖12B、圖13至圖16、圖17A至圖17C以及圖18至圖22示出用於形成影像感測器的方法的一些實施例的一系列橫截面視圖,其中元件層凹陷至基底中且具有高結晶品質。
圖23示出圖11、圖12A、圖12B、圖13至圖16、圖17A至圖17C以及圖18至圖22的方法的方塊圖。
本揭露提供用於實施本揭露的不同特徵的許多不同實施例或實例。下文描述組件及配置的具體實例是為了簡化本揭露。當然,此等具體實例僅為實例且不意欲為限制性的。舉例而言,在以下描述中,在第二特徵上方或第二特徵上形成第一特徵可包含第一特徵與第二特徵直接接觸地形成的實施例,且亦可包含可在第一特徵與第二特徵之間形成額外特徵以使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可在各種實例中重複附圖標記及/或字母。此重複是出於簡單及清晰的目的,且本身不規定所論述的各種實施例及/或組態之間的關係。
此外,為易於描述,本文中可使用諸如「在......之下」、「在......下方」、「下部」、「在......上方」、「上部」以及類似物的空間相對術語來描述如諸圖中所示出的一個部件或特徵與另一(些)部件或特徵的關係。除圖中所描繪的定向之外,空間相對術語亦意欲涵蓋元件在使用或操作中的不同定向。裝置可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解釋。
互補金屬氧化物半導體(CMOS)影像感測器可用以偵測 近紅外(near infrared;NIR)及紅外(infrared;IR)輻射。對於用於飛行時間(time-of-flight;ToF)成像及其他合適類型的成像的CMOS影像感測器可出現此情形。然而,CMOS影像感測器通常包括矽基光偵測器。矽具有較大帶隙,且因此在吸收NIR輻射及IR輻射方面不佳。因此,CMOS影像感測器對於NIR輻射及IR輻射可具有不佳的量子效率(quantum efficiency;QE)。為緩解此情形,可利用基於鍺或具有較小帶隙的某一其他合適類型的半導體材料的光偵測器替代矽基光偵測器。
一種用於形成此CMOS影像感測器的方法可包括:對基底選擇性地執行乾式蝕刻以形成腔室;磊晶生長具有比腔室中的基底小的帶隙的元件層;以及在元件層中形成光偵測器。因為光偵測器在元件層中形成,所以信號雜訊比(signal-to-noise ratio;SNR)、QE以及光偵測器的其他合適的效能量度視元件層的結晶品質而定。舉例而言,不佳結晶品質可增大漏電流,且可因此降低效能量度。然而,基底與元件層之間的不同晶格常數及/或不同熱膨脹係數可導致基底與元件層之間的介面處的結晶缺陷,且可因此降低元件層的結晶品質。此外,藉由乾式蝕刻進行的離子轟擊可導致介面處的結晶缺陷,且可因此降低元件層的結晶品質。
為減小由介面處的結晶缺陷所產生的漏電流,可在乾式蝕刻與磊晶生長之間對基底執行毯覆式離子植入以形成內襯於溝渠的基底植入區。毯覆式離子植入具有與基底的主體相同的摻雜類型,但具有比基底的主體高的摻雜濃度,且減少由介面處的結晶缺陷誘發的載子。然而,毯覆式離子植入本身可導致介面處的結晶缺陷,此降低其在減小漏電流方面的有效性。此外,來自基底植入 區的摻雜物可擴散至元件層且形成低電阻率區。低電阻率區可轉而增大介面中的漏電流,且可能因此增大像素間漏電流。
本申請案的各種實施例是關於一種用於形成元件層凹陷至基底中且具有高結晶品質的影像感測器的方法。此外,本揭露的各種實施例是關於由所述方法產生的影像感測器。根據方法的一些實施例,將硬罩幕層沈積於基底上方。對硬罩幕層及基底選擇性地執行第一蝕刻以形成腔室。對基底執行第二蝕刻以移除由第一蝕刻引起的結晶損傷。此外,第二蝕刻使基底相對於硬罩幕層凹陷於腔室中,因此硬罩幕層突出於腔室之上。犧牲介電層經形成為內襯於腔室,經由犧牲介電層對基底執行毯覆式離子植入以形成內襯於腔室的基底植入區,且移除犧牲介電層。磊晶生長間層為內襯於腔室且具有在硬罩幕層之下的頂部表面,且磊晶生長元件層為在間層上方填充腔室。執行平坦化以平整元件層的頂部表面,且在元件層中形成光偵測器。
因為第二蝕刻移除由第一蝕刻引起的結晶損傷,所以在腔室中的基底的表面處存在較少結晶缺陷。此外,因為經由犧牲介電層執行毯覆式離子植入,所以毯覆式離子植入在基底表面處產生較少結晶缺陷或不產生結晶缺陷。因為第二蝕刻及犧牲介電層減少基底表面處的結晶缺陷,所以漏電流減小。此外,磊晶生長間層及元件層為具有較高結晶品質(例如較少結晶缺陷)。因為磊晶生長間層及元件層具有較高結晶品質,所以漏電流減小。減小的漏電流轉而提高光偵測器的效能。
基底植入區減少由結晶缺陷沿間層誘發的載子。因此,漏電流減小,且光偵測器的效能提高。此外,間層阻止摻雜物自基底 植入區擴散至元件層。擴散至元件層的摻雜物可形成低電阻率區,所述低電阻率區增大基底與元件層之間的漏電流,且因此增大像素間漏電流。因此,因為間層阻止擴散,所以間層減小漏電流且提高光偵測器的效能。
參考圖1,提供影像感測器的一些實施例的橫截面視圖100,其中元件層102在像素106處凹陷至基底104中。元件層102及基底104為不同半導體材料,且元件層102容納個別像素106到光偵測器108。元件層102可為或包括例如鍺、矽鍺、某一(一些)其他合適的半導體材料或前述的任何組合。在一些實施例中,元件層102的主體未經摻雜。基底104可為或包括例如矽及/或某一(一些)其他合適的半導體材料。在一些實施例中,基底104的主體經摻雜有P型或N型摻雜物。
基底植入區110在基底104中且內襯於元件層102。基底植入區110具有與基底104的主體相同的摻雜類型,但具有比基底104的主體高的摻雜濃度。舉例而言,基底植入區110及基底104的主體可皆為P型或N型。在一些實施例中,基底植入區110的摻雜濃度為約每立方公分1e17至5e18個原子、大於約每立方公分5e18個原子或某一其他合適的摻雜濃度。
間層112使元件層102的下側成杯狀且將元件層102與基底植入區110分離。間層112為與元件層102的材料不同的未經摻雜的半導體材料。在替代實施例中,間層112為與元件層102的材料不同且/或具有比基底植入區110低的摻雜濃度的輕度摻雜的半導體材料,。輕度摻雜可例如具有小於約每立方公分1e15個原子或某一其他合適的值的摻雜濃度。間層112可為或包括例如 矽及/或某一其他合適的半導體材料。在一些實施例中,間層112為或包括與基底104相同的半導體材料。舉例而言,間層112及基底104可皆為矽,而元件層102可為鍺或矽鍺。然而,其他合適的材料亦適用。
基底植入區110減少由間層112與基底104之間的第一介面114處及/或間層112與元件層102之間的第二介面116處的結晶缺陷誘發的載子。因此,可減小第一介面114及/或第二介面116處的漏電流,且可提高光偵測器108的效能。舉例而言,可增加QE、SNR以及光偵測器108的其他合適的效能量度。結晶缺陷可例如包含起因於元件層102與基底104之間的不同晶格常數及/或不同熱膨脹係數的穿透位錯缺陷(threading dislocation defect)。
間層112自第一介面114至第二介面116具有高電阻,以減小自元件層102至基底104的漏電流。藉由減小自元件層102至基底104的漏電流,像素間漏電流得以減小,且光偵測器108的效能得以提高。高電阻可例如大於約100千歐姆或某一其他合適的值。間層112進一步阻止來自基底植入區110的摻雜物擴散至元件層102。舉例而言,基底植入區110可具有P型摻雜,且間層112可阻止硼或其他合適的P型摻雜物擴散至元件層102。擴散至元件層102的摻雜物可形成自基底104至元件層102的低電阻區,且可因此增大像素間漏電流。因為間層112阻止擴散,所以自基底104至元件層102的電阻可保持較高,且漏電流可保持較低。
如在下文中所見,一種用於形成凹陷至基底104中的元件層102的方法可包括例如:對基底104選擇性地執行第一蝕刻以形成腔室;對基底104執行第二蝕刻以移除由第一蝕刻引起的 基底104的結晶損傷;磊晶生長內襯於且部分地填充腔室的間層112;以及磊晶生長元件層102為在間層112上方填充腔室的剩餘部分。然而,其他合適的方法亦適用。第一蝕刻可例如藉由乾式蝕刻或某一其他合適類型的蝕刻來執行,且可例如導致由離子轟擊所致的結晶損傷。第二蝕刻在對基底104無結晶損傷或具有最少結晶損傷的情況下來進行蝕刻,且可例如藉由化學反應且/或不依賴於離子轟擊來進行蝕刻。第二蝕刻可例如藉由化學乾式蝕刻(chemical dry etching;CDE)、濕式蝕刻或某一其他合適類型的蝕刻來執行。
因為第二蝕刻移除結晶損傷,所以第一介面114處的結晶缺陷減少。因此,可磊晶生長間層112及元件層102具有較高結晶品質。此外,可減少第二介面116處的結晶缺陷。減少的結晶缺陷及較高結晶品質減小漏電流並提高光偵測器108的效能。
如在下文中所見,用於形成基底植入區110的方法可包括例如:對基底104選擇性地執行蝕刻以形成腔室;藉由使基底104熱氧化來沈積內襯於腔室的犧牲介電層;經由犧牲介電層對基底104執行毯覆式離子植入以形成內襯於腔室的基底植入區110;以及移除犧牲介電層。然而,其他合適的方法亦適用。因為經由犧牲介電層執行毯覆式離子植入,所以毯覆式離子植入對第一介面114處的基底104的表面不產生結晶損傷或產生最少結晶損傷。因此,可磊晶生長間層112及元件層102為具有較高結晶品質。此外,可減少第二介面116處的結晶缺陷。減少的結晶缺陷及較高結晶品質減小漏電流並提高光偵測器108的效能。
如上文所論述,用於形成元件層102的方法可移除在形 成腔室時所產生的結晶損傷,元件層102形成於所述腔室內。此外,用於形成基底植入區110的方法可經由犧牲介電層執行,以避免對基底104的結晶損傷。因此,間層112及元件層102可具有高結晶品質,且第一介面114及/或第二介面116處的穿透位錯密度(threading dislocation density;TDD)可較低。舉例而言,元件層102可在第二介面116處具有小於約每平方公分3e7個穿透位錯或某一其他合適的值的低TDD。
光偵測器108包含第一接觸區118及第二接觸區120。第一接觸區118及第二接觸區120為元件層102中的經摻雜半導體區,且分別在元件層102的相對側上。第一接觸區118具有第一摻雜類型,而第二接觸區120具有與第一摻雜類型相反的第二摻雜類型。第一摻雜類型及第二摻雜類型可分別為例如N型及P型,或反之亦然。光偵測器108可為例如PIN光電二極體或某一其他合適類型的光電二極體。
頂蓋層122上覆於元件層102且當在元件層102上方形成矽化物層(未繪示)及內連線結構(未繪示)時保護元件層102。此防止對元件層102的結晶損傷,所述結晶損傷可降低光偵測器108的效能。頂蓋層122可為例如與基底104相同的材料,且/或可為或包括例如矽或某一其他合適的半導體材料。此外,頂蓋層122可例如未經摻雜。
深植入隔離(deep implant isolation;DII)區124及淺植入隔離(shallow implant isolation;SII)區126處於基底104中以在像素106與相鄰像素(未繪示)之間提供電隔離。DII區124具有分別在像素106的相對側上的一對DII區段,且SII區126具有 分別上覆於DII區區段的一對SII區段。在一些實施例中,DII區124及/或SII區126沿像素106的邊界在封閉路徑(在橫截面視圖100中不完全可見)中延伸以包圍像素106。DII區124與SII區126共用摻雜類型,但SII區126具有比DII區124大的摻雜濃度。共用摻雜類型可例如與基底104的主體的摻雜類型相反。
深基底植入(deep substrate implant;DSI)區128及淺基底植入(shallow substrate implant;SSI)區130處於元件層102與DII區124之間的基底104中。在替代實施例中,省略了DSI區128。SSI區130上覆於DSI區128且與DSI區128共用摻雜類型。共用摻雜類型可例如與基底104的主體的摻雜類型相同。此外,SSI區130具有比DSI區128及基底104高的摻雜濃度。
在一些實施例中,元件層102為或包括相對於矽對NIR輻射及/或IR輻射具有較高吸收係數的材料。舉例而言,元件層102可為或包括鍺或其他合適的材料。因此,影像感測器可用以偵測NIR輻射及/或IR輻射。此發現用於ToF成像及其他合適類型的成像的應用。NIR輻射可例如包含約850奈米至940奈米、約850奈米至1550奈米、約850奈米至1200奈米、約1200奈米至1550奈米、一些其他合適的波長或前述的任何組合的波長。IR輻射可例如包含約1.5微米至30微米的波長及/或其他合適波長。在一些實施例中,對於約850奈米至940奈米的波長且對於其他合適的波長,元件層102具有大於約80%或某一其他合適的值的高量子效率。此類實施例可例如在元件層102為或包括鍺或其他合適的材料時出現。
在一些實施例中,相對於矽,元件層102具有較小帶隙。 相對於矽,此較小帶隙可例如產生對NIR輻射及/或IR輻射的較高吸收係數。在一些實施例中,相對於基底104、間層112、頂蓋層122或前述的任何組合(例如所有),元件層102具有較小帶隙。在一些實施例中,相對於基底104、間層112、頂蓋層122或前述的任何組合(例如所有),元件層102對NIR輻射及/或IR輻射具有較高吸收係數。在一些實施例中,元件層102包括矽、鍺或某一(一些)其他合適的元素。
在一些實施例中,元件層102具有在約2微米至50微米、約2微米至26微米、約25微米至50微米之間或某一其他合適的值的高度Hdl。若高度Hdl過小(例如小於約2微米或某一其他合適的值),則元件層102可對入射光子具有不佳吸收,且光偵測器108可具有不佳效能。若高度Hdl過大(例如大於約50微米或某一其他合適的值),則形成凹陷至基底104中的元件層102可耗費較長時間且可能顯著地影響製造產出率。
在一些實施例中,間層112具有約430埃至1000埃、約430埃至715埃、約715埃至1000埃或某一其他合適的值的厚度Ti。若厚度Ti過小(例如小於約430埃或某一其他合適的值),則間層112可能無法阻止摻雜物自基底植入區110擴散至元件層102,且/或元件層102與基底104之間的電阻可能較低。因此,漏電流在基底104與元件層102之間可為較高的,且可不利地影響光偵測器108的效能。若厚度Ti過大(例如大於約1000埃或某一其他合適的值),則間層112可耗費較長時間進行磊晶生長且可能顯著地影響製造產出率。
在一些實施例中,厚度Ti為約450埃,自第一介面114 至第二介面116的電阻為約106千歐姆,且基底植入區110的摻雜濃度為約每立方公分5e17個原子。在其他實施例中,厚度Ti為約900埃,電阻為約1020千歐姆,且基底植入區110的摻雜濃度為約每立方公分5e17個原子。然而,其他厚度、電阻以及摻雜濃度亦適用。
參考圖2,提供圖1的影像感測器的一些實施例的頂部佈局200。圖1的橫截面視圖100可例如沿線A截取。間層112圍繞元件層102在封閉路徑中橫向延伸。此外,間層112具有厚度Ti,而元件層102具有第一尺寸Xdl及第二尺寸Ydl。在一些實施例中,厚度Ti可為例如第一尺寸Xdl與第二尺寸Ydl的平均值的約0.1%至1.0%、約0.1%至0.5%或約0.5%至1.0%。舉例而言,厚度Ti可等於0.1%*(Xdl+Ydl)/2至1.0%*(Xdl+Ydl)/2。在其他實施例中,厚度Ti具有某一其他合適的值。
SII區126及DII區124(以虛線繪示)沿像素106的周邊在封閉路徑中橫向延伸,以包圍像素106且將像素106與相鄰像素分離。SSI區130及DSI區128(以虛線繪示)在SII區126與元件層102之間。在替代實施例中,SII區126、DII區124、SSI區130、DSI區128或前述的任何組合可例如具有其他合適的位置及/或佈局。
參考圖3,提供圖1的影像感測器的一些替代實施例的橫截面視圖300,其中頂蓋層122部分地覆蓋間層112的頂部表面。如在下文中所見,間層112可在硬罩幕層(未繪示)突出於腔室之上時形成,稍後在所述腔室內形成元件層102。視間層112的厚度Ti及突出的程度而定,間層112可形成為具有部分或完全在硬罩 幕層之下的頂部表面。若間層112的頂部表面形成為部分在硬罩幕層之下,則頂蓋層122可形成為部分上覆於如所示出的頂部表面。
參考圖4,提供圖1的影像感測器的一些替代實施例的橫截面視圖400,其中省略了基底植入區110。雖然間層112不再用以阻止基底植入區110的摻雜物擴散至元件層102,但間層112仍可在元件層102與基底104之間提供高電阻。高電阻可例如大於約100千歐姆或某一其他合適的值。由於高電阻,故可減小元件層102與基底104之間的漏電流,且可提高光偵測器108的效能。
參考圖5,提供圖1的影像感測器的一些替代實施例的橫截面視圖500,其中硬罩幕層502上覆於基底104及間層112。硬罩幕層502具有暴露SII區126及SSI區130的開口504。此外,硬罩幕層502朝向頂蓋層122以等於或約等於間層112的厚度Ti的距離Dhm延伸超出基底104的側壁。在替代實施例中,距離Dhm小於或大於厚度Ti。硬罩幕層502可為例如未經摻雜的矽酸鹽玻璃(undoped silicate glass;USG)、氧化物、某一(一些)其他合適的介電質或前述的任何組合。
如在下文中所見,當形成其內形成有間層112及元件層102的腔室時,硬罩幕層502可用作硬罩幕。在一些實施例中,其後,硬罩幕層502經移除且不存留於影像感測器的最終結構。在替代實施例中,不移除硬罩幕層502且將其存留於影像感測器的最終結構中。
參考圖6及圖7,提供圖5的影像感測器的一些替代實施例的橫截面視圖600、橫截面視圖700,其中影像感測器的組成部 分改變。在圖6及圖7兩者中,元件層102的側壁傾斜。此外,基底植入區110、間層112、元件層102以及硬罩幕層502各自的一些隅角經圓化。在圖6中,硬罩幕層502延伸的距離Dhm比在圖7中更小。
參考圖8,提供圖1的影像感測器的一些替代實施例的橫截面視圖800,其中基底介電層802具有一對區段,所述區段內襯於基底104的分別在基底104的相對側上的最外側壁。雖然單個像素106位於基底介電層802的區段之間,但應瞭解,額外像素可位於區段之間。此等額外像素中的每一者可例如如其對應物所示出及描述。
在一些實施例中,基底104完全位於基底介電層802的區段之間。在一些實施例中,基底介電層802沿基底104的邊界在封閉路徑(在橫截面視圖800中不可見)中延伸以完全包圍基底104。在一些實施例中,基底介電層802具有與基底104相同的高度。在一些實施例中,基底介電層802具有與基底104的頂部表面齊平或大致齊平的頂部表面且/或具有與基底104的底部表面齊平或大致齊平的底部表面。基底介電層802可為或包括例如氧化矽及/或某一(一些)其他合適的介電質。
如在下文中所見,元件層102可藉由磊晶生長來形成。基底介電層802保護基底104的最外側壁,因此元件層102的材料並未磊晶生長於側壁上。此外,在一些實施例中,基底介電層802在基底104的底部表面上且在磊晶生長期間保護所述基底104的底部表面,因此元件層102的材料並未磊晶生長於底部表面上。在此等實施例中的至少一些中,隨後可藉由平坦化或某一其他合 適的製程來移除元件層102在底部表面上的部分。
參考圖9A及圖9B,提供圖1的影像感測器的一些更詳細實施例的橫截面視圖900A、橫截面視圖900B,其中影像感測器更包含內連線結構902且分別為BSI及FSI。內連線結構902上覆於基底104的前側104f上的頂蓋層122。此外,內連線結構902包括內連線介電層904、多個觸點906、多根電線908以及多個穿孔910。內連線介電層904可為或包括例如氧化矽及/或某一(一些)其他合適的介電質。
觸點906、電線908以及穿孔910處於內連線介電層904中。觸點906自分別在第一接觸區118及第二接觸區120、SII區126以及SSI區130上的矽化物層912延伸。電線908及穿孔910交替地堆疊於觸點906上方且電耦合至觸點906。觸點906、電線908以及穿孔910可為或包括例如金屬及/或某一(一些)其他合適的導電材料。矽化物層912可為或包括例如矽化鎳及/或某一(一些)其他合適的矽化物。
光阻保護介電(resist protect dielectric;RPD)層914及接觸蝕刻終止層(contact etch stop layer;CESL)916將內連線結構902與頂蓋層122及基底104分離。RPD層914可例如限定在形成影像感測器期間形成矽化物層912的位置。此外,RPD層914可為或包括例如氧化矽及/或某一(一些)其他合適的介電質。CESL 916可例如在形成觸點906時充當蝕刻終止層。此外,CESL 916可為或包括例如氮化矽及/或某一(一些)其他合適的介電質。
在圖9A中,在影像感測器為BSI的情況下,微透鏡918在基底104的後側104b上處於基底104之下。此外,抗反射層 920將微透鏡918與基底104的後側104b分離。在圖9B中,在影像感測器為FSI的情況下,微透鏡918上覆於基底104的前側104f上的內連線結構902。此外,抗反射層920將微透鏡918與內連線結構902分離。無論影像感測器是BSI抑或是FSI,微透鏡918均對應於光偵測器108上的入射輻射且將入射輻射聚焦於光偵測器108上。
參考圖10,提供圖1的影像感測器的一些更詳細實施例的橫截面視圖1000,其中影像感測器為FSI,且更包含限定光偵測器開口1002的內連線結構902。光偵測器開口1002上覆於光偵測器108,且提供用於使入射輻射照射於光偵測器108上的路徑。內連線結構902類似於其在圖9A及圖9B中的對應物,且因此包括如關於圖9A及圖9B所描述的內連線介電層904、多個觸點906以及多根電線908。然而,相比於所述內連線結構902在圖9A及圖9B中的對應物,內連線結構902具有單層級的電線且省略穿孔。在替代實施例中,如在圖9A及圖9B中,內連線結構902可具有額外層級的電線908及穿孔910。
第一鈍化層1004覆蓋內連線結構902且內襯於光偵測器開口1002。此外,第二鈍化層1006覆蓋內連線結構902且在第一鈍化層1004上方內襯於光偵測器開口1002。第一鈍化層1004可為或包括例如氧化矽及/或某一(一些)其他合適的介電質,且/或第二鈍化層1006可為或包括例如氮化矽及/或某一(一些)其他合適的介電質。
雖然圖1至圖8、圖9A、圖9B以及圖10的影像感測器經示出及描述為具有單個像素106,但在一些實施例中,影像感測 器中的任一者可包含額外像素。額外像素可例如各自如同在對應影像感測器中所示出及描述的像素106。舉例而言,圖1可具有各自如同所示出及描述的圖1的像素106的額外像素。雖然圖2示出圖1的影像感測器的頂部佈局,但亦可將所述頂部佈局應用於圖3至圖8、圖9A、圖9B以及圖10中的任一者中的影像感測器。舉例而言,圖3至圖8、圖9A、圖9B以及圖10中的任一者可沿圖2的線A截取。雖然圖3至圖8示出圖1的影像感測器的變化,但可將此等變化應用於圖3至圖8中的任一者中的影像感測器。舉例而言,圖4的頂蓋層122可替代地上覆於如圖3處所示出及描述的間層112。雖然圖9A及圖9B分別以BSI組態及FSI組態示出圖1的影像感測器,但圖3至圖8中的任一者中的影像感測器可具有如圖9A中的BSI組態及如圖9B中的FSI組態。雖然圖10以替代FSI組態示出圖1的影像感測器,但圖3至圖8中的任一者中的影像感測器可具有如圖10中的FSI組態。
參考圖11、圖12A、圖12B、圖13至圖16、圖17A至圖17C以及圖18至圖22,提供用於形成影像感測器的方法的一些實施例的一系列橫截面視圖1100、橫截面視圖1200A、橫截面視圖1200B、橫截面視圖1300至橫截面視圖1600、橫截面視圖1700A至橫截面視圖1700C、橫截面視圖1800至橫截面視圖2200,其中元件層凹陷至基底中且具有高結晶品質。經由形成圖9A的影像感測器示出所述方法。然而,所述方法可例如用以形成圖1至圖8、圖9B以及圖10中的任一者中的影像感測器,且可例如用以形成其他合適的影像感測器。
如由圖11的橫截面視圖1100所示出,將硬罩幕層502 沈積於基底104上方。在一些實施例中,硬罩幕層502的厚度Thm為約300埃至2000埃、約300埃至1150埃、約1150埃至2000埃、約750埃或某一其他合適的值。硬罩幕層502可為或包括例如USG及/或某一(一些)其他合適的介電質。基底104可為或包括例如結晶矽或某一其他合適的半導體材料。在一些實施例中,基底104為塊狀半導體基底。此外,在一些實施例中,基底104經摻雜有P型摻雜物。
亦由圖11的橫截面視圖1100所示出,DII區124、SII區126、DSI區128以及SSI區130在基底104中形成。在替代實施例中,省略了DSI區128。DII區124、SII區126、DSI區128以及SSI區130為基底104的摻雜區且藉由離子植入及/或某一(一些)其他合適的摻雜製程形成。在一些實施例中,經由硬罩幕層502執行離子植入以防止結晶損傷,且因此防止基底104中的漏電流。
DII區124及SII區126處於基底104中以在所形成像素106與所形成相鄰像素(未繪示)之間提供電隔離。DII區124具有分別在像素106的相對側上的一對DII區段,且SII區126具有分別上覆於DII區區段的一對SII區段。在一些實施例中,DII區124及SII區126具有如圖2中的頂部佈局,但其他合適的頂部佈局亦適用。DII區124與SII區126共用摻雜類型,但SII區126具有比DII區124大的摻雜濃度。共用摻雜類型可例如與基底104的主體的摻雜類型相反。
DSI區128及SSI區130處於DII區124的DII區段之間的基底104中。在一些實施例中,DSI區128及SSI區130具有如 圖2中的頂部佈局,但其他合適的頂部佈局亦適用。SSI區130上覆於DSI區128且與DSI區128共用摻雜類型。共用摻雜類型可例如與基底104的主體的摻雜類型相同。此外,SSI區130具有比DSI區128及基底104高的摻雜濃度。
如由圖12A及圖12B的橫截面視圖1200A、橫截面視圖1200B所示出,對硬罩幕層502及基底104選擇性地執行第一蝕刻以在基底104中形成腔室1202。圖12A及圖12B為第一蝕刻的替代實施例,且因此各自單獨地示出第一蝕刻。在圖12A中,腔室1202的側壁為豎直的,且腔室1202的隅角為方形的。在圖12B中,側壁相對於腔室1202的底部表面以角度Φ傾斜,且隅角經圓化。角度Φ可為例如約99.4度、約100度、約95度至110度或某一其他合適的值。在替代實施例中,側壁可具有其他合適的定向,且/或隅角可具有其他合適的輪廓。
第一蝕刻形成在基底104中且內襯於腔室1202的結晶損傷層1204。在一些實施例中,結晶損傷由在蝕刻基底104時的離子轟擊導致。此外,第一蝕刻將腔室1202形成至深度Dc。在一些實施例中,深度Dc為約0.5微米至1.0微米、約1微米至2微米、約2微米至5微米、約5微米至10微米、約1.1微米或某一其他合適的值。若深度Dc過小(例如小於約0.5微米或某一其他合適的值),則此後形成於腔室1202中的光偵測器可對入射輻射具有不佳吸收。若深度Dc過大(例如大於約10微米或某一其他合適的值),則此後經執行以填充腔室1202的磊晶生長可耗費過久,且可顯著地降低產出率。
用於選擇性地執行第一蝕刻的製程可包括例如:1)使用 微影在硬罩幕層502上方形成光阻罩幕(未繪示);2)在適當位置使用光阻罩幕來蝕刻硬罩幕層502及基底104;以及3)移除光阻罩幕。然而,其他合適的製程亦適用。在一些實施例中,蝕刻藉由使用離子轟擊的乾式蝕刻來執行。在替代實施例中,蝕刻使用某一其他合適類型的蝕刻來執行。移除可例如藉由將包括過一硫酸(peroxymonosulfuric)(例如卡羅酸(Caro's acid))的清潔溶液施加至光阻罩幕或藉由某一其他合適的移除製程來執行。
如由圖13的橫截面視圖1300所示出,對基底104執行第二蝕刻以移除結晶損傷層1204(見例如圖12)。可在圖12A及12B中任一者中對基底104執行第二蝕刻,但使用圖12A中的基底104來示出所述第二蝕刻。如上文所提及,圖12A及圖12B為彼此的替代方案。使用不損傷或最低限度地損傷基底104且對基底104具有比硬罩幕層502高的選擇率的蝕刻劑來執行第二蝕刻。此外,第二蝕刻豎直地且橫向地蝕刻基底104。
藉由豎直地蝕刻基底104,第二蝕刻沿腔室1202的底部表面移除結晶損傷,且將腔室1202的深度Dc增加第一距離D1。舉例而言,第二蝕刻可將深度Dc從1.1微米增加至約1.2微米。然而,其他合適的值亦適用。在一些實施例中,在第二蝕刻之後,深度Dc為約0.5微米至1.0微米、約1.1微米、約1微米至2微米、約2微米至5微米、約5微米至10微米或某一其他合適的值。藉由橫向地蝕刻基底104,第二蝕刻沿腔室1202中的基底104的側壁移除結晶損傷。此外,第二蝕刻使腔室1202中的基底104的側壁相對於硬罩幕層502的相鄰側壁以第二距離D2凹陷。因此,硬罩幕層502突出於腔室1202之上。
在一些實施例中,第一距離D1與第二距離D2相同或大致相同。在一些實施例中,第一距離D1及/或第二距離D2為約430埃至1000埃、約250埃至2000埃、約500埃、約800埃或某一其他合適的量。若第一距離D1及第二距離D2過小(例如小於約250埃或某一其他合適的值),則第二蝕刻可能無法完全移除結晶損傷層1204。此外,若第二距離D2過小(例如小於約250埃或某一其他合適的值),則沿此後形成於腔室1202中的元件層的頂部表面的邊緣凸塊可較大。如下文所描述,此在平坦化製程期間增加負荷且降低產出率。若第一距離D1過大(例如大於約2000埃或某一其他合適的值),則深度Dc可能過大,且此後經執行以填充腔室1202的磊晶生長可顯著地降低產出率。此外,若第二距離D2過大(例如大於約2000埃或某一其他合適的值),則硬罩幕層502可塌陷於腔室1202中。
第二蝕刻可例如藉由化學反應且/或不依賴於離子轟擊來進行蝕刻。離子轟擊可例如導致沿腔室1202中的基底104的表面的額外結晶損傷。第二蝕刻可例如藉由CDE、濕式蝕刻或某一其他合適類型的蝕刻來執行。相較於濕式蝕刻,已瞭解,CDE可以比濕式蝕刻更快的速率移除結晶損傷層1204,且可因此具有更高產出率。
因為第二蝕刻沿腔室1202中的基底104的表面移除結晶損傷,所以基底104在表面處的結晶品質較高。由於較高結晶品質,故可減小沿表面的漏電流。此可轉而增強此後形成於腔室1202中的光偵測器的效能。此外,由於較高結晶品質,故此後經執行以填充腔室1202的磊晶生長可形成具有較高品質的磊晶層。此可進 一步減小漏電流且可進一步增強光偵測器的效能。
如由圖14的橫截面視圖1400所示出,將犧牲介電層1402沈積為內襯於腔室1202中的基底104的表面。如在下文中所見,犧牲介電層1402可防止在離子植入期間對基底104的結晶損傷。犧牲介電層1402可為或包括例如氧化矽及/或某一(一些)其他合適的介電質。此外,可例如藉由熱氧化或某一其他合適的沈積製程來沈積犧牲介電層1402。
在一些實施例中,將犧牲介電層1402沈積為具有約50埃至150埃、約50埃至100埃、約100埃至150埃、約90埃或某一其他合適的值的厚度Tsdl。若厚度Tsdl過小(例如小於約50埃或某一其他合適的值),則犧牲介電層1402可能不能防止在後續離子植入期間對基底104的結晶損傷。若厚度Tsdl過大(例如大於約150埃或某一其他合適的值),則犧牲介電層1402可限制或另外防止後續離子植入。
在一些實施例中,在第二蝕刻與犧牲介電層1402的沈積之間執行第一清潔製程,因此對腔室1202中的基底104的表面進行清潔以用於沈積犧牲介電層1402。第一清潔製程可例如自腔室1202中的基底104的表面移除蝕刻殘餘物、自然氧化物、其他游離顆粒或前述的任何組合。第一清潔製程可例如藉由將稀氫氟酸(dilute hydrofluoric acid;DHF)清潔溶液施加至基底104或藉由某一其他合適的清潔製程來執行。
如由圖15的橫截面視圖1500所示出,基底植入區110經形成為經由犧牲介電層1402及硬罩幕層502內襯於腔室1202。在一些實施例中,基底植入區110具有與基底104的主體相同的 摻雜類型,但具有比基底104的主體高的摻雜濃度。在一些實施例中,基底植入區110為P型且/或具有約1e17原子/立方公分至5e18原子/立方公分之間的摻雜濃度。然而,其他合適的摻雜類型及/或其他合適的摻雜濃度亦適用。在一些實施例中,基底植入區110始終具有均一或實質上均一的厚度Tsir
用於形成基底植入區110的製程可包括例如:1)經由犧牲介電層1402及硬罩幕層502執行毯覆式離子植入以將摻雜物植入基底104中;以及2)執行退火以使摻雜物活化。然而,其他合適的製程亦適用。
藉由經由犧牲介電層1402及硬罩幕層502執行毯覆式離子植入,可減小或另外防止對基底104的結晶損傷。因而,基底104的配置有基底植入區110的表面具有較少結晶缺陷及較高結晶品質。此使得沿表面的漏電流減小,且增強此後形成於腔室1202中的光偵測器的效能。此外,此後經執行以填充腔室1202的磊晶生長可形成具有較高品質的磊晶層。此進一步減小漏電流且進一步增強此後形成的光偵測器的效能。
如由圖16的橫截面視圖1600所示出,移除犧牲介電層1402。移除可例如作為第二清潔製程的部分來執行。第二清潔製程可例如自腔室1202中的基底104的表面移除蝕刻殘餘物、自然氧化物、其他游離顆粒或前述的任何組合。第二清潔製程可例如藉由將DHF清潔溶液施加至基底104或藉由某一其他合適的清潔製程來執行。
亦由圖16的橫截面視圖1600所示出,磊晶生長間層112在基底植入區110上方內襯於腔室1202。磊晶生長間層112自基 底104,且因此在腔室1202中的基底104的暴露表面上生長。間層112為或包括與基底104相同的半導體材料且未經摻雜或經輕度摻雜。輕度摻雜可例如具有小於約每立方公分1e15個原子或某一其他合適的值的摻雜濃度。此外,間層112自間層112的內部表面112i至間層112的外部表面1120具有高電阻。高電阻可為例如大於約100千歐姆或某一其他合適的值的電阻。高電阻可例如由間層112的厚度Ti及/或間層112的摻雜濃度產生。舉例而言,間層112的電阻可與厚度Ti成正比且/或與間層112的摻雜濃度成反比。
高電阻減小自基底104至此後形成為填充腔室1202的元件層的漏電流。藉由減小此漏電流,像素間漏電流得以減小,且此後形成於元件層中的光偵測器的效能得以增加。此外,間層112阻止來自基底植入區110的摻雜物擴散至此後形成的元件層。擴散至元件層的摻雜物可形成自基底104至元件層的低電阻區,且可因此增大像素間漏電流。因為間層112阻止所述擴散,所以自基底104至元件層的電阻可保持較高。
間層112的厚度Ti可為例如約430埃至1000埃、約430埃至715埃、約715埃至1000埃、約250埃至2000埃或某一其他合適的值。若厚度Ti過小(例如小於約250埃或某一其他合適的值),則間層112可能無法阻止摻雜物自基底植入區110擴散至元件層,且/或基底104與元件層之間的電阻可能較低。因此,漏電流在基底104與元件層之間可為較高的,且可不利地影響光偵測器的效能。若厚度Ti過大(例如大於約2000埃或某一其他合適的值),則間層112可耗費較長時間進行磊晶生長且可影響製造產 出率。
在一些實施例中,間層112的厚度Ti與距離Dhm相同或大致相同,腔室1202中的硬罩幕層502的側壁與基底104的相鄰側壁以所述距離Dhm偏移。在此類實施例中的至少一些中,間層112及硬罩幕層502限定共同側壁。若厚度Ti大於距離Dhm,則間層112的頂部表面可部分未經硬罩幕層502覆蓋。因此,此後在腔室1202中磊晶生長的元件層可自間層112的頂部表面生長,且因此形成於元件層的周邊處的隆起物可較大。較大隆起物可在此後執行平坦化以平整元件層的頂部表面時增加負荷。由於負荷增加,故平坦化可耗費更久來完成,且可不利地影響產出率。若厚度Ti小於距離Dhm,則此後形成於腔室1202中的元件層可部分位於硬罩幕層502之下。因此,硬罩幕層502可防止此後磊晶生長於元件層上的頂蓋層完全覆蓋元件層。頂蓋層在後續處理期間保護元件層,以使得元件層的未經覆蓋部分可更易受到損傷。
如由圖17A至圖17C的橫截面視圖1700A至橫截面視圖1700C所示出,磊晶生長元件層102為填充腔室1202(見例如圖16)。圖17A至圖17C為磊晶生長的替代實施例,且因此各自單獨地示出磊晶生長。在圖17A中,腔室1202的側壁為豎直的,且腔室1202的隅角為方形的。在圖17B及圖17C中,側壁相對於腔室1202的底部表面以角度Φ傾斜,且隅角經圓化。此外,腔室1202中的硬罩幕層502的側壁與基底104的相鄰側壁偏移的距離Dhm改變。此距離可例如受圖13處的第二蝕刻的持續時間控制。在替代實施例中,影像感測器的組成部分(例如間層112、硬罩幕層502等)可具有其他合適的輪廓。
磊晶生長元件層102自間層112,且因此在腔室1202中的間層112的表面上生長。元件層102為與基底104及間層112不同的半導體材料。舉例而言,元件層102可為鍺或矽鍺,而基底104及間層112可為矽。然而,其他合適的材料亦適用。在一些實施例中,元件層102對NIR輻射及/或IR輻射具有比基底104及間層112高的吸收係數。在一些實施例中,元件層102具有比基底104及間層112小的帶隙。在一些實施例中,元件102具有小於約1.0電子伏特或某一其他合適的值的帶隙。此外,元件層102在元件層102的周邊處具有隆起物1702。隆起物1702可例如歸因於在元件層102的磊晶生長期間及/或之後的熱處理而形成。此熱處理將元件層102暴露於高溫下,所述高溫導致元件層102回流且形成隆起物1702。高溫可為例如超過約攝氏650度、約攝氏850度或某一其他合適的值的溫度。在一些實施例中,隆起物1702具有約500埃至3000埃、約500埃至1750埃、約1750埃至3000埃或某一其他合適的值的高度Hhmp。高度Hhmp可例如相對於元件層102的頂部表面上的最低點上的點。
硬罩幕層502充當用以阻止元件層102流出腔室1202的障壁。此外,硬罩幕層502的上覆於間層112的一部分可藉由例如防止或另外減少元件層102自元件層102的頂部表面的磊晶生長來降低隆起物1702的高度Hhmp。舉例而言,可將高度Hhmp降低約500埃或某一其他合適的值。在一些實施例中,距離Dhm與厚度Ti之間的比率愈大,降低愈多。此藉由圖17B及圖17C示出。因為距離Dhm與厚度Ti之間的比率在圖17C中比在圖17B中大,所以高度Hhmp在圖17C中比在圖17B中小。如上文,距離 Dhm為腔室1202中的硬罩幕層502的側壁與基底104的相鄰側壁偏移的距離。此外,厚度Ti為間層112的厚度。
藉由降低隆起物1702的高度Hhmp,在用以平整元件層102的頂部表面的後續平坦化期間的負荷得以減小。舉例而言,在藉由化學機械研磨(chemical mechanical polish;CMP)執行平坦化的情況下,可減小CMP負荷。藉由減小負荷,可更快速地執行平坦化。此轉而允許產出率提高及成本降低。
在一些實施例中,距離Dhm與厚度Ti之間的比率為約1:1至5:1、約1:1至2.5:1、約2.5:1至5:1或某一其他合適的值。若比率過低(例如小於約1:1或某一其他合適的值),則隆起物1702的高度Hhmp可能較大。如上文所描述,此可在此後經執行以平整元件層102的平坦化期間增加負荷。若比率過高(例如大於約5:1或某一其他合適的值),則硬罩幕層502可塌陷於腔室1202中。
如由圖18的橫截面視圖1800所示出,對元件層102的頂部表面執行平坦化以平整頂部表面且完全或實質上移除隆起物1702(見例如圖17A至圖17C)。可在圖17A至圖17C中的任一者中對元件層102執行平坦化,但所述平坦化使用圖17A中的元件層102示出。如上文所提及,圖17A至圖17C為彼此的替代方案。平坦化元件層102的頂部表面為此後執行的處理提高均一性,且因此提高可靠性。舉例而言,當形成頂蓋層、內連線結構以及此後所描述的其他合適的特徵時,平坦化可提高均一性及可靠性。平坦化可例如藉由CMP或某一其他合適的製程來執行。
因為第二蝕刻(見例如圖13)使基底104的側壁凹陷於腔室1202中(見例如圖15),所以硬罩幕層502可部分或完全地 覆蓋間層112的頂部表面。因此,在形成元件層102時防止或另外減少自間層112的頂部表面的磊晶生長。此轉而可降低形成於元件層102的周邊處的隆起物1702的高度Hhmp(見例如圖17A至圖17C)。因為可降低高度Hhmp,所以可減小在平坦化期間的負荷。此可增加平坦化的速度,且可因此提高產出率且降低成本。舉例而言,可將平坦化時間減少約60秒或某一其他合適的值。
如由圖19的橫截面視圖1900所示出,磊晶生長頂蓋層122於元件層102上且覆蓋元件層102。頂蓋層122為與元件層102不同的半導體材料,且可為或包括例如矽或某一其他合適的半導體材料。在一些實施例中,頂蓋層122為與間層112及/或基底104相同的半導體材料。此外,在一些實施例中,頂蓋層122未經摻雜。
磊晶生長頂蓋層122,以使得頂蓋層122在元件層102上但不在硬罩幕層502上生長。因而,藉由不視微影而定的自對準製程將頂蓋層122定位於元件層102。因為微影成本高,所以藉由自對準製程來形成頂蓋層122降低了成本。
頂蓋層122保護元件層102在後續處理期間免受損傷。舉例而言,後續濕式清潔製程可使用對元件層102具有高蝕刻速率但對頂蓋層122具有低蝕刻速率的酸。因而,元件層102在直接暴露於酸的情況下將會經歷顯著的結晶損傷及/或腐蝕,而頂蓋層122將不會經歷顯著的結晶損傷及/或腐蝕。此結晶損傷將增大漏電流,且因此降低SNR、QE以及針對此後形成於元件層102中的光偵測器的其他合適的效能量度。因此,藉由防止元件層102與酸直接接觸,頂蓋層122保護元件層102。此轉而減小漏電流且增 強光偵測器的效能。
如由圖20的橫截面視圖2000所示出,光偵測器108形成於元件層102中且包含第一接觸區118及第二接觸區120。第一接觸區118及第二接觸區120為元件層102中的經摻雜半導體區,且可藉由離子植入及/或某一其他合適的摻雜製程形成。第一接觸區118具有第一摻雜類型,且第二接觸區120具有與第一摻雜類型相反的第二摻雜類型。第一摻雜類型及第二摻雜類型可分別為例如N型及P型,或反之亦然。元件層102的主體可例如未經摻雜。光偵測器108可為或包括例如PIN光電二極體或某一其他合適類型的光電二極體。
因為第二蝕刻(見例如圖13)移除由第一蝕刻(見例如圖12A及圖12B)引起的結晶損傷,所以減少在基底104與間層112之間的第一介面114處的結晶缺陷。因此,間層112及元件層102可磊晶生長(見例如圖16及圖17)為具有較高結晶品質。此外,可減少在間層112與元件層102之間的第二介面116處的結晶缺陷。減少的結晶缺陷及較高結晶品質減小漏電流並提高光偵測器108的效能。
因為基底植入區110經由犧牲介電層1402形成(見例如圖15),所以可防止或另外減少基底104在第一介面114處的結晶損傷。舉例而言,當基底植入區110藉由離子植入形成時,可防止或另外減小來自離子轟擊的結晶損傷。因此,可磊晶生長間層112及元件層102為具有較高結晶品質。此外,可減少第二介面116處的結晶缺陷。減少的結晶缺陷及較高結晶品質減小漏電流並提高光偵測器108的效能。
因為元件層102為與間層112及基底104不同的半導體材料,所以不同晶格常數及/或不同熱膨脹係數可產生沿間層112的穿透位錯缺陷。基底植入區110減少由結晶缺陷誘發的載子,且因此減小沿間層112的漏電流。因為基底植入區110減少漏電流,所以基底植入區110可增強光偵測器108的效能。
如上文所提及,間層112可具有高電阻。因而,間層112可減小自元件層102至基底104的漏電流。藉由減小此漏電流,可減小像素間漏電流,且可提高光偵測器108的效能。另外,間層112阻止來自基底植入區110的摻雜物擴散至元件層102。擴散至元件層102的摻雜物可形成自基底104至元件層102的低電阻區,且可因此增大像素間漏電流。因為間層112阻止擴散,所以自基底104至元件層102的電阻可保持較高。
如由圖21的橫截面視圖2100所示出,移除硬罩幕層502(見例如圖20)。移除可例如藉由蝕刻製程或某一其他合適的移除製程來執行。在替代實施例中,硬罩幕層502未被移除且在此後存留。
亦由圖21的橫截面視圖2100所示出,形成矽化物層912及RPD層914。RPD層914限定矽化物開口2102,所述矽化物開口2102分別上覆於第一接觸區118及第二接觸區120、SII區126以及SSI區130。矽化物層912分別在矽化物開口2102中,且可為或包括例如矽化鎳或某一其他合適類型的金屬矽化物。用於形成矽化物層912及RPD層914的製程可包括例如:1)沈積RPD層914;2)圖案化RPD層914以限定矽化物開口2102;3)沈積覆蓋RPD層914且內襯於矽化物開口2102的金屬;4)對金屬進 行退火以觸發形成矽化物層912的矽化物反應;以及5)移除未反應金屬。然而,其他合適的製程亦適用。圖案化可例如藉由微影/蝕刻製程或某一其他合適的圖案化製程來執行。
因為頂蓋層122覆蓋元件層102,所以頂蓋層122可保護元件層102免受圖案化RPD層914及/或移除未反應金屬的影響。舉例而言,所述移除可藉由濕式清潔溶液執行,所述濕式清潔溶液包括過氧化氨混合物(ammonia-peroxide mixture;APM)、硫酸以及過氧化氫混合物(hydrogen peroxide mixture;SPM)或包括過氧化氫(例如H2O2)的某一其他合適的混合物。在元件層102為或包括鍺且頂蓋層122為或包括矽的至少一些實施例中,過氧化氫可對元件層102具有高蝕刻速率且對頂蓋層122具有低蝕刻速率。因此,元件層102可比頂蓋層122更易受到由過氧化氫引起的損傷。若過氧化氫將要(例如經由矽化物開口2102中的一者)與元件層102接觸,則元件層102可經歷顯著腐蝕且因此損傷。然而,不易受到由過氧化氫引起的損傷的頂蓋層122覆蓋元件層102,且防止元件層102與過氧化氫接觸。因而,頂蓋層122保護元件層102免受過氧化氫影響。
如由圖22的橫截面視圖2200所示出,內連線結構902形成於基底104的前側104f上的光偵測器108上方且電耦合至所述光偵測器108。此外,微透鏡918及抗反射層920形成於基底104的後側104b上。藉由CESL 916將內連線結構902與RPD層914分離。此外,內連線結構902經由矽化物層912電耦合至第一接觸區118及第二接觸區120、SII區126以及SSI區130。內連線結構902可例如如關於圖9A所描述。
雖然參考方法的各種實施例來描述圖11、圖12A、圖12B、圖13至圖16、圖17A至圖17C以及圖18至圖22,但應瞭解,圖11、圖12A、圖12B、圖13至圖16、圖17A至圖17C以及圖18至圖22中所繪示的結構不限於所述方法,而是可獨立於所述方法。雖然將圖11、圖12A、圖12B、圖13至圖16、圖17A至圖17C以及圖18至圖22描述為一系列動作,但應瞭解,在其他實施例中,可更改所述動作的次序。雖然將圖11、圖12A、圖12B、圖13至圖16、圖17A至圖17C以及圖18至圖22示出及描述為具體動作集合,但在其他實施例中,可省略所示出及/或描述的一些動作。此外,可將未示出及/或未描述的動作包含於其他實施例中。
在替代實施例中,省略了圖14及圖15處的動作(例如形成基底植入區110)以形成圖4中的影像感測器或形成其他合適的影像感測器。在替代實施例中,省略了圖21處的移除硬罩幕層502,以形成圖5至圖7中的任一者處的影像感測器或形成其他合適的影像感測器。在替代實施例中,微透鏡918及抗反射層920形成於基底104的前側104f上,且內連線結構902如在圖9B中一般形成,以形成圖9B中的影像感測器或形成其他合適的影像感測器。在替代實施例中,內連線結構902如在圖10中一般形成,且省略了微透鏡918及抗反射層920,以形成圖10中的影像感測器或形成其他合適的影像感測器。
參考圖23,提供圖11、圖12A、圖12B、圖13至圖16、圖17A至圖17C以及圖18至圖22的方法的一些實施例的方塊圖2300。
在動作2302處,對基底及覆蓋基底的硬罩幕層選擇性地 執行第一蝕刻以形成腔室,其中第一蝕刻形成內襯於基底中的腔室的結晶損傷層。見例如圖11、圖12A以及圖12B。第一蝕刻可例如使用乾式蝕刻或某一其他合適類型的蝕刻來執行。
在動作2304處,對基底執行第二蝕刻以移除結晶損傷層,其中第二蝕刻使腔室中的基底的側壁相對於腔室中的硬罩幕層的相鄰側壁橫向凹陷。見例如圖13。第二蝕刻可例如藉由CDE、濕式蝕刻或某一其他合適類型的蝕刻來執行。
在動作2306處,將犧牲介電層沈積為內襯於腔室中的基底。見例如圖14。犧牲介電層可例如藉由熱氧化或某一其他合適的沈積製程形成。
在動作2308處,經由犧牲介電層摻雜基底以形成內襯於基底中的腔室的基底植入區。見例如圖15。摻雜可例如藉由離子植入或某一其他合適的摻雜製程執行。因為所述摻雜經由犧牲介電層執行,所以可避免由摻雜引起的基底的結晶損傷。
在動作2310處,移除犧牲介電層。見例如圖16。
在動作2312處,磊晶生長間層為內襯於且部分填充腔室,其中間層的頂部表面在硬罩幕層之下。見例如圖16。
在動作2314處,磊晶生長元件層為在間層上方填充腔室。見例如圖17A至圖17C。
在動作2316處,平坦化元件層以平整元件層的頂部表面。見例如圖18。因為間層的頂部表面在硬罩幕層之下,所以當形成元件層時,自頂部表面的磊晶生長受到限制。因此,沿元件層的周邊形成的隆起物具有降低的高度。此降低在平坦化期間的負荷且提高產出率。
在動作2318處,在元件層上方磊晶生長頂蓋層。見例如圖19。
在動作2320處,在元件層中形成光偵測器。見例如圖20。因為第二蝕刻移除結晶損傷,且犧牲介電層防止在腔室中的基底的表面處的結晶損傷,所以磊晶生長間層及元件層為具有高結晶品質。高結晶品質減小漏電流且增強光偵測器的效能。
在動作2322處,移除硬罩幕層。見例如圖21。
在動作2324處,將內連線結構形成為覆蓋及電耦合至光偵測器。見例如圖21及圖22。
雖然在本文中將圖23的方塊圖2300示出及描述為一系列動作或事件,但應瞭解,不應以限制性意義來解釋此類動作或事件的所示出次序。舉例而言,除本文中所示出及/或描述的動作或事件之外,一些動作可與其他動作或事件以不同次序及/或同時發生。此外,可能並不需要所有所示出動作來實施本文中的描述的一或多個態樣或實施例,且本文中所描繪的動作中的一或多者可在一或多個單獨動作及/或階段中進行。
在一些實施例中,本揭露提供一種影像感測器,包含:基底;元件層,上覆於基底且插入至基底中,其中基底包含環繞元件層的底部且沿元件層的側壁及元件層的底部表面進一步延伸的摻雜區;光偵測器,在元件層中;以及間層,將元件層與基底分離,其中間層在元件層的側壁及元件層的底部表面上;其中基底及間層為與元件層不同的半導體材料,且其中間層具有比摻雜區低的摻雜濃度。在一些實施例中,基底及間層包含矽,其中元件層包含鍺。在一些實施例中,基底及間層具有比元件層大的帶隙。在一些 實施例中,間層具有U形輪廓。在一些實施例中,間層自基底至元件層的電阻大於約100千歐姆。在一些實施例中,摻雜區具有與基底的主體相同的摻雜類型,但具有比基底的主體高的摻雜濃度。在一些實施例中,元件層的主體未經摻雜,其中光偵測器包含:元件層中的第一接觸區;及元件層中的第二接觸區,其中第一接觸區及第二接觸區分別在元件層的相對側上且具有相反摻雜類型。在一些實施例中,影像感測器更包含覆蓋且定位於元件層的頂蓋層,其中頂蓋層為具有比元件層大的帶隙的半導體材料。
根據本發明的一些實施例,其中所述基底及所述間層包括矽,且其中所述元件層包括鍺。
根據本發明的一些實施例,其中所述基底及所述間層具有比所述元件層大的帶隙。
根據本發明的一些實施例,其中所述間層具有U形輪廓。
根據本發明的一些實施例,其中所述間層自所述基底至所述元件層的電阻大於約100千歐姆。
根據本發明的一些實施例,其中所述摻雜區具有與所述基底的主體相同的摻雜類型,但具有比所述基底的所述主體高的摻雜濃度。
根據本發明的一些實施例,其中所述元件層的主體未經摻雜,且其中所述光偵測器包括:第一接觸區,在所述元件層中;以及第二接觸區,在所述元件層中,其中所述第一接觸區及所述第二接觸區分別在所述元件層的相對側上且具有相反摻雜類型。
根據本發明的一些實施例,所述的影像感測器,更包括:頂蓋層,覆蓋且定位於所述元件層,其中所述頂蓋層為具有比所述 元件層大的帶隙的半導體材料。
在一些實施例中,本揭露提供另一影像感測器,包含:基底;元件層,上覆於基底且凹陷至基底中;頂蓋層,上覆於元件層;光偵測器,在元件層中;以及間層,使元件層的下側成杯狀且將元件層與基底分離;其中基底、頂蓋層、間層以及元件層為半導體,其中間層未經摻雜,且其中元件層具有與基底不同的吸收係數。在一些實施例中,基底包含使元件層的下側成杯狀且沿基底的頂部表面延伸的基底植入區,其中基底植入區具有與基底的主體不同的摻雜濃度。在一些實施例中,元件層具有比間層及頂蓋層高的吸收係數。在一些實施例中,元件層對於約850奈米至1550奈米的波長具有比基底高的吸收係數。在一些實施例中,基底包含具有與基底的主體相反的摻雜類型的植入隔離區,其中植入隔離區在封閉路徑中延伸以包圍元件層。在一些實施例中,間層具有一對側壁區段,其中側壁區段分別在元件層的相對側上且背對元件層,且其中頂蓋層橫向位於側壁區段之間且與側壁區段橫向間隔開。
根據本發明的一些實施例,其中所述基底包括使所述元件層的下側成杯狀且沿所述基底的頂部表面延伸的基底植入區,且其中所述基底植入區具有與所述基底的主體不同的摻雜濃度,且其中所述間層將所述頂蓋層與所述基底植入區分離。
根據本發明的一些實施例,其中所述元件層具有比所述間層及所述頂蓋層高的吸收係數。
根據本發明的一些實施例,其中所述元件層對於約850奈米至1550奈米的波長具有比所述基底高的吸收係數。
根據本發明的一些實施例,其中所述基底包括具有與所述基底的主體相反的摻雜類型的植入隔離區,且其中所述植入隔離區在封閉路徑中延伸以包圍所述元件層。
根據本發明的一些實施例,其中所述間層具有一對側壁區段,其中所述側壁區段分別在所述元件層的相對側上且背對所述元件層,且其中所述頂蓋層橫向位於所述側壁區段之間且與所述側壁區段橫向間隔開。
在一些實施例中,本揭露提供一種用於形成影像感測器的方法,包含:沈積覆蓋基底的硬罩幕層;對硬罩幕層及基底執行第一蝕刻以形成腔室,其中第一蝕刻形成內襯於基底中的腔室的結晶損傷層;對基底執行第二蝕刻以移除結晶損傷層,其中第二蝕刻使基底的側壁橫向凹陷,因此硬罩幕層的一部分突出於腔室之上;磊晶生長內襯於腔室的間層,其中間層未經摻雜且具有在硬罩幕層的所述部分之下的頂部表面;磊晶生長填充間層上方的腔室的元件層,其中元件層為與間層不同的半導體材料;以及在元件層中形成光偵測器。在一些實施例中,第二蝕刻包含CDE或濕式蝕刻。在一些實施例中,第一蝕刻藉由離子轟擊來蝕刻基底及硬罩幕層,且其中第二蝕刻在無離子轟擊的情況下蝕刻基底。在一些實施例中,方法更包含:沈積內襯於腔室的犧牲介電層;經由犧牲介電層對基底執行毯覆式離子植入以形成內襯於腔室的基底植入區;以及移除犧牲介電層。在一些實施例中,藉由使基底熱氧化來沈積犧牲介電層。在一些實施例中,方法更包含磊晶生長覆蓋元件層的頂蓋層,其中頂蓋層對於紅外輻射具有與元件層不同的吸收係數。
根據本發明的一些實施例,其中所述第二蝕刻包括化學 乾式蝕刻(CDE)或濕式蝕刻。
根據本發明的一些實施例,其中所述第一蝕刻藉由離子轟擊來蝕刻所述基底及所述硬罩幕層,且其中所述第二蝕刻在無離子轟擊的情況下蝕刻所述基底。
根據本發明的一些實施例,所述的用於形成影像感測器的方法,更包括:沈積內襯於所述腔室的犧牲介電層;經由所述犧牲介電層對所述基底執行毯覆式離子植入以形成內襯於所述腔室的基底植入區;以及移除所述犧牲介電層。
根據本發明的一些實施例,其中藉由使所述基底熱氧化來沈積所述犧牲介電層。
根據本發明的一些實施例,所述的用於形成影像感測器的方法,更包括:磊晶生長覆蓋所述元件層的頂蓋層,其中所述頂蓋層對於紅外輻射具有與所述元件層不同的吸收係數。
前文概述若干實施例的特徵,以使得所屬技術領域具有通常知識者可更佳地理解本揭露的態樣。所屬技術領域具有通常知識者應瞭解,其可易於使用本揭露作為設計或修改用於實施本文中所引入實施例的相同目的及/或達成相同優點的其他製程及結構的基礎。所屬技術領域中具有通常知識者亦應認識到,此類等效構造並不脫離本揭露的精神及範疇,且所屬技術領域中具有通常知識者可在不脫離本揭露的精神及範疇的情況下在本文中做出各種改變、替代以及更改。
100:橫截面視圖
102:元件層
104:基底
106:像素
108:光偵測器
110:基底植入區
112:間層
114:第一介面
116:第二介面
118:第一接觸區
120:第二接觸區
122:頂蓋層
124:深植入隔離區
126:淺植入隔離區
128:深基底植入區
130:淺基底植入區
Hdl :高度
Ti :厚度

Claims (10)

  1. 一種影像感測器,包括:基底;元件層,上覆於所述基底且插入至所述基底中,其中所述基底包括環繞所述元件層的底部且沿所述元件層的側壁及所述元件層的底部表面進一步延伸的基底植入區;光偵測器,在所述元件層中;以及間層,將所述元件層與所述基底分離,其中所述間層在所述元件層的所述側壁及所述元件層的所述底部表面上;其中所述基底及所述間層為與所述元件層不同的半導體材料,且其中所述間層具有比所述基底植入區低的摻雜濃度。
  2. 如請求項1所述的影像感測器,其中所述間層具有U形輪廓。
  3. 如請求項1所述的影像感測器,其中所述元件層的主體未經摻雜,且其中所述光偵測器包括:第一接觸區,在所述元件層中;以及第二接觸區,在所述元件層中,其中所述第一接觸區及所述第二接觸區分別在所述元件層的相對側上且具有相反摻雜類型。
  4. 如請求項1所述的影像感測器,更包括:頂蓋層,覆蓋且定位於所述元件層,其中所述頂蓋層為具有比所述元件層大的帶隙的半導體材料。
  5. 一種影像感測器,包括:基底;元件層,上覆於所述基底且凹陷至所述基底中; 頂蓋層,上覆於所述元件層;光偵測器,在所述元件層中;以及間層,使所述元件層的下側成杯狀且將所述元件層與所述基底分離;其中所述基底、所述頂蓋層、所述間層以及所述元件層為半導體,其中所述間層未經摻雜,且其中所述元件層的吸收係數高於所述基底的吸收係數。
  6. 如請求項5所述的影像感測器,其中所述基底包括使所述元件層的下側成杯狀且沿所述基底的頂部表面延伸的基底植入區,且其中所述基底植入區具有與所述基底的主體不同的摻雜濃度,且其中所述間層將所述頂蓋層與所述基底植入區分離。
  7. 如請求項5所述的影像感測器,其中所述基底包括具有與所述基底的主體相反的摻雜類型的深植入隔離區及/或淺植入隔離區,且其中所述深植入隔離區及/或所述淺植入隔離區在封閉路徑中延伸以包圍所述元件層。
  8. 如請求項5所述的影像感測器,其中所述間層具有一對側壁區段,其中所述側壁區段分別在所述元件層的相對側上,且其中所述頂蓋層橫向位於所述側壁區段之間且與所述側壁區段橫向間隔開。
  9. 一種用於形成影像感測器的方法,包括:沈積覆蓋基底的硬罩幕層;對所述硬罩幕層及所述基底執行第一蝕刻以形成腔室,其中所述第一蝕刻形成內襯於所述基底中的所述腔室的結晶損傷層;對所述基底執行第二蝕刻以移除所述結晶損傷層,其中所述 第二蝕刻使所述基底的側壁橫向凹陷,因此所述硬罩幕層的一部分突出於所述腔室之上;磊晶生長內襯於所述腔室的間層,其中所述間層未經摻雜且具有在所述硬罩幕層的所述部分之下的頂部表面;磊晶生長填充所述間層上方的所述腔室的元件層,其中所述元件層為與所述間層不同的半導體材料;以及在所述元件層中形成光偵測器。
  10. 如請求項9所述的用於形成影像感測器的方法,更包括:磊晶生長覆蓋所述元件層的頂蓋層,其中所述頂蓋層對於紅外輻射具有與所述元件層不同的吸收係數。
TW109131357A 2019-09-30 2020-09-11 影像感測器與用於形成影像感測器的方法 TWI740641B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962908008P 2019-09-30 2019-09-30
US62/908,008 2019-09-30
US16/897,510 2020-06-10
US16/897,510 US11393866B2 (en) 2019-09-30 2020-06-10 Method for forming an image sensor

Publications (2)

Publication Number Publication Date
TW202115892A TW202115892A (zh) 2021-04-16
TWI740641B true TWI740641B (zh) 2021-09-21

Family

ID=75161702

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109131357A TWI740641B (zh) 2019-09-30 2020-09-11 影像感測器與用於形成影像感測器的方法

Country Status (3)

Country Link
US (1) US11393866B2 (zh)
KR (1) KR102461559B1 (zh)
TW (1) TWI740641B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020115899A1 (de) * 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum bilden eines bildsensors
CN115332274A (zh) * 2021-05-10 2022-11-11 联华电子股份有限公司 影像传感器
CN113471234B (zh) * 2021-06-30 2023-08-18 武汉新芯集成电路制造有限公司 半导体器件及其制备方法
US20230253435A1 (en) * 2022-02-08 2023-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Channel pattern design to improve carrier transfer efficiency
CN117673094A (zh) * 2022-08-23 2024-03-08 长鑫存储技术有限公司 半导体结构及其制造方法

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200715545A (en) * 2005-08-26 2007-04-16 Micron Technology Inc Vertical anti-blooming control and cross-talk reduction for imagers
CN101060128A (zh) * 2006-04-20 2007-10-24 株式会社东芝 固体摄像装置
CN101128933A (zh) * 2005-02-28 2008-02-20 Oc欧瑞康巴尔斯公司 制造具有减小的像素串扰的图像传感器设备的方法
US7365298B2 (en) * 2003-09-29 2008-04-29 Hynix Semiconductor Inc. Image sensor and method for manufacturing the same
CN101188266A (zh) * 1998-03-12 2008-05-28 日亚化学工业株式会社 氮化物半导体元件
TW200828579A (en) * 2006-09-20 2008-07-01 Fujifilm Corp Backside illuminated imaging device, semiconductor substrate, imaging apparatus and method for manufacturing backside illuminated imaging device
CN101221994A (zh) * 2007-01-09 2008-07-16 株式会社日立显示器 高灵敏度光传感元件和使用该元件的光传感装置
CN101262000A (zh) * 2007-03-06 2008-09-10 台湾积体电路制造股份有限公司 图像传感装置、其形成方法及半导体装置
TW201324755A (zh) * 2011-12-15 2013-06-16 Taiwan Semiconductor Mfg 裝置及其形成方法
CN104425526A (zh) * 2013-09-03 2015-03-18 台湾积体电路制造股份有限公司 形成具有深沟槽隔离结构的图像传感器件的机制
TW201735383A (zh) * 2016-03-17 2017-10-01 台灣積體電路製造股份有限公司 影像感測器裝置及製造其之方法
TW201813073A (zh) * 2016-07-20 2018-04-01 索尼股份有限公司 固體攝像元件及固體攝像裝置
CN208225881U (zh) * 2018-06-13 2018-12-11 深圳卓领科技股份有限公司 一种背照式图像传感器
TW201913989A (zh) * 2017-08-28 2019-04-01 台灣積體電路製造股份有限公司 改善快門效率的影像感測器裝置及其製造方法
TW201919253A (zh) * 2017-11-09 2019-05-16 台灣積體電路製造股份有限公司 半導體影像感測裝置及其製作方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4499385B2 (ja) * 2003-07-29 2010-07-07 浜松ホトニクス株式会社 裏面入射型光検出素子及び裏面入射型光検出素子の製造方法
JP2006222379A (ja) 2005-02-14 2006-08-24 Fuji Film Microdevices Co Ltd 半導体装置およびその製造方法
JP2007129024A (ja) 2005-11-02 2007-05-24 Sanyo Electric Co Ltd 半導体装置
WO2011004708A1 (ja) * 2009-07-10 2011-01-13 株式会社島津製作所 固体撮像素子
JP2013020998A (ja) 2011-07-07 2013-01-31 Renesas Electronics Corp 半導体装置およびその製造方法
JP2015012241A (ja) * 2013-07-01 2015-01-19 ソニー株式会社 撮像素子およびその製造方法、ならびに電子機器
US9876127B2 (en) * 2013-11-22 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside-illuminated photodetector structure and method of making the same
JP6863366B2 (ja) * 2016-03-30 2021-04-21 ソニーグループ株式会社 光電変換素子および光電変換装置

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101188266A (zh) * 1998-03-12 2008-05-28 日亚化学工业株式会社 氮化物半导体元件
US7365298B2 (en) * 2003-09-29 2008-04-29 Hynix Semiconductor Inc. Image sensor and method for manufacturing the same
CN101128933A (zh) * 2005-02-28 2008-02-20 Oc欧瑞康巴尔斯公司 制造具有减小的像素串扰的图像传感器设备的方法
TW200715545A (en) * 2005-08-26 2007-04-16 Micron Technology Inc Vertical anti-blooming control and cross-talk reduction for imagers
CN101060128A (zh) * 2006-04-20 2007-10-24 株式会社东芝 固体摄像装置
TW200828579A (en) * 2006-09-20 2008-07-01 Fujifilm Corp Backside illuminated imaging device, semiconductor substrate, imaging apparatus and method for manufacturing backside illuminated imaging device
CN101221994A (zh) * 2007-01-09 2008-07-16 株式会社日立显示器 高灵敏度光传感元件和使用该元件的光传感装置
CN101262000A (zh) * 2007-03-06 2008-09-10 台湾积体电路制造股份有限公司 图像传感装置、其形成方法及半导体装置
TW201324755A (zh) * 2011-12-15 2013-06-16 Taiwan Semiconductor Mfg 裝置及其形成方法
CN104425526A (zh) * 2013-09-03 2015-03-18 台湾积体电路制造股份有限公司 形成具有深沟槽隔离结构的图像传感器件的机制
TW201735383A (zh) * 2016-03-17 2017-10-01 台灣積體電路製造股份有限公司 影像感測器裝置及製造其之方法
TW201813073A (zh) * 2016-07-20 2018-04-01 索尼股份有限公司 固體攝像元件及固體攝像裝置
TW201913989A (zh) * 2017-08-28 2019-04-01 台灣積體電路製造股份有限公司 改善快門效率的影像感測器裝置及其製造方法
TW201919253A (zh) * 2017-11-09 2019-05-16 台灣積體電路製造股份有限公司 半導體影像感測裝置及其製作方法
CN208225881U (zh) * 2018-06-13 2018-12-11 深圳卓领科技股份有限公司 一种背照式图像传感器

Also Published As

Publication number Publication date
US20210098524A1 (en) 2021-04-01
KR20210038829A (ko) 2021-04-08
US11393866B2 (en) 2022-07-19
KR102461559B1 (ko) 2022-10-31
TW202115892A (zh) 2021-04-16

Similar Documents

Publication Publication Date Title
TWI740641B (zh) 影像感測器與用於形成影像感測器的方法
KR101738248B1 (ko) 이미지 센서 및 이의 제조 방법
TWI794723B (zh) 影像感測器及其形成方法
KR102175615B1 (ko) 얕은 트렌지 가장자리 도핑을 갖는 cmos 이미지 센서
US11869761B2 (en) Back-side deep trench isolation structure for image sensor
JP2007027686A (ja) イメージセンサ及びその製造方法
US20180069038A1 (en) Semiconductor device and manufacturing method thereof
US20230411425A1 (en) Light absorbing layer to enhance p-type diffusion for dti in image sensors
US20220359604A1 (en) Capping structure along image sensor element to mitigate damage to active layer
US20210225927A1 (en) Shallow trench isolation (sti) structure for suppressing dark current and method of forming
US20230369377A1 (en) Method for forming an image sensor
CN106129073B (zh) 高介电常数介电层形成方法、图像传感器器件及其制造方法
US20230343883A1 (en) Full well capacity for image sensor
US11721774B2 (en) Full well capacity for image sensor
CN112582434B (zh) 图像传感器和用于形成图像传感器的方法
KR100610480B1 (ko) 광 특성을 향상시킬 수 있는 이미지센서 및 그 제조 방법
TWI763362B (zh) 影像感測器及其形成方法
TWI832079B (zh) 積體晶片及其形成方法
US20230387176A1 (en) Semiconductor structure of backside illumination cmos image sensor and method for forming the same
KR100776162B1 (ko) 이미지 소자의 제조방법
TW202306187A (zh) 集成晶片結構及其形成方法