TWI732283B - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TWI732283B
TWI732283B TW108130866A TW108130866A TWI732283B TW I732283 B TWI732283 B TW I732283B TW 108130866 A TW108130866 A TW 108130866A TW 108130866 A TW108130866 A TW 108130866A TW I732283 B TWI732283 B TW I732283B
Authority
TW
Taiwan
Prior art keywords
bonding
layer
pad
protective layer
top surface
Prior art date
Application number
TW108130866A
Other languages
English (en)
Other versions
TW202046476A (zh
Inventor
陳憲偉
楊慶榮
陳潔
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202046476A publication Critical patent/TW202046476A/zh
Application granted granted Critical
Publication of TWI732283B publication Critical patent/TWI732283B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54433Marks applied to semiconductor devices or parts containing identification or tracking information
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/0392Methods of manufacturing bonding areas involving a specific sequence of method steps specifically adapted to include a probing step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05546Dual damascene structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0951Function
    • H01L2224/09515Bonding areas having different functions
    • H01L2224/09517Bonding areas having different functions including bonding areas providing primarily mechanical support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Abstract

一種半導體結構,包括基底、內連結構、焊墊、保護層 以及接合結構。內連結構設置在基底之上。焊墊設置在內連結構之上且電連接到內連結構。焊墊的頂表面具有探針標記且探針標記具有凹表面。保護層共形地覆蓋焊墊的頂表面及探針標記。接合結構設置在保護層之上。接合結構包括接合介電層及第一接合金屬層,第一接合金屬層穿透接合介電層及保護層以電連接到焊墊。

Description

半導體結構及其製造方法
本發明實施例是有關於一種半導體結構及其製造方法。
近年來,由於各種電子元件(例如,電晶體、二極體、電阻器、電容器等)的積集密度持續提高,半導體工業已經歷快速成長。積集密度的這種提高大多歸因於最小特徵尺寸(minimum feature size)的一再減小,這使得更多元件能夠整合在一定的面積中。
與先前的封裝體相比,這些較小的電子元件也需要利用較小面積的較小的封裝體。半導體封裝體的一些類型包括四面扁平封裝(quad flat package,QFP)、針格陣列(pin grid array,PGA)、球格陣列(ball grid array,BGA)、覆晶技術(flip chip,FC)、三維積體電路(three dimensional integrated circuit,3DIC)、晶圓級封裝體(wafer level package,WLP)及疊層封裝體(package on package,PoP)裝置。一些3DIC是藉由在半導體晶圓級的晶片之上放置晶片製備而成。由於堆疊晶片之間的內連線的長度減小, 因此3DIC提供更高的積集密度及其他優點,例如較快的速度及較高的頻寬。然而,對於3DIC技術而言,存在許多要應對的挑戰。
本發明實施例提供一種半導體結構,包括基底、內連結構、焊墊、保護層以及接合結構。內連結構設置在基底之上。焊墊設置在內連結構之上且電連接到內連結構。焊墊的頂表面具有探針標記且探針標記具有凹表面。保護層共形地覆蓋焊墊的頂表面及探針標記。接合結構設置在保護層之上。接合結構包括接合介電層及第一接合金屬層,第一接合金屬層穿透接合介電層及保護層以電連接到焊墊。
本發明實施例提供一種半導體結構包括基底、內連結構、焊墊、保護層以及接合結構。內連結構設置在基底之上。焊墊設置在內連結構之上且電連接到內連結構。保護層設置在焊墊之上。焊墊的頂表面具有探針標記且探針標記具有凹表面。接合結構設置在保護層之上。接合結構包括接合介電層及第一接合金屬層,第一接合金屬層穿透接合介電層及保護層以電連接到焊墊。
本發明實施例提供一種製造半導體結構的方法包括:在基底之上形成內連結構;在內連結構之上形成電連接到內連結構的焊墊;對焊墊執行電路探針(CP)測試,以在焊墊的頂表面之上形成探針標記;在焊墊的頂表面之上形成保護層;以及在保護層之上形成接合結構,其中形成接合結構包括形成接合介電層及 形成第一接合金屬層,第一接合金屬層穿透接合介電層及保護層以電連接到焊墊。
10:3DIC結構
35:混合接合結構
100、200、200’、300、400、500、600:半導體結構
101:第一晶粒
101a、201a、201a’、301a、401a、501a:正面
102、202:半導體基底
103、203:元件層
104、204:內連結構
106、206:絕緣材料
108、136、236、246:金屬特徵
108a、208a、208b:頂部金屬特徵
110、210:鈍化層
110a、110b、210a、210b:鈍化材料
111、211:插塞
112:導電材料
114:頂蓋材料
115:保護材料
116:罩幕圖案
122:焊墊
122b:底部部分
122d:距離
122s:側壁
122t:頂表面
123、223:殘留物
124、224:頂蓋層
124t、125t、222t、224t:頂表面
125、225:保護層
127、227、327、427、527、627:探針標記
128:探針
130、230:接合介電層
130a、130c、230a、230c:接合介電材料
130b、230b:阻擋層
132、232:第一接合金屬層
133、233、243:通孔口
134、234、244:通孔插塞
135:第一接合結構
137、237、239、247:溝渠
201、201’:第二晶粒
208:金屬特徵
222:焊墊
235、235’:第二接合結構
238:虛設金屬特徵
242:第二接合金屬層
301:第三晶粒
301’、401’:結構
401:第四晶粒
501:第五晶粒
D1、D2、D3、D4:深度
R1:第一區
R2:第二區
T1、T2、T3:厚度
W1、W2、W3、W4:寬度
結合附圖閱讀以下詳細說明,會最好地理解本發明的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1A到圖1F是根據第一實施例的形成半導體結構的方法的剖視圖。
圖2A到圖2B是根據第二實施例的形成3DIC結構的方法的剖視圖。
圖3A到圖3D是根據第三實施例的形成半導體結構的方法的剖視圖。
圖4A到圖4D是根據第四實施例的形成半導體結構的方法的剖視圖。
圖5是示出根據第五實施例的半導體結構的剖視圖。
圖6是示出根據第六實施例的半導體結構的剖視圖。
圖7是示出根據另一實施例的半導體結構的剖視圖。
以下揭露內容提供用於實施所提供的目標的不同特徵的 許多不同實施例或實例。以下所描述的構件及配置的具體實例是為了以簡化的方式傳達本揭露為目的。當然,這些僅僅為實例而非用以限制。舉例來說,在以下描述中,在第二特徵上方或在第二特徵上形成第一特徵可包括第一特徵與第二特徵形成為直接接觸的實施例,且也可包括第一特徵與第二特徵之間可形成有額外特徵,使得第一特徵與第二特徵可不直接接觸的實施例。此外,本揭露在各種實例中可重複使用元件符號及/或字母。元件符號的重複使用是為了簡單及清楚起見,且並不表示所欲討論的各個實施例及/或配置本身之間的關係。
此外,為易於說明,本文中可能使用例如「在...下方(beneath)」、「在...下面(below)」、「下部的(lower)」、「上方(above)」、「上部的(upper)」等空間相對術語來闡述圖中所示的一個元件或特徵與另一(些)元件或特徵的關係。所述空間相對術語意欲涵蓋元件在使用或操作時的不同定向。設備可被另外定向(旋轉90度或在其他定向),而本文所用的空間相對術語相應地作出解釋。
還可包括其他特徵及製程。舉例來說,可包括測試結構以說明進行三維(3D)封裝體或三維積體電路裝置的驗證測試。測試結構可包括例如形成於重佈線層中或基底上的測試墊,所述測試墊使得能夠測試3D封裝體或3DIC、使用探針(probe)及/或探針卡(probe card)等。可對中間結構及最終結構執行驗證測試。另外,本文中所公開的結構及方法可接合包括對已知良好晶 粒(known good dies)的中間驗證的測試方法一起使用,以提高良率(yield)及降低成本。
圖1A到圖1F是根據第一實施例的形成半導體結構的方法的剖視圖。
參照圖1A,形成半導體結構100(如圖1F所示)的方法包括以下步驟。首先,提供圖1A所示初始結構。所述初始結構包括半導體基底102、元件層103、內連結構104、鈍化層110、導電材料112及頂蓋材料114。
在一些實施例中,半導體基底102可包含矽或其他半導體材料。作為另外一種選擇,或另外地,半導體基底102可包含其他元素半導體材料,例如鍺。在一些實施例中,半導體基底102是由化合物半導體(例如碳化矽、砷化鎵、砷化銦或磷化銦)製成的。在一些實施例中,半導體基底102是由合金半導體(例如矽鍺、碳化矽鍺、磷化鎵砷或磷化鎵銦)製成的。在一些實施例中,半導體基底102包括磊晶層。舉例來說,半導體基底102具有上覆在塊狀半導體上的磊晶層。
在一些實施例中,元件層103以前段(front-end-of-line,FEOL)製程形成在半導體基底102之上。元件層103包括各種各樣的元件。在一些實施例中,元件包括主動元件、被動元件或其組合。在一些實施例中,元件可包括積體電路元件。舉例來說,元件為電晶體、電容器、電阻器、二極體、光電二極體、熔絲元件(fuse device)或其他相似的元件。在一些實施例中,元件層 103包括閘極結構、源極及汲極區以及隔離結構(例如,淺溝渠隔離(shallow trench isolation,STI)結構(圖中未示出))。在元件層103中,可形成各種N型金屬氧化物半導體(N-type metal-oxide semiconductor,NMOS)元件和/或P型金屬氧化物半導體(P-type metal-oxide semiconductor,PMOS)元件(例如電晶體或記憶體等),且可將所述元件內連在一起以執行一種或多種功能。在半導體基底102之上還可形成其他元件,例如電容器、電阻器、二極體、光電二極體、熔絲等。所述元件的功能可包括記憶體、處理器、感測器、放大器、功率分配(power distribution)、輸入和/或輸出電路系統等。
參照圖1A,內連結構104形成在元件層103之上。詳細來說,內連結構104包括絕緣材料106及多個金屬特徵108。金屬特徵108形成在絕緣材料106中且電連接到元件層103。金屬特徵108的一部分(例如頂部金屬特徵108a)被絕緣材料106暴露出。在一些實施例中,絕緣材料106包括位於元件層103上的層間介電(inner-layer dielectric,ILD)層、以及位於ILD層之上的至少一個金屬間介電(inter-metal dielectric,IMD)層。在一些實施例中,絕緣材料106包含氧化矽、氮化矽、氮氧化矽、原矽酸四乙酯(tetraethylorthosilicate,TEOS)氧化物、未經摻雜的矽酸鹽玻璃或經摻雜的矽氧化物(例如,硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融矽石玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、摻雜 有硼的矽玻璃(boron doped silicon glass,BSG))、低介電常數介電材料、其他合適的介電材料或其組合。示例性低介電常數介電材料包括FSG、摻雜有碳的矽氧化物、Black Diamond®(加利福尼亞州聖克拉拉的應用材料公司)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶氟化碳、聚對二甲苯、雙苯並環丁烯(bis-benzocyclobutenes,BCB)、SiLK(密西根州米德蘭的陶氏化學)、聚醯亞胺、其他低介電常數介電材料或其組合。在一些替代實施例中,絕緣材料106可為單層或多層。在一些實施例中,金屬特徵108包括插塞及金屬線。插塞可包括形成在ILD層中的接觸件(contacts)以及形成在IMD層中的通孔(vias)。接觸件形成在元件層103與底部金屬線之間,且連接元件層103及底部金屬線。通孔形成在兩條金屬線之間,且連接所述兩條金屬線。金屬特徵108可由鎢(W)、銅(Cu)、銅合金、鋁(Al)、鋁合金或其組合製成。在一些替代實施例中,可在金屬特徵108與絕緣材料106之間形成障壁層(圖中未示出)以防止金屬特徵108的材料遷移到或擴散到元件層103。舉例來說,障壁層的材料包括鉭、氮化鉭、鈦、氮化鈦、鈷鎢(cobalt-tungsten,CoW)或其組合。
參照圖1A,鈍化層110形成在內連結構104之上。在一些實施例中,鈍化層110包含氧化矽、氮化矽、苯並環丁烯(benzocyclobutene,BCB)聚合物、聚醯亞胺(polyimide,PI)、聚苯並惡唑(polybenzoxazole,PBO)或其組合且通過合適的製程 (例如旋轉塗布、化學氣相沉積(chemical vapor deposition,CVD)等)形成。在實施例中,鈍化層110可為單層結構、雙層結構或多層結構。如圖1A所示,鈍化層110包括鈍化材料110a以及形成在鈍化材料110a之上的鈍化材料110b。鈍化材料110a與鈍化材料110b具有不同的材料。舉例來說,鈍化材料110a可包含氮化矽,而鈍化材料110b可包含聚醯亞胺(PI)或與氮化矽不同的任何材料。
參照圖1A,導電材料112形成在鈍化層110之上並通過穿透過鈍化層110的插塞111電連接到頂部金屬特徵108a。導電材料112與金屬特徵108可具有不同的材料。在一些實施例中,導電材料112比金屬特徵108軟。導電材料112與插塞111可具有相同的材料。在一些實施例中,導電材料112及插塞111分別包含金屬材料(例如鋁、銅、鎳、金、銀、鎢或其組合),導電材料112及插塞111可通過以下步驟形成:對鈍化層110進行圖案化以形成多個開口到達金屬特徵108;通過合適的製程(例如電化學鍍敷製程、CVD、原子層沉積(atomic layer deposition,ALD)、PVD等)沉積金屬材料層以填充在開口中並覆蓋鈍化層110;以及接著對金屬材料層進行圖案化。
參照圖1A,頂蓋材料114形成在導電材料112之上。舉例來說,頂蓋材料114可為介電材料。在一些實施例中,頂蓋材料114包含含氮材料(例如氮氧化矽、氮化矽或其組合)且具有50nm到100nm的厚度。在另一實施例中,頂蓋材料114被稱為 抗反射塗布(anti-reflective coating,ARC)層,頂蓋材料114可包含有機ARC材料(例如,聚合物樹脂)、無機ARC材料(例如,SiON)或其組合。在一些替代實施例中,頂蓋材料114可為單層或多層,且可通過合適的製程(例如CVD、ALD等)形成。
參照圖1B,在頂蓋材料114之上形成罩幕圖案116。在一些實施例中,使用罩幕圖案116來界定待形成的焊墊122(如圖1C所示)的位置。在一個實施例中,罩幕圖案116包括光阻且通過合適的製程(例如旋轉塗布及微影製程)形成。
參照圖1B及圖1C,在形成罩幕圖案116之後,使用罩幕圖案116作為蝕刻罩幕來執行第一蝕刻製程以移除部分頂蓋材料114及部分導電材料112,從而暴露出鈍化材料110b。在一些實施例中,第一蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程或其組合。在這種情形中,如圖1C所示,形成了焊墊122及設置在焊墊122之上的頂蓋層124。焊墊122通過插塞111電連接到頂部金屬特徵108a。在一些實施例中,焊墊122可與頂部金屬特徵108a對齊或部分交疊。儘管在圖1C中僅示出一個焊墊122及一個頂蓋層124,然而本公開的實施例並非僅限於此。在其他實施例中,可視需要調整焊墊122及頂蓋層124的數目。在形成焊墊122及頂蓋層124之後,移除罩幕圖案116。
參照圖1C及圖1D,對頂蓋層124執行第二蝕刻製程以暴露出焊墊122。在一個實施例中,第二蝕刻製程可包括等向性蝕刻製程(isotropic etching process)。在另一實施例中,第二蝕刻製 程可包括濕式蝕刻製程或濕式蝕刻製程與乾式蝕刻製程的組合。濕式蝕刻製程可使用包含鹵素(例如F、Cl、Br或其組合)的蝕刻溶液來執行。舉例來說,蝕刻溶液可包括HF溶液、HCl溶液、HBr溶液或其組合。乾式蝕刻製程可使用包含鹵素(例如F、Cl、Br或其組合)的蝕刻氣體來執行。在這種情形中,如圖1D所示,焊墊122的頂表面或頂部部分122t被改性,以使焊墊122的頂部部分122t的電阻值大於焊墊122的底部部分122b的電阻值。
在替代實施例中,在焊墊122中或焊墊122上可形成一些殘留物123。在本文中,殘留物123可為第二蝕刻製程期間的化學殘留物。因此,殘留物123可來自頂蓋層124及焊墊122,殘留物123可具有含氮材料(例如氮氧化矽、氮化矽或其組合)以及金屬材料(例如鋁、銅、鎳、金、銀、鎢或其組合)。在一些替代實施例中,具有殘留物123的焊墊122的電阻值大於不具有殘留物(如圖7所示)其他焊墊的電阻值。在一實施例中,殘留物123可毯覆地或連續地覆蓋焊墊122。作為另外一種選擇,殘留物123可部分地或非連續地覆蓋焊墊122。在其他實施例中,在焊墊122的頂表面或頂部部分122t上可發生電荷累積,這可能會影響焊墊122的頂部部分122t的電阻值。
如圖1D所示,在移除頂蓋層124之後,對焊墊122執行電路探針(circuit probing,CP)測試。具體來說,可使用探針128電耦合到焊墊122以進行晶圓或晶粒測試,從而檢查晶粒是否是良好晶粒。在一些實施例中,CP測試也被稱為晶圓接受度測試 (wafer acceptance testing,WAT)。在一些實施例中,使用焊墊122進行電測試以檢查圖1D所示第一晶粒101是否是良好晶粒,但是本公開並非僅限於此。可選擇第一晶粒101來測試晶圓或晶粒的不同屬性,例如漏電流、崩潰電壓、閾值電壓及有效通道長度、飽和電流、接觸電阻及連接。應注意,當第一晶粒101被識別為已知良好晶粒(known good die,KGD)時,選擇第一晶粒101來進行以下製程。在這種情形中,如圖1D所示,在焊墊122的頂部部分122t處形成探針標記127,且探針標記127可為凹進或凹陷到焊墊122的頂表面122t中的凹槽。也就是說,探針標記127具有凹表面或者向下凹進的曲線。由於探針128可在CP測試期間按壓或擠壓殘留物123以電連接到焊墊122,因此在CP測試之後,探針標記127之下的殘留物123可被擠壓到探針標記127的兩側,如圖1D所示。也就是說,探針標記127之下的頂部部分122t可具有比探針標記127旁邊的頂部部分122t低的電阻。在一些實施例中,探針標記127可具有50nm到2000nm的深度D1以及1000nm到50000nm的寬度W1。在一些替代實施例中,寬度W1對深度D1的比率是0.5到1000。在本文中,深度D1是探針標記127的最頂點(或頂表面122t)與最底點之間的垂直距離。
參照圖1E,在CP測試之後,在焊墊122之上形成保護層125。詳細來說,保護層125共形地覆蓋且直接接觸焊墊122的頂表面122t及側壁122s、探針標記127以及鈍化層110的頂表面。在這種情形中,共形地覆蓋探針標記127的保護層125具有 與探針標記127的凹表面對應的另一凹表面。在本文中,當將層闡述為「共形地覆蓋」時,所述層被形成為具有均勻的厚度,並沿著下伏層或結構的表面形貌延伸。在一些實施例中,保護層125可包括介電層(例如氮化矽、氮氧化矽或其組合)且具有50nm到100nm的厚度。當保護層125的厚度大於探針標記127的深度D1時,保護層125可填滿探針標記127。也就是說,位於探針標記127正上方的保護層125的頂表面的最低點可高於焊墊122的頂表面122t。另一方面,當保護層125的厚度小於探針標記127的深度D1時,保護層125可能不會填滿探針標記127。也就是說,位於探針標記127正上方的保護層125的頂表面的最低點可低於焊墊122的頂表面122t。在其他實施例中,位於探針標記127正上方的保護層125的頂表面的最低點與焊墊122的頂表面122t可處於同一水平高度。
在另一實施例中,保護層125被稱為抗反射塗布(ARC)層,保護層125可包含有機ARC材料(例如,聚合物樹脂)、無機ARC材料(例如,SiON)或其組合。在一些替代實施例中,保護層125可為單層或多層,且可通過合適的製程(例如CVD、ALD等)形成。在其他實施例中,保護層125與頂蓋層124可具有不同的材料。
在形成保護層125之後,在保護層125之上形成第一接合結構135(如圖1F所示)。具體來說,如圖1E所示,在第一晶粒101被識別為已知良好晶粒之後,在第一晶粒101的正面101a 之上設置接合介電材料130a(或稱為第一接合介電材料)。在一些實施例中,如圖1E所示,接合介電材料130a覆蓋保護層125且填充在探針標記127中。在一些實施例中,接合介電材料130a包含氧化矽、氮化矽、聚合物或其組合。接合介電材料130a通過合適的製程(例如旋轉塗布、CVD等)形成。
在圖1E中,接著形成阻擋層130b以覆蓋接合介電材料130a。在一些實施例中,阻擋層130b包含介電材料(例如,氧化矽、氮化矽、氮氧化矽或其組合)且通過合適的製程(例如CVD、ALD等)形成。在一些實施例中,阻擋層130b的厚度為50nm到100nm。
在圖1E中,在阻擋層130b之上形成另一種接合介電材料130c(或稱為第二接合介電材料)。也就是說,阻擋層130b設置在接合介電材料130a與接合介電材料130c之間。在一些實施例中,阻擋層130b的材料不同於接合介電材料130a及130c的材料。舉例來說,阻擋層130b可包含氮化矽,而接合介電材料130a及130c可包含氧化矽。然而,本公開的實施例並非僅限於此。在其他實施例中,接合介電材料130a及130c與阻擋層130b具有不同的材料。在一些實施例中,接合介電材料130c包含氧化矽、氮化矽、聚合物或其組合。接合介電材料130c通過合適的製程(例如旋轉塗布、CVD等)形成。此後,可對接合介電材料130c執行平坦化製程,以使得接合介電材料130c的頂表面具有平坦表面,在一些實施例中。在替代實施例中,平坦化製程包括化學機械拋 光(chemical mechanical polish,CMP)製程、回蝕製程或其組合。
在形成包括接合介電材料130a及130c以及位於接合介電材料130a與接合介電材料130c之間的阻擋層130b的接合介電層130之後,在接合介電層130中形成接合金屬層132,從而完成半導體結構100,如圖1F所示。在一些實施例中,半導體結構100可包括半導體晶粒、半導體晶片、半導體晶圓或其組合。在所述實施例中,半導體結構100包括第一晶粒101以及位於第一晶粒101的正面101a之上的第一接合結構135。舉例來說,第一晶粒101可為應用專用積體電路(application-specific integrated circuit,ASIC)晶片、類比晶片、感測器晶片、無線與射頻晶片、電壓調節器晶片或記憶體晶片。
在圖1F中,接合金屬層132對應於焊墊122並電連接到焊墊122。在本文中,接合金屬層132可著陸在焊墊122上且接觸焊墊122。在一些實施例中,接合金屬層132包括通孔插塞134及金屬特徵136。舉例來說,金屬特徵136是面積比通孔插塞134大的通孔插塞。如圖1F所示,通孔插塞134穿透過接合介電材料130a及保護層125以著陸在焊墊122上並接觸焊墊122。金屬特徵136穿透過接合介電材料130c及阻擋層130b以連接到通孔插塞134。換句話說,金屬特徵136通過通孔插塞134電連接到焊墊122。接合金屬層132通過焊墊122及插塞111電連接到頂部金屬特徵108a。在一些實施例中,接合金屬層132通過雙重金屬鑲嵌方法形成。另外,儘管圖1F中僅示出一個接合金屬層132,然而 本公開的實施例並非僅限於此。在其他實施例中,可視需要調整接合金屬層132的數目。舉例來說,接合金屬層132的數目是多個,且接合金屬層132可作為著陸在焊墊122上的陣列排列。
一般來說,接合金屬層132可通過溝渠優先製程(trench first process)、通孔口優先製程(via hole first process)或自對準製程(self-aligned process)形成,此如以下進行詳細闡述。
在一些實施例中,接合金屬層132按照以下步驟(被稱為溝渠優先製程)形成。通過微影製程及蝕刻製程對接合介電材料130c及阻擋層130b進行圖案化,以在接合介電材料130c及阻擋層130b中形成溝渠137。溝渠137對應於焊墊122,此意指溝渠137可與焊墊122對齊或者與焊墊122部分交疊。在蝕刻製程期間,阻擋層130b用作蝕刻停止層,且因此阻擋層130b被溝渠137暴露出或穿透。接下來,通過另一微影製程及以保護層125作為蝕刻停止層的蝕刻製程,對接合介電材料130a進行圖案化,且接著對保護層125進行蝕刻以在保護層125中形成通孔口133。在實施例中,保護層125被稱為用於形成通孔口133的蝕刻停止層。在一個實施例中,蝕刻製程可包括具有多個蝕刻步驟的非等向性蝕刻製程,所述多個蝕刻步驟用於移除具有不同材料的多個層。也就是說,可通過具有不同的蝕刻氣體的多個蝕刻步驟來移除接合介電材料130a及保護層125。在另一實施例中,蝕刻製程可包括乾式蝕刻製程。可使用蝕刻氣體(包括O2、N2、CH4或其組合)來執行乾式蝕刻製程。在這種情形中,乾式蝕刻製程能夠 進一步移除殘留物123的一部分,以使得通孔口133接觸焊墊122,且在蝕刻製程期間可使用保護層125來控制通孔口133的深度並避免焊墊122被損壞。通孔口133可暴露出焊墊122。此後,在接合介電材料130c上形成導電材料層及障壁材料層(未示出),且導電材料層及障壁材料層填充到溝渠137以及通孔口133中。接著通過平坦化製程(例如CMP製程)移除接合介電材料130c上的導電材料層,且因此在通孔口133及溝渠137中分別形成通孔插塞134及金屬特徵136。在一些替代實施例中,溝渠137可被稱為比通孔口133大的通孔口。
在一些其他實施例中,接合金屬層132按照以下步驟(被稱為通孔口優先製程)形成。通過微影製程及蝕刻製程對接合介電材料130a及130c、阻擋層130b及保護層125進行圖案化以形成通孔口133。在這種情形中,保護層125被稱為用於形成通孔口133的蝕刻停止層。在一個實施例中,蝕刻製程可包括非等向性蝕刻製程。在另一實施例中,蝕刻製程可包括乾式蝕刻製程。可使用蝕刻氣體(包括O2、N2、CH4或其組合)來執行乾式蝕刻製程。接下來,通過微影製程及蝕刻製程,對接合介電材料130c及阻擋層130b進行圖案化,以在接合介電材料130c及阻擋層130b中形成溝渠137。在蝕刻製程期間,阻擋層130b用作蝕刻停止層,且因此阻擋層130b被溝渠137暴露出或穿透。此後,形成導電材料層且執行平坦化製程。
在替代實施例中,接合金屬層132按照以下步驟(被稱 為自對準製程)形成。在形成接合介電材料130a之後,形成阻擋層130b且通過微影製程及蝕刻製程對阻擋層130b進行圖案化,以在阻擋層130b中形成通孔口圖案。接下來,利用通孔口圖案在阻擋層130b之上形成接合介電材料130c。接合介電材料130c填充到阻擋層130b的通孔口圖案中且接觸接合介電材料130a。此後,通過微影製程在接合介電材料130c上形成具有溝渠圖案的圖案化罩幕,溝渠圖案中的溝渠圖案對應於阻擋層130b的通孔口圖案。此後,使用阻擋層130b作為蝕刻停止層來對接合介電材料130c執行蝕刻製程,以形成溝渠137。在一個實施例中,蝕刻製程可包括非等向性蝕刻製程(anisotropic etching process)。在另一實施例中,蝕刻製程可包括乾式蝕刻製程。可使用蝕刻氣體(包括O2、N2、CH4或其組合)來執行乾式蝕刻製程。同時,使用具有通孔口圖案的阻擋層130b作為硬罩幕對接合介電材料130a及保護層125進行蝕刻,以使通孔口133形成在接合介電材料130a及保護層125中且與溝渠137自對準。在這種情形中,保護層125被稱為用於形成通孔口133的蝕刻停止層。此後,形成導電材料層且執行平坦化製程。
在圖1F中,阻擋層130b具有與接合介電材料130c相同的圖案且阻擋層130b與接合介電材料130c二者均具有溝渠137。然而,根據製程而定,阻擋層130b可具有與接合介電材料130a相同的圖案且阻擋層130b與接合介電材料130a二者均具有如虛線所示的通孔口133。換句話說,焊墊122之上的溝渠137的底部 暴露出部分阻擋層130b,且溝渠137的底部下方的阻擋層130b具有通孔口133的圖案。
如圖1F所示,在一實施例中,焊墊122的一部分(具有探針標記127的部分焊墊122)可被稱為用於CP測試的測試焊墊,而焊墊122的另一部分(不具有探針標記127的部分焊墊122)可被稱為連接焊墊,所述連接焊墊與接合金屬層132電連接或接觸。具體來說,接合金屬層132著陸在焊墊122的另一部分上並與探針標記127隔開大於零的距離122d。也就是說,接合金屬層132不直接接觸探針標記127。在這種情形中,連接焊墊能夠將信號從第一晶粒101傳輸到上覆晶粒。在一些實施例中,距離122d可小於焊墊122的寬度;然而,本公開的實施例並非僅限於此。
圖2A到圖2B是根據第二實施例的形成3DIC結構的方法的剖視圖。
參照圖2A,提供半導體結構200。詳細來說,半導體結構200包括第二晶粒201以及設置在第二晶粒201的正面201a之上的第二接合結構235。在一些實施例中,半導體結構200可包括半導體晶粒、半導體晶片、半導體晶圓或其組合。舉例來說,第二晶粒201可為應用專用積體電路(ASIC)晶片、類比晶片、感測器晶片、無線與射頻晶片、電壓調節器晶片或記憶體晶片。第二晶粒201與第一晶粒101可為相同類型的晶粒或不同類型的晶粒。
在一些實施例中,第二晶粒201與第一晶粒101相似。 也就是說,第二晶粒201包括半導體基底202、元件層203、內連結構204(包括絕緣材料206以及多個金屬特徵208)、鈍化層210(包括鈍化材料210a及210b)、焊墊222、焊墊222的頂部處的探針標記227以及保護層225。第二晶粒201的排列、材料及形成方法與第一晶粒101的排列、材料及形成方法相似。因此,此處省略其細節。圖1F所示第一晶粒101與第二晶粒201可具有不同的尺寸。在本文中,用語「尺寸」是指長度、寬度或面積。舉例來說,如圖1F及圖2A所示,第二晶粒201的長度大於第一晶粒101的長度。然而,本公開的實施例並非僅限於此。在其他實施例中,第二晶粒201的尺寸可相同於第一晶粒101的尺寸。
在一些實施例中,第二接合結構235包括接合介電層230、第一接合金屬層232、第二接合金屬層242及虛設金屬特徵238。詳細來說,第一接合金屬層232包括通孔插塞234及金屬特徵236。通孔插塞234穿透過接合介電材料230a及保護層225以著陸在第二焊墊222上並接觸第二焊墊222。金屬特徵236穿透過接合介電材料130c及阻擋層130b以連接到通孔插塞234。換句話說,第一接合金屬層232通過焊墊222及插塞211電連接到頂部金屬特徵208a(或內連結構204)。
相似地,第二接合金屬層242包括通孔插塞244及金屬特徵246。通孔插塞244穿透過接合介電材料230a、保護層225及鈍化層210以著陸在頂部金屬特徵208b上並接觸頂部金屬特徵208b。金屬特徵246穿透過接合介電材料130c及阻擋層130b以 連接到通孔插塞244。也就是說,第二接合金屬層242電連接或實體連接到頂部金屬特徵208b(或內連結構204)。在這種情形中,第二接合金屬層242的高度大於第一接合金屬層232的高度。在本實施例中,如圖2A所示,通孔插塞244的高度大於通孔插塞234的高度,而金屬特徵246的高度等於金屬特徵236的高度。
另一方面,虛設金屬特徵238視需要可形成在第一接合金屬層232旁邊。虛設金屬特徵238設置在接合介電材料230c及阻擋層230b中且被接合介電材料230c暴露出。在本文中,當將元件闡述為「虛設的」時,元件是電浮置的或與其他元件電隔離的。舉例來說,如圖2A所示,虛設金屬特徵238是電浮置的。在一些實施例中,虛設金屬特徵238是通過單金屬鑲嵌(single damascene)方法形成。
在一些實施例中,虛設金屬特徵238與金屬特徵236及246處於實質上相同的水平高度。也就是說,虛設金屬特徵238的頂表面與金屬特徵236及246的頂表面與接合介電材料230c的頂表面實質上共面。
在一些實施例中,第一接合金屬層232及第二接合金屬層242可包含銅、銅合金、鎳、鋁、鎢、其組合。虛設金屬特徵238可包含銅、銅合金、鎳、鋁、鎢、其組合。在一些實施例中,第一接合金屬層232、第二接合金屬層242及虛設金屬特徵238可具有相同的材料。在一些替代實施例中,第一接合金屬層232、第二接合金屬層242及虛設金屬特徵238可具有不同的材料。
在一些實施例中,第一接合金屬層232、第二接合金屬層242及虛設金屬特徵238同時形成。在一些其他實施例中,第一接合金屬層232、第二接合金屬層242及虛設金屬特徵238相繼形成。第一接合金屬層232及第二接合金屬層242及虛設金屬特徵238是通過溝渠優先製程、通孔口優先製程或自對準製程形成。
舉例來說,第一接合金屬層232、第二接合金屬層242及虛設金屬特徵238按照以下步驟(被稱為溝渠優先製程)形成。通過微影製程及蝕刻製程對接合介電材料230c及阻擋層230b進行圖案化,以在接合介電材料230c及阻擋層230b中形成溝渠237、247及239。溝渠237對應於焊墊222且溝渠247對應於頂部金屬特徵208b。在蝕刻製程期間,阻擋層230b用作蝕刻停止層,且因此阻擋層230b被溝渠237、247及239暴露出或穿透。接下來,通過另一微影製程及以保護層225作為蝕刻停止層的蝕刻製程,對接合介電材料230a進行圖案化,且接著對保護層225進行蝕刻以在保護層225中形成通孔口233。同時,通過同一微影製程及蝕刻製程對接合介電材料230a、保護層225及鈍化層210進行圖案化,以在接合介電材料230a、保護層225及鈍化層210中形成通孔口243。在本實施例中,蝕刻製程可包括具有多個蝕刻步驟的乾式蝕刻製程,所述多個蝕刻步驟用於移除具有不同材料的多個層。也就是說,保護層225被稱為用於形成通孔口233及243的蝕刻停止層。具體來說,通孔口233可停止在保護層225上,直到通孔口243在第一蝕刻步驟期間到達保護層225。接著執 行第二蝕刻步驟以移除部分保護層225及部分鈍化層210。在第二蝕刻步驟中,焊墊222被稱為蝕刻停止層,也就是說,通孔口233可停止在焊墊222上直到通孔口243到達頂部金屬特徵208b。此外,第二蝕刻步驟能夠進一步移除部分殘留物223以接觸焊墊222。如上所述,保護層225可用於控制通孔口233及243的深度,使得具有不同深度的通孔口233及243同時形成。在其他實施例中,第一接合金屬層232、第二接合金屬層242及虛設金屬特徵238可在通孔口優先製程及自對準製程中同時形成並在以上實施例中示出。因此,此處省略其細節。
從另一角度來看,半導體結構200可包括第一區R1及第二區R2。焊墊222及接觸焊墊222的第一接合金屬層232位於第一區R1中。第二接合金屬層242位於第二區R2中。第一區R1中的結構與圖1F所示半導體結構100相似。然而,本公開的實施例並非僅限於此。第一區R1中的結構可被另一種結構(如圖6所示)取代。
參照圖2B,提供另一種半導體結構200’。詳細來說,半導體結構200’包括另一第二晶粒201’以及設置在第二晶粒201’的正面201a’之上的另一第二接合結構235’。第二晶粒201與第二晶粒201’可為相同類型的晶粒或不同類型的晶粒。第二晶粒201’及第二接合結構235’的排列、材料及形成方法與第二晶粒201及第二接合結構235的排列、材料及形成方法相似。因此,此處省略其細節。
參照圖2B,將半導體結構200’進一步上下翻轉並安裝到半導體結構200上。也就是說,第二晶粒201’與第二晶粒201通過第二接合結構235’及第二接合結構235面對面地(face-to-face)接合在一起以形成3DIC結構10(或被稱為晶粒堆疊結構10)。然而,本公開的實施例並非僅限於此。在其他實施例中,第二晶粒201’與第二晶粒201可面對背(face-to-back)地接合在一起。在下文中,半導體結構200’的第二晶粒201’被稱為頂部晶粒201’,且半導體結構200的第二晶粒201被稱為底部晶粒201。
在一些實施例中,在將頂部晶粒201’接合到底部晶粒201之前,將第二接合結構235’與第二接合結構235對齊,以使得虛設金屬特徵238接合在一起、第一接合金屬層232接合在一起、第二接合金屬層242接合在一起且接合介電層230接合在一起。在一些實施例中,第二接合結構235’與第二接合結構235的對齊可使用光學傳感方法實現。在實現對齊之後,通過混合接合將第二接合結構235’與第二接合結構235接合在一起以形成混合接合結構35。
第二接合結構235’與第二接合結構235通過施加壓力及熱而混合接合在一起。應注意,混合接合涉及至少兩種類型的接合,所述至少兩種類型的接合包括金屬對金屬接合以及非金屬對非金屬接合(例如,介電質對介電質接合或熔融接合)。如圖2A所示,混合接合結構35包括通過金屬對金屬接合而接合在一起的虛設金屬特徵238、通過金屬對金屬接合而接合在一起的第一接合 金屬層232、通過金屬對金屬接合而接合在一起的第二接合金屬層242以及通過非金屬對非金屬接合而接合在一起的接合介電層230。然而,本公開的實施例並非僅限於此。在其他實施例中,第二接合結構235’與第二接合結構235可通過其他接合(例如熔融接合)接合在一起。
圖3A到圖3D是根據第三實施例的形成半導體結構的方法的剖視圖。
參照圖3A及圖3B,結構301’是接續在圖1C所示結構。在形成結構301’之後,對上面有頂蓋層124的焊墊122執行電路探針(CP)測試。具體來說,探針128可穿透頂蓋層124以電耦合到焊墊122來進行晶圓或晶粒測試,從而檢查晶粒是否是良好晶粒。在一些實施例中,焊墊122用於進行電測試,從而檢查圖3B所示第三晶粒301是否是良好晶粒,但本公開並非僅限於此。應注意,當第三晶粒301被識別為已知良好晶粒(KGD)時,選擇第三晶粒301來進行以下製程。在這種情形中,如圖3B所示,在焊墊122的頂部部分122t上形成探針標記327,且探針標記327可為從頂蓋層124的頂表面124t凹進或凹陷到焊墊122中的凹槽。在一些實施例中,探針標記327可具有50nm到2000nm的深度D2以及1000nm到50000nm的寬度W2。在本文中,深度D2是最頂點(或頂蓋層124的頂表面124t)與探針標記327的最底點之間的垂直距離。在一些替代實施例中,通過在相同的CP測試裝置中使用相同的探針,深度D2可大於圖1D所示深度D1,且 寬度W2可大於或等於圖1D所示寬度W1。
參照圖3C,在CP測試之後,在焊墊122及頂蓋層124之上形成保護層125。詳細來說,保護層125共形地覆蓋且直接接觸頂蓋層124的頂表面124t、探針標記127、焊墊122的側壁122s以及鈍化層110的頂表面。在一些實施例中,保護層125可包括介電層(例如氮化矽、氮氧化矽或其組合)且具有50nm到100nm的厚度。當保護層125的厚度大於探針標記327的深度D2(如圖3B所示)時,保護層125可填滿探針標記327。也就是說,位於探針標記327正上方的保護層125的頂表面的最低點可高於頂蓋層124的頂表面124t。另一方面,當保護層125的厚度小於探針標記327的深度D2時,保護層125可不填滿探針標記327。也就是說,位於探針標記327正上方的保護層125的頂表面的最低點可低於頂蓋層124的頂表面124t。在其他實施例中,位於探針標記327正上方的保護層125的頂表面的最低點與頂蓋層124的頂表面可處於相同的水平高度。
在另一實施例中,保護層125被稱為抗反射塗布(ARC)層,保護層125可包含有機ARC材料(例如,聚合物樹脂)、無機ARC材料(例如,SiON)或其組合。在一些替代實施例中,保護層125可為單層或多層,且可通過合適的製程(例如CVD、ALD等)形成。在其他實施例中,保護層125與頂蓋層124可具有不同的材料。也就是說,在保護層125與頂蓋層124之間可形成介面。在這種情形中,如圖3C所示,覆蓋在焊墊122的頂表面122t 上的介電材料可具有厚度T1,其仲介電材料包括保護層125及頂蓋層124。覆蓋在焊墊122的側壁122s上的另一介電材料可具有厚度T2,其中所述另一介電材料包括保護層125。覆蓋在探針標記327上的另一介電材料可具有厚度T3,其中所述另一介電材料包括保護層125。在所述實施例中,厚度T1可大於厚度T2或T3,且厚度T2可等於或小於厚度T3。
參照圖3D,在形成保護層125之後,在保護層125或第三晶粒301的正面301a之上形成第一接合結構135,從而形成半導體結構300。第一接合結構135包括形成在接合介電層130中的接合金屬層132。接合金屬層132穿透接合介電層130、保護層125及頂蓋層124以著陸在焊墊122上並接觸焊墊122。接合金屬層132及接合介電層130的排列、材料及形成方法在以上實施例中示出。因此,此處省略其細節。
圖4A到圖4D是根據第四實施例的形成半導體結構的方法的剖視圖。
參照圖4A,結構401’與圖1B所示結構相似。結構401’與圖1B所示結構之間的差異在於結構401’包括設置在導電材料112與頂蓋材料114之間的保護材料115。在一些實施例中,保護材料115可包括介電層(例如氮化矽、氮氧化矽或其組合)且具有50nm到100nm的厚度。在另一實施例中,保護材料115被稱為抗反射塗布(ARC)層,保護材料115可包含有機ARC材料(例如,聚合物樹脂)、無機ARC材料(例如,SiON)或其組合。在 一些替代實施例中,保護材料115可為單層或多層,且可通過合適的製程(例如CVD、ALD等)形成。在其他實施例中,保護材料115與頂蓋材料114可具有不同的材料。
參照圖4A及圖4B,在形成罩幕圖案116之後,使用罩幕圖案116作為蝕刻罩幕來執行第一蝕刻製程以移除部分頂蓋材料114、部分保護材料115及部分導電材料112,從而暴露出鈍化材料110b。在一些實施例中,第一蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程或其組合。在這種情形中,如圖4B所示,形成了焊墊122、頂蓋層124以及設置在焊墊122與頂蓋層124之間的保護層125。焊墊122通過插塞111電連接到頂部金屬特徵108a。在一些實施例中,焊墊122可與頂部金屬特徵108a對齊或部分交疊。儘管在圖4B中僅示出一個焊墊122、一個保護層125及一個頂蓋層124,然而本公開的實施例並非僅限於此。在其他實施例中,可視需要調整焊墊122、保護層125及頂蓋層124的數目。
參照圖4B及圖4C,在移除罩幕圖案116之後,對焊墊122執行電路探針(CP)測試。具體來說,探針128可穿透頂蓋層124及保護層125以電耦合到焊墊122來進行晶圓或晶粒測試,從而檢查晶粒是否是良好晶粒。在一些實施例中,焊墊122用於進行電測試,從而檢查圖4C所示第四晶粒401是否是良好晶粒,但本公開並非僅限於此。應注意,當第四晶粒401被識別為已知良好晶粒(KGD)時,選擇第四晶粒401來進行以下製程。在這種情形中,如圖4C所示,在焊墊122的頂表面122t上形成探針 標記427,且探針標記427可以是從頂蓋層124的頂表面124t經由保護層125凹進或凹陷且接著凹進或凹陷到焊墊122中的凹槽。在一些實施例中,探針標記427可具有100nm到2000nm的深度D3以及1000nm到50000nm的寬度W3。在本文中,深度D3是最頂點(或頂蓋層124的頂表面124t)與探針標記427的最底點之間的垂直距離。在一些替代實施例中,通過在相同的CP測試裝置中使用相同的探針,深度D3可大於圖3B所示深度D2,且寬度W3可大於或等於圖3B所示寬度W2。
參照圖4D,在CP測試之後,在第四晶粒401的正面401a之上形成第一接合結構135,從而形成半導體結構400。第一接合結構135包括形成在接合介電層130中的接合金屬層132。在一些實施例中,接合介電層130(或接合介電材料130a)形成在焊墊122之上並填充在探針標記427中。在這種情形中,接合介電材料130a接觸被探針標記427暴露出的焊墊122。也就是說,接合介電材料130a填充在探針標記427中並直接接觸探針標記427。接合金屬層132穿透接合介電層130、頂蓋層124及保護層125以著陸在焊墊122上並接觸焊墊122。接合金屬層132及接合介電層130的排列、材料及形成方法在以上實施例中示出。因此,此處省略其細節。
圖5是示出根據第五實施例的半導體結構的剖視圖。
參照圖5,半導體結構500與圖4D所示半導體結構400相似。半導體結構500與半導體結構400之間的差異在於半導體 結構500包括第五晶粒501,第五晶粒501的正面501a之上具有焊墊122以及位於焊墊122之上的保護層125。在焊墊122的頂表面122t上形成有探針標記527,且探針標記527可為從保護層125的頂表面125t凹進或凹陷到焊墊122中的凹槽。在一些實施例中,探針標記527可具有50nm到2000nm的深度D4以及1000nm到50000nm的寬度W4。在本文中,深度D4是探針標記527的最頂點(或保護層125的頂表面125t)與最底點之間的垂直距離。在一些替代實施例中,通過在相同的CP測試裝置中使用相同的探針,深度D4可小於圖4C所示深度D3,且寬度W4可小於或等於圖4C所示寬度W3。
圖6是示出根據第六實施例的半導體結構的剖視圖。
參照圖6,半導體結構600與圖2B所示半導體結構200相似。半導體結構600與半導體結構200之間的差異在於圖6所示第一區R1中的結構被圖3D所示結構300取代。在這種情形中,在焊墊222的頂表面222t上形成有探針標記627,且探針標記627可為從頂蓋層224的頂表面224t凹進或凹陷到焊墊222中的凹槽。然而,本公開的實施例並非僅限於此。第一區R1中的結構可被圖4D所示結構400或圖5所示結構500取代。另一方面,半導體結構100、300、400及500中的一者可具有第二接合金屬層242和/或虛設金屬特徵238。第二接合金屬層242穿透過接合介電層230、保護層225及鈍化層210以著陸在頂部金屬特徵208b上並接觸頂部金屬特徵208b。虛設金屬特徵238設置在接合介電材料 230c及阻擋層230b中且被接合介電材料230c暴露出。
應注意,在一些實施例中,半導體結構100、200、200’、300、400、500及600中的任何兩者可通過混合接合結構35接合在一起,從而形成3DIC結構。在一些替代實施例中,半導體結構100、300、400及500中的一者可視需要具有設置在第一接合金屬層132旁邊的第二接合金屬層和/或虛設金屬特徵,其中第一接合金屬層132、第二接合金屬層及虛設金屬特徵的數目及排列並非僅限於此。
綜上所述,焊墊的一部分被稱為用於CP測試的測試焊墊,且焊墊的另一部分被稱為用於信號傳輸的連接焊墊。在這種情形中,具有多種功能的焊墊的半導體結構能夠高效地增加使用面積。另外,至少覆蓋焊墊的頂表面的保護層可用以當作蝕刻停止層,以使第一接合金屬層著陸在焊墊上且第二接合金屬層同時著陸在頂部金屬特徵上。在這種情形中,保護層能夠更好地控制製程而不會過度蝕刻焊墊。
根據一些實施例,一種半導體結構包括基底、內連結構、焊墊、保護層以及接合結構。所述內連結構設置在所述基底之上。所述焊墊設置在所述內連結構之上且電連接到所述內連結構。所述焊墊的頂表面具有探針標記且所述探針標記具有凹表面。所述保護層共形地覆蓋所述焊墊的所述頂表面及所述探針標記。所述接合結構設置在所述保護層之上。所述接合結構包括接合介電層及第一接合金屬層,所述第一接合金屬層穿透所述接合介電層及 所述保護層以電連接到所述焊墊。
在一些實施例中,所述半導體結構還包括鈍化層設置在所述焊墊與所述內連結構之間以及所述接合結構與所述內連結構之間,其中所述保護層延伸覆蓋所述焊墊的側壁以及所述鈍化層的頂表面。所述接合結構包括位於所述焊墊旁邊的第二接合金屬層,且所述第二接合金屬層穿透所述接合介電層、所述保護層及所述鈍化層以電連接到所述內連結構。所述第二接合金屬層的高度大於所述第一接合金屬層的高度。所述第一接合金屬層著陸在所述焊墊之上且與所述探針標記隔開大於零的距離。所述保護層直接接觸所述探針標記,且覆蓋所述探針標記的所述保護層具有另一凹表面。所述半導體結構還包括頂蓋層設置在所述焊墊的所述頂表面與所述保護層之間,其中所述探針標記從所述頂蓋層的頂表面凹陷到所述焊墊的所述頂表面中。所述半導體結構還包括鈍化層設置在所述焊墊與所述內連結構之間以及所述接合結構與所述內連結構之間,其中所述保護層共形地覆蓋所述頂蓋層的所述頂表面及所述探針標記,且延伸覆蓋所述焊墊的側壁及所述鈍化層的頂表面。
根據一些實施例,一種半導體結構包括基底、內連結構、焊墊、保護層以及接合結構。所述內連結構設置在所述基底之上。所述焊墊設置在所述內連結構之上且電連接到所述內連結構。所述保護層設置在所述焊墊之上。所述焊墊的頂表面具有探針標記且所述探針標記具有凹表面。所述接合結構設置在所述保護層之 上。所述接合結構包括接合介電層及第一接合金屬層,所述第一接合金屬層穿透所述接合介電層及所述保護層以電連接到所述焊墊。
在一些實施例中,所述半導體結構還包括頂蓋層設置在所述保護層的所述頂表面之上,其中所述探針標記從所述頂蓋層的頂表面凹陷到所述焊墊的所述頂表面中。所述第一接合金屬層穿透所述頂蓋層及所述保護層以電連接到所述焊墊。所述半導體結構還包括鈍化層設置在所述焊墊與所述內連結構之間以及所述接合結構與所述內連結構之間。所述接合介電層包括:第一接合介電材料覆蓋所述焊墊;第二接合介電材料設置在所述第一接合介電材料之上;以及阻擋層設置在所述第一接合介電材料與所述第二接合介電材料之間。所述第一接合介電材料直接接觸所述探針標記。所述接合結構包括設置在所述焊墊旁邊的第二接合金屬層,且所述第二接合金屬層穿透所述接合介電層及所述鈍化層以電連接到所述內連結構。所述第二接合金屬層的高度大於所述第一接合金屬層的高度。
根據一些實施例,一種製造半導體結構的方法包括:在基底之上形成內連結構;在所述內連結構之上形成電連接到所述內連結構的焊墊;對所述焊墊執行電路探針(CP)測試,以在所述焊墊的頂表面之上形成探針標記;在所述焊墊的所述頂表面之上形成保護層;以及在所述保護層之上形成接合結構,其中所述形成所述接合結構包括形成接合介電層及形成第一接合金屬層, 所述第一接合金屬層穿透所述接合介電層及所述保護層以電連接到所述焊墊。
在一些實施例中,所述形成所述保護層是在執行所述電路探針測試之後進行,且所述保護層共形地覆蓋所述探針標記並直接接觸所述探針標記。所述方法,還包括在執行所述電路探針測試之前在所述焊墊的所述頂表面之上形成頂蓋層,其中所述保護層共形地覆蓋所述頂蓋層且直接接觸所述頂蓋層。所述形成所述保護層是在執行所述電路探針測試之前進行,且所述接合介電層直接接觸所述探針標記。所述方法,還包括在執行所述電路探針測試之前在所述保護層之上形成頂蓋層,其中所述接合介電層直接接觸所述探針標記。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本發明的各個方面。所屬領域中的技術人員應知,其可容易地使用本發明作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本發明的精神及範圍,而且他們可在不背離本發明的精神及範圍的條件下對其作出各種改變、代替及變更。
100:半導體結構
101:第一晶粒
101a:正面
102:半導體基底
103:元件層
104:內連結構
106:絕緣材料
108、136:金屬特徵
108a:頂部金屬特徵
110:鈍化層
110a、110b:鈍化材料
111:插塞
122:焊墊
122d:距離
123:殘留物
125:保護層
127:探針標記
130:接合介電層
130a、130c:接合介電材料
130b:阻擋層
132:第一接合金屬層
133:通孔口
134:通孔插塞
135:第一接合結構
137:溝渠

Claims (9)

  1. 一種半導體結構,包括:內連結構,設置在基底之上;焊墊,設置在所述內連結構之上且電連接到所述內連結構,其中所述焊墊的頂表面具有探針標記且所述探針標記具有凹表面;保護層,共形地覆蓋所述焊墊的所述頂表面及所述探針標記;以及接合結構,設置在所述保護層之上,其中所述接合結構包括接合介電層及第一接合金屬層,所述第一接合金屬層穿透所述接合介電層及所述保護層以電連接到所述焊墊。
  2. 如請求項1所述的半導體結構,更包括:鈍化層,設置在所述焊墊與所述內連結構之間以及所述接合結構與所述內連結構之間,其中所述保護層延伸覆蓋所述焊墊的側壁以及所述鈍化層的頂表面。
  3. 如請求項2所述的半導體結構,其中所述接合結構包括位於所述焊墊旁邊的第二接合金屬層,且所述第二接合金屬層穿透所述接合介電層、所述保護層及所述鈍化層以電連接到所述內連結構。
  4. 如請求項1所述的半導體結構,更包括:頂蓋層,設置在所述焊墊的所述頂表面與所述保護層之間,其中所述探針標記從所述頂蓋層的頂表面凹陷到所述焊墊的所述 頂表面中。
  5. 一種半導體結構,包括:內連結構,設置在基底之上;焊墊,設置在所述內連結構之上且電連接到所述內連結構;保護層,設置在所述焊墊之上,其中所述焊墊的頂表面具有探針標記且所述探針標記具有凹表面;以及接合結構,設置在所述保護層之上,其中所述接合結構包括接合介電層及第一接合金屬層,所述第一接合金屬層穿透所述接合介電層及所述保護層以電連接到所述焊墊,且所述接合介電層至少包括:第一接合介電材料,覆蓋所述焊墊且直接接觸所述探針標記。
  6. 如請求項5所述的半導體結構,更包括:頂蓋層,設置在所述保護層的所述頂表面之上,其中所述探針標記從所述頂蓋層的頂表面凹陷到所述焊墊的所述頂表面中。
  7. 如請求項5所述的半導體結構,更包括:鈍化層,設置在所述焊墊與所述內連結構之間以及所述接合結構與所述內連結構之間,其中所述接合介電層更包括:第二接合介電材料,設置在所述第一接合介電材料之上;以及阻擋層,設置在所述第一接合介電材料與所述第二接合介電材料之間。
  8. 如請求項7所述的半導體結構,其中所述接合結構包括設置在所述焊墊旁邊的第二接合金屬層,且所述第二接合金屬層穿透所述接合介電層及所述鈍化層以電連接到所述內連結構。
  9. 一種製造半導體結構的方法,包括:在基底之上形成內連結構;在所述內連結構之上形成電連接到所述內連結構的焊墊;對所述焊墊執行電路探針測試,以在所述焊墊的頂表面之上形成探針標記;在所述焊墊的所述頂表面之上形成保護層;以及在所述保護層之上形成接合結構,其中所述形成所述接合結構包括形成接合介電層及形成第一接合金屬層,所述第一接合金屬層穿透所述接合介電層及所述保護層以電連接到所述焊墊,其中所述接合介電層直接接觸所述探針標記。
TW108130866A 2019-06-14 2019-08-28 半導體結構及其製造方法 TWI732283B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/440,998 US10879138B1 (en) 2019-06-14 2019-06-14 Semiconductor packaging structure including interconnection to probe pad with probe mark and method of manufacturing the same
US16/440,998 2019-06-14

Publications (2)

Publication Number Publication Date
TW202046476A TW202046476A (zh) 2020-12-16
TWI732283B true TWI732283B (zh) 2021-07-01

Family

ID=73734301

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108130866A TWI732283B (zh) 2019-06-14 2019-08-28 半導體結構及其製造方法

Country Status (3)

Country Link
US (4) US10879138B1 (zh)
CN (1) CN112086423A (zh)
TW (1) TWI732283B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11742306B2 (en) * 2021-01-07 2023-08-29 Micron Technology, Inc. Layouts for pads and conductive lines of memory devices, and related devices, systems, and methods
US11887949B2 (en) * 2021-08-18 2024-01-30 Macronix International Co., Ltd. Bond pad layout including floating conductive sections
TWI802973B (zh) * 2021-08-24 2023-05-21 矽品精密工業股份有限公司 基板結構
CN115083940A (zh) * 2022-07-21 2022-09-20 晶芯成(北京)科技有限公司 晶圆测试方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140273353A1 (en) * 2009-09-25 2014-09-18 Renesas Electronics Corporation Method of manufacturing semiconductor device
TW201719840A (zh) * 2015-11-30 2017-06-01 台灣積體電路製造股份有限公司 晶圓級晶片尺度封裝內連線的製造方法
TW201913843A (zh) * 2017-09-11 2019-04-01 日商萊新科技股份有限公司 電子電路裝置及電子電路裝置的製造方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251694B1 (en) * 1999-05-26 2001-06-26 United Microelectronics Corp. Method of testing and packaging a semiconductor chip
US6297561B1 (en) * 1999-05-26 2001-10-02 United Microelectronics Corp. Semiconductor chip
WO2004093184A1 (ja) * 2003-04-15 2004-10-28 Fujitsu Limited 半導体装置及びその製造方法
US7115985B2 (en) * 2004-09-30 2006-10-03 Agere Systems, Inc. Reinforced bond pad for a semiconductor device
JP5050384B2 (ja) * 2006-03-31 2012-10-17 富士通セミコンダクター株式会社 半導体装置およびその製造方法
JP5401817B2 (ja) * 2008-03-25 2014-01-29 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
JP2009246218A (ja) * 2008-03-31 2009-10-22 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP5801989B2 (ja) * 2008-08-20 2015-10-28 ラピスセミコンダクタ株式会社 半導体装置および半導体装置の製造方法
JP5433228B2 (ja) * 2008-12-26 2014-03-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8324622B2 (en) * 2009-12-31 2012-12-04 Stmicroelectronics Inc. Method of repairing probe pads
IT1400096B1 (it) * 2010-05-12 2013-05-17 St Microelectronics Srl Processo di fabbricazione di circuiti elettronici integrati e circuiti cosi' ottenuti
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US9646899B2 (en) * 2012-09-13 2017-05-09 Micron Technology, Inc. Interconnect assemblies with probed bond pads
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9461018B1 (en) 2015-04-17 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out PoP structure with inconsecutive polymer layer
US9666502B2 (en) 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
KR20180136148A (ko) * 2017-06-14 2018-12-24 에스케이하이닉스 주식회사 범프를 구비하는 반도체 장치
US11355404B2 (en) * 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
JP7286574B2 (ja) * 2020-03-16 2023-06-05 株式会社東芝 半導体装置及び半導体パッケージ

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140273353A1 (en) * 2009-09-25 2014-09-18 Renesas Electronics Corporation Method of manufacturing semiconductor device
TW201719840A (zh) * 2015-11-30 2017-06-01 台灣積體電路製造股份有限公司 晶圓級晶片尺度封裝內連線的製造方法
TW201913843A (zh) * 2017-09-11 2019-04-01 日商萊新科技股份有限公司 電子電路裝置及電子電路裝置的製造方法

Also Published As

Publication number Publication date
US11682594B2 (en) 2023-06-20
CN112086423A (zh) 2020-12-15
TW202046476A (zh) 2020-12-16
US20210151355A1 (en) 2021-05-20
US20200395254A1 (en) 2020-12-17
US10879138B1 (en) 2020-12-29
US20220238397A1 (en) 2022-07-28
US11335610B2 (en) 2022-05-17
US20230274988A1 (en) 2023-08-31

Similar Documents

Publication Publication Date Title
TWI727383B (zh) 半導體結構、三維積體電路結構及其製作方法
TWI732283B (zh) 半導體結構及其製造方法
KR20190032147A (ko) 무 Si 기판 인터포저를 갖는 패키지 및 그 형성 방법
TW201923992A (zh) 封裝體及其製造方法
US11670621B2 (en) Die stack structure
KR20160139815A (ko) 집적회로 소자 및 이의 제조 방법
US11908838B2 (en) Three-dimensional device structure including embedded integrated passive device and methods of making the same
TWI807289B (zh) 封裝裝置及其形成方法
TWI721564B (zh) 半導體結構及其製作方法
TWI793501B (zh) 積體晶片結構及其形成方法
US11728301B2 (en) Semiconductor package including test pad and bonding pad structure for die connection and methods for forming the same
US11658069B2 (en) Method for manufacturing a semiconductor device having an interconnect structure over a substrate
US20230395573A1 (en) Semiconductor package and method of manufacturing semiconductor package
TWI830201B (zh) 半導體封裝結構及其形成方法
US20230005847A1 (en) Dummy Stacked Structures Surrounding TSVS and Method Forming the Same
US20230377968A1 (en) Redistribution layer metallic structure and method