TWI730462B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI730462B
TWI730462B TW108137813A TW108137813A TWI730462B TW I730462 B TWI730462 B TW I730462B TW 108137813 A TW108137813 A TW 108137813A TW 108137813 A TW108137813 A TW 108137813A TW I730462 B TWI730462 B TW I730462B
Authority
TW
Taiwan
Prior art keywords
layer
magnetic
electrode
electrode layer
tunnel junction
Prior art date
Application number
TW108137813A
Other languages
English (en)
Other versions
TW202036889A (zh
Inventor
彭泰彥
陳玉樹
楊心怡
王辰戎
黃建中
林函廷
謝志宏
強 傅
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202036889A publication Critical patent/TW202036889A/zh
Application granted granted Critical
Publication of TWI730462B publication Critical patent/TWI730462B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • H10N50/85Magnetic active materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Hall/Mr Elements (AREA)
  • Mram Or Spin Memory Techniques (AREA)

Abstract

一種半導體裝置及其製造方法,包括形成多個磁穿隧接面(Magnetic Tunnel Junction,MTJ)堆疊層,其包括沉積底部電極層;沉積底部磁性電極層於底部電極層上;沉積穿隧能障層於底部磁性電極層上;沉積頂部磁性電極層於穿隧能障層上;以及沉積頂部電極層於頂部磁性電極層上。此方法還包括圖案化多個磁穿隧接面堆疊層以形成磁穿隧接面;以及執行鈍化製程於磁穿隧接面的側壁上以形成保護層。鈍化製程包括使磁穿隧接面的多個側壁表面部分與製程氣體反應。製程氣體包括選自於由氧、氮、碳及其組合所組成的群組的元素。

Description

半導體裝置及其製造方法
本發明實施例係關於半導體技術,且特別關於一種在磁穿隧接面製造中的保護層及其製造方法。
半導體記憶體用於各種電子應用的積體電路中,包括例如無線電、電視、手機及個人計算裝置等。一種類型的半導體記憶裝置是磁阻式隨機存取記憶體(Magneto-Resistive Random Access Memory,MRAM),其涉及結合半導體技術、磁性材料及裝置的自旋電子學。通過電子自旋產生的磁矩來指示位元值,而不是通過電子的電荷。
典型的磁阻式隨機存取記憶體單元可以包括磁穿隧接面(Magnetic Tunnel Junction,MTJ)堆疊,其包括釘扎層、釘扎層上方的被釘扎層、被釘扎層上方的穿隧層及穿隧層上方的自由層。在形成磁阻式隨機存取記憶體單元時,首先沉積多個毯覆層。然後通過光蝕刻將毯覆層圖案化以形成磁穿隧接面堆疊。然後形成介電蓋層以包括磁穿隧接面堆疊的側壁上的一些部分,以及上表面上可能的其他部分。 磁穿隧接面堆疊由介電蓋層保護。
一種方法,包括:形成多個磁穿隧接面(Magnetic Tunnel Junction)堆疊層,其包括:沉積底部電極層;沉積底部磁性電極層於底部電極層上;沉積穿隧能障層於底部磁性電極層上;沉積頂部磁性電極層於穿隧能障層上;以及沉積頂部電極層於頂部磁性電極層上。圖案化多個磁穿隧接面堆疊層以形成磁穿隧接面;以及執行第一鈍化製程於磁穿隧接面的側壁上以形成第一保護層,其中第一鈍化製程包括使磁穿隧接面的多個側壁表面部分與製程氣體反應,製程氣體包括選自於由氧、氮、碳及其組合所組成的群組的元素。
一種方法,包括:蝕刻頂部電極層以形成頂部電極;蝕刻頂部電極層下面的頂部磁性電極層以形成頂部磁性電極;蝕刻頂部磁性電極層下面的穿隧能障層以形成穿隧能障;執行第一鈍化製程於頂部電極、頂部磁性電極及穿隧能障的側壁上以形成第一保護層;蝕刻穿隧能障下面的底部磁性電極層以形成底部磁性電極;以及執行第二鈍化製程於底部磁性電極的側壁上以形成第二保護層,其中第一鈍化製程和第二鈍化製程中的每一個包括選自於由氧化製程、碳化製程、氮化製程及其組合所組成的群組的製程。
一種裝置,包括:磁穿隧接面(Magnetic Tunnel Junction)及介電保護層。磁穿隧接面包括:底部電極;底部磁性電極,位於底部電極的上方;穿隧能障,位於底部磁性電極的上方;頂部磁性電極,位於穿隧能障的上方;以及頂部電極,位於頂部磁性電極的上方。介電保護層位於磁穿隧接面的側壁上,其中介電保護層包括:第一部分,位於頂部磁性電極的側壁上,其中第一部分包括頂部磁性電極和多個附加元素的材料;第二部分,位於穿隧能障的側壁上,其中第二部分包括穿隧能障和多個附加元素的材料;以及第三部分,位於底部磁性電極的頂部的側壁上,其中第三部分包括底部磁性電極和多個附加元素的材料。
以下內容提供了很多不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體實施例或範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,元件尺寸並未限於所揭露的範圍或數值,而可取決於製程條件及/或裝置期望的特性。再者,敘述中若提及第一部件形成於第二部件之上,可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
再者,此處可能使用空間上的相關用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」和其他類似的用語可用於此,以便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。當裝置被轉至其他方位時(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
根據各種實施例提供了磁阻式隨機存取記憶體(MRAM)單元及其形成方法。根據一些實施例繪示出了形成磁阻式隨機存取記憶體單元的中間階段。討論了一些實施例的一些變化。在各種視圖和說明性實施例中,相同的元件符號用於表示相同的元件。根據本揭露的一些實施例,保護層形成於多個階段,以保護磁阻式隨機存取記憶體單元中的磁穿隧接面(MTJ)堆疊層的側壁以及頂部和底部電極的側壁,從而避免了在各種層的蝕刻過程中發生的反濺射引起的短路。此外,形成的保護層可以作為介電蓋層,用於保護磁穿隧接面堆疊的側壁,從而在一些實施例中,不需要在磁穿隧接面堆疊的側壁上形成介電蓋層。
第1圖到第12A圖係根據本揭露的一些實施例所繪示出一些磁阻式隨機存取記憶體單元形成的中間階段的剖面圖。第1圖到第12A圖中所示的步驟,也示意性地反映在第13圖所示的製程流程中。
參考第1圖,形成晶圓10。晶圓10可以包括基板(未顯示),該基板可以是半導體基板。基板可以由矽、矽鍺、III-V族化合物半導體或其相似材料等形成。根據本揭露的一些實施例,基板是矽塊材基板。晶圓10中可以形成例如電晶體及二極體等主動元件(未顯示)以及例如電容器、電感器及電阻器等被動元件(未顯示)。形成介電層12在基板上方。根據本揭露的一些實施例,介電層12例如是介電常數值低於約3.0的低介電常數介電層。介電層12還可以由其他介電材料形成,例如氧化矽、氮化矽、磷矽玻璃(Phospho-Silicate Glass, PSG)、硼矽玻璃(Boron-Silicon Glass,BSG)、硼磷矽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)或其類似物等。形成導電部件14在介電層12中。根據本揭露的一些實施例,導電部件14是金屬線(例如字元線或位元線)、金屬導孔、接觸插塞、摻雜的半導體條或其類似物等。金屬部件14可以由例如銅、鋁、鎢、鈷等金屬或其類似材料或其金屬合金形成。
導電部件14上方可以是蝕刻停止層16、介電層18和導電導孔24。根據本揭露的一些實施例,蝕刻停止層16由與上方的介電層18不同的介電層形成。例如,蝕刻停止層16可以由氮化鋁、氧化鋁、氧化矽、氮化矽、氮氧化矽、碳化矽或其類似材料等形成。蝕刻停止層16也可以是由多個介電層形成的複合層。例如,蝕刻停止層16可以包括金屬氧化物層、在金屬氧化物層上方的金屬氮化物層,並且可以包括或可以不包括在金屬氮化物層上方的金屬氮氧化物層或金屬碳氮化物層。
介電層18例如可以由使用四乙氧基矽烷(Tetra Ethyl Ortho Silicate,TEOS)做為前驅物的化學氣相沉積(CVD)法沉積的氧化矽形成。根據其他實施例,介電層18可以使用PSG、BSG、BPSG、未摻雜的矽玻璃(USG)、氟矽玻璃(FSG)、SiOCH、可流動氧化物、多孔氧化物或其類似材料等或其組合形成。介電層18也可以由例如介電常數值小於約3.0的低介電常數介電材料形成。
形成導孔24在介電層18中並且穿過蝕刻停止層16。根據本揭露的一些實施例,導孔24包括導電阻擋層20和在導電阻擋層20的底部上方的導電區域22。導電阻擋層20可以由鈦、氮化鈦、鉭、氮化鉭、鈷或其類似材料等形成。導電區域22可以由例如銅、鋁、鎢、鈷等或其類似材料的金屬或這些金屬的合金形成。導孔24的形成可以包括:蝕刻介電層18和蝕刻停止層16以形成導孔開口;形成延伸到導孔開口的毯覆導電阻擋層;在毯覆導電阻擋層上沉積金屬材料以及執行平坦化製程,其中平坦化製程例如是化學機械研磨(Chemical Mechanical Polish,CMP)製程或機械研磨製程,以去除毯覆導電阻擋層和金屬材料的多餘部分。
接著,形成底部電極層、磁穿隧接面堆疊及頂部電極層。在第13圖所示的製程流程200中,各個製程以步驟202表示。進一步參考第1圖,沉積底部電極層26。根據本揭露的一些實施例,形成底部電極層26以作為毯覆層,並且可以使用CVD、物理氣相沉積(PVD)、電化學電鍍(ECP)、無電鍍等或其類似方法形成。底部電極層26的材料可以包括銅、鋁、鈦、鉭、鎢、鉑、鎳、鉻、釕、氮化鈦、氮化鉭、或其組合和/或其多層。例如,底部電極層26可以包括鈦層和在鈦層之上的銅層。
在底部電極層26上,形成磁穿隧接面層34。根據本揭露的一些實施例,磁穿隧接面層34包括底部磁性電極層28、在底部磁性電極層28上方的穿隧能障層30和在穿隧能障層30上方的頂部磁性電極層32。磁性電極層28可包括釘扎層28A和在釘扎層28A之上並與其接觸的被釘扎層28B。頂部磁性電極層32可以包括自由層。層28、30和32中相鄰的層也可以彼此物理接觸。底部磁電極層28、穿隧能障層30和頂部磁性電極層32可以使用一種或多種沉積方法例如CVD、PVD、ALD或其類似方法等來沉積。
釘扎層28A可以由包括錳(Mn)和另一種(多種)金屬的金屬合金形成,其中所述金屬例如是鉑(Pt)、銥(Ir)、銠(Rh)、鎳(Ni)、鈀(Pd)、鐵( Fe)、鋨(Os)或其類似物等。因此,釘扎層28A可以由PtMn、IrMn、RhMn、NiMn、PdPtMn、FeMn、Os、Mn或其類似物等形成。被釘扎層28B可以由具有比頂部磁性電極層32更大的矯頑力場(coercivity field)的鐵磁材料形成,並且可以由例如鈷鐵(CoFe)、鈷鐵硼(CoFeB)或其類似物等的材料形成。根據一些實施例,被釘扎層28B具有人工鐵磁(SFM)結構,其中磁性層之間的耦合是鐵磁耦合。磁性電極層28還可以採用人工反鐵磁(SAF)結構,該結構包括由多個非磁性間隔層隔開的多個磁性金屬層。前述磁性金屬層可以由鈷、鐵、鎳或其類似物等形成。非磁性間隔層可以由銅、釕、銥、鉑、鎢、鉭、鎂或其類似物等形成。例如,磁性電極層28可以具有鈷層和在鈷層之上的重複的(鉑/鈷)x 層,其中x表示重複數,並且x可以是等於或大於1的任何整數。
穿隧能障層30可以由MgO、AlO、AlN或其類似物等形成。穿隧能障層30具有的厚度可以在大約0.5 nm至3 nm之間的範圍內。
頂部磁性電極層32可以由例如CoFe、NiFe、CoFeB、CoFeBW或其類似物等鐵磁材料形成。頂部磁性電極層32也可以採用類似於SAF結構的人工鐵磁結構,其中,調整間隔層的厚度以達到分隔的磁性金屬之間的鐵磁耦合,即使磁矩沿相同方向耦合。頂部磁性電極層32的磁矩是可程式控制的(programmable),而得到的磁穿隧接面單元的電阻因此在高電阻和低電阻之間改變。可以理解的是,磁穿隧接面層34的材料和結構可以具有許多變化,這也在本揭露的範圍內。例如,層28A、28B、30和32可以與第1圖所示相反的順序形成。因此,自由層可以是磁穿隧接面層34的底層,而釘扎層可以是頂層。
形成頂部電極層36在磁穿隧接面層34上方。根據本揭露的一些實施例,頂部電極層36形成為毯覆層,並且可以使用CVD、PVD、ECP、無電鍍或其類似方法等形成。頂部電極層36的材料可以包括鋁、鈦、鉭、鎢或其類似物等,其合金及/或其多層。頂部電極層36可以在隨後的磁穿隧接面層的圖案化中用作硬遮罩,並且可以包括由TiN、Ta、TaN、Ti、Ru、W、Si、其合金及/或其多層形成的導電層。頂部電極層還可以包括在導電層上方的介電層,並且介電層可以包括TiO、TEOS氧化物、非晶碳、光阻劑或其他合適的材料。
在頂部電極層36上形成三層,其包括底層38(bottom layer/under layer)、底層38上方的中間層40及中間層40上方的頂層42。根據本揭露的一些實施例,底層38由光阻劑形成。此外,底層38是交聯的(cross-linked),因此不同於用於曝光的典型光阻劑。當頂層42被曝光時,底層38可用作底部抗反射層(Bottom Anti-Reflective Coating,BARC)。
中間層40可以由包括矽和氧的材料形成,例如可以是SiON,而其他類似的材料也可以使用。頂層42由光阻劑形成。頂層42被塗佈為毯覆層,然後使用微影遮罩在微影製程中圖案化。在晶圓10的俯視圖中,頂層42殘留的部分可以配置成陣列。
在隨後的步驟中,使用圖案化的頂層42作為蝕刻遮罩,以蝕刻及圖案化下方的中間層40和底層38。圖案化的頂層42可以在蝕刻製程中被耗盡。得到的結構如第2圖所示。在隨後的步驟中,圖案化的中間層40和底層38用作蝕刻遮罩以蝕刻下面的頂部電極層36,以形成頂部電極36’。 在第13圖所示的製程流程200中,各個製程以步驟204表示。得到的頂部電極36’如第3圖所示。前述的蝕刻方法可以包括電漿蝕刻法,其可以包括反應式離子束蝕刻(Ion Beam Etching,IBE)。蝕刻可以使用輝光放電電漿(Glow Discharge Plasma,GDP)、電容耦合電漿(Capacitive Coupled Plasma,CCP)、感應耦合電漿(Inductively Coupled Plasma,ICP)等或其類似方法來實施。在蝕刻製程之後,除去底層38和中間層40殘留的部分(如果有殘留的話,第2圖)。
根據本揭露的一些實施例,在頂部電極層36和下面的層的蝕刻中可以使用反應離子蝕刻(Reactive Ion Etching,RIE),而不是離子束蝕刻(IBE)。RIE是通過與形成保護層不同的工具來執行的,這將在接下來的段落中進行討論。
在隨後的製程步驟中,執行多個蝕刻製程和鈍化製程,如第4圖至第9圖所示。根據本揭露的一些實施例,如第4圖至第9圖所示的製程是在同一蝕刻室中原位執行,該蝕刻室是在真空狀態下的真空室。蝕刻室在第4圖中示意性地圖示為室39,但在第5圖至第9圖中未示出,儘管這些製程也可以在蝕刻室39中執行。此外,在這些製程中可以不用破真空。換句話說,從第4圖所示的製程開始到第9圖所示的製程結束,可以不用破真空。更確切地說,從一種製程到另一種製程的改變可以通過調整製程條件來達成,所述製程條件例如是改變製程氣體(及/或調整製程氣體的流量)及調整功率/電壓。當使用離子束蝕刻時,調整後的功率/電壓可以包括電源功率(有時稱為線圈功率)。如果將離子束蝕刻用於蝕刻,則調整後的功率/電壓還可以包括束加速器電壓(柵極電壓/grid voltage);如果將反應離子蝕刻用於蝕刻,則調整後的功率/電壓也可以包括偏壓。根據其他實施例,在這些製程之間可以有破真空,並且這些製程中的一些製程可以在不同於蝕刻室39的其他製程室中執行。
做為多個蝕刻製程和鈍化製程的初始製程,首先將如第3圖所示的晶圓10放入蝕刻室39(第4圖)。接著,使用頂部電極36’作為蝕刻遮罩來蝕刻磁性電極層32。在第13圖所示的製程流程200中,各個製程以步驟206表示。蝕刻可以使用反應式離子束蝕刻來執行,所述反應式離子束蝕刻可以涉及GDP、ICP、CCP等。做為蝕刻製程的結果,磁性電極層32被蝕刻穿透,從而形成磁性電極32’。在蝕刻磁性電極層32之後,對穿隧能障層30進行蝕刻以形成穿隧能障30’。在第13圖所示的製程流程200中,各個製程也以步驟206表示。根據本揭露的一些實施例,穿隧能障層30是在與蝕刻磁性電極層32相同的製程中蝕刻,並使用與蝕刻磁性電極層32相同的蝕刻氣體來蝕刻穿隧能障層30。根據替代的實施例,可以使用與蝕刻磁性電極層32不同的蝕刻氣體來蝕刻穿隧能障層30。
根據本揭露的一些實施例,蝕刻製程氣體包括氬、氪、氖、氧、氙、氦、甲醇或其組合。根據本揭露的一些實施例,如果使用離子束蝕刻,使用大約200瓦至1500瓦之間的範圍內的電源功率來執行蝕刻,或者如果使用反應離子蝕刻,則使用大約900瓦至2000瓦之間的範圍內的電源功率來執行蝕刻。如果使用反應離子蝕刻,偏壓可以在大約50伏特至1500伏特之間的範圍內。如果使用離子束蝕刻,則柵極電壓也可以在大約50伏特至1500伏特之間的範圍內。
在蝕刻穿隧能障層30之後,暴露出磁性電極層28。根據本揭露的一些實施例,一旦磁性電極層32被完全蝕刻且穿隧能障層30被蝕刻穿透,就控製製程停止。根據本揭露的替代實施例,控制製程以使得磁性電極層32被略微蝕刻,使側壁的一小部分被暴露。由於製程變化或由於預期的光蝕刻,磁性電極層28可能會稍微凹陷。根據本揭露的一些實施例,磁性電極層28中的凹陷深度D1小於約3 nm,並且可以在大約0 nm至5 nm之間的範圍內。
在蝕刻磁性電極層32和穿隧能障層30之後,改變製程條件以在暴露的部件上執行第一鈍化製程。因此,第一保護層46形成在包括磁性電極層28、穿隧能障30’、磁性電極32’和頂部電極36’等暴露部件的暴露表面上。在第13圖所示的製程流程200中,各個製程以步驟208表示。根據本揭露的一些實施例,第一鈍化製程在與蝕刻磁性電極層32及穿隧能障層30相同的製程室中執行,而其間沒有破真空。用於鈍化的製程氣體可以包括含氧氣體、含氮氣體、含碳氣體或這些製程氣體的組合。例如,製程氣體可以包括氧(O2 )、氮(N2 )、一氧化碳(CO)、二氧化碳(CO2 )、甲醇(CH3 OH)或其組合中的一種或多種。製程氣體還可包括載流氣體,例如氬、氖、氪、氙、氦或其類似物等,或它們的組合。
第一鈍化製程可以使用類似於蝕刻製程的製程來執行,不同之處在於將偏功率調節為非常低,從而蝕刻效果低,而形成保護層46。電漿由製程氣體產生,從而導致氧化製程、氮化製程和碳酸化製程中的至少一種或多種發生,其取決於製程氣體是否分別包括氧氣、氮氣和碳。根據本揭露的一些實施例,使用離子束蝕刻製程(及工具),且第一鈍化製程在束加速器電壓在大約50伏特至200伏特之間的範圍內執行。偏能量不能太低或太高。如果偏能量太低,則鈍化製程太慢,而如果偏能量太高,則可能會轟擊所形成的保護層(如果有的話),並且可能會被轟擊除去。根據本揭露的一些實施例,將偏能量調為大約50 eV至200 eV之間的範圍。傾斜地進行第一鈍化,以使堆疊層的側壁部分被鈍化。離子可以傾斜角α1轟擊晶圓10。傾斜角α1可以大於約20度,並且可以在大約10度至45度之間的範圍內。傾斜的第一鈍化可以從相反的方向執行,如第5圖所示的箭頭48所示。此外,如果從晶圓10的上方觀看,則傾斜的鈍化可以包括4個傾斜的鈍化製程,每個鈍化製程在一個方向傾斜。例如,假設在與晶圓10的主要上表面平行的平面中,所示的2個傾斜鈍化製程在+X和-X方向上傾斜,則其他2個傾斜鈍化製程可以在+Y和-Y方向上執行(未顯示)。+Y和-Y方向在晶圓10的俯視圖中垂直於+X和-X方向。例如,這可以通過使放置晶圓10的靜電夾盤傾斜來實現,及旋轉靜電夾盤,因此可以從包括+X、-X、+Y和-Y方向在內的所有傾斜方向達成傾斜鈍化。還可以添加額外的傾斜植入物以在+X、-X、+Y和-Y方向上進行佈植(但不在其他方向上),而晶圓在額外的傾斜佈植過程中不會旋轉。
得到的保護層46是介電層,其由於相應的製程氣體與暴露的部件28、30’、32’和36’的表面層的反應而形成,該表面層被氧化、氮化、及/或碳化,分別取決於製程氣體是否包括氧氣、氮氣和碳。保護層46的成分例如可以使用能量色散X射線譜(Energy-dispersive X-ray spectroscopy,EDX)、電子能量損失譜(Electron energy-loss spectroscopy,EELS)、歐傑電子能譜(Auger Electron Spectroscopy,AES)等或其類方法來確定。保護層46在磁性電極層28的表面上的部分可以包括磁性電極層28中的元素(例如鉑、錳、銥、銠、鎳、鈀、鐵、鈷或硼)及氧、氮、碳或其類似物等中的一種或多種。保護層46在穿隧能障30’的表面上的部分可以包括穿隧能障30’中的元素(例如鋁或鎂)及氧、氮、碳或其類似物等中的一種或多種。保護層46在磁性電極32’的表面上的部分可以包括磁性電極層32中的元素(例如鐵,鈷或硼)及氧、氮、碳或其類似物等中的一種或多種。保護層46在頂部電極36’的表面上的部分可以包括頂部電極36’中的元素(例如銅、鎢、鈦、鉭或其類似物等)及氧、氮、碳或其類似物等中的一種或多種。因此,儘管圖示為一連續層,但保護層46在不同部分可以具有不同的成分。根據一些實施例,保護層46的厚度T1可以在大約0.5 nm至3 nm之間的範圍內。
接著,蝕刻底部磁性電極層28,並形成底部磁性電極28’。得到的結構如第6圖所示。在第13圖所示的製程流程200中,各個製程以步驟210表示。因此,形成多個磁穿隧接面34’,每個磁穿隧接面34’包括底部磁性電極28’和對應的上覆穿隧能障30’及頂部磁性電極32’。保護層46的水平部分也在相應的蝕刻製程中被蝕刻。然後暴露出底部電極層26。蝕刻可以使用離子束蝕刻製程(例如反應離子蝕刻製程)來執行。根據本揭露的一些實施例,蝕刻製程氣體包括氬、氪、氖、氧、氙、氦、甲醇或其組合。根據本揭露的一些實施例,蝕刻利用在大約200瓦及1500瓦之間的範圍內的主功率(用於產生電漿)執行。偏能量可以在大約50 eV至1500 eV之間的範圍內。
蝕刻可能引起磁性電極層28的金屬材料的反濺射,而反濺射的材料可能沉積在保護層46的表面上。反濺射的材料在第6圖中以52示意性地示出。可以理解的是,保護層46的形成有利地防止了反濺射的金屬材料沉積在標記為50的區域中。若反濺射的金屬材料沉積在區域50中,反濺射的金屬材料的這些部分可能會使磁性電極28’與對應的上覆磁性電極32’短路,導致所形成的磁穿隧接面退化,甚至可能導致故障,因為所形成的磁穿隧接面34’的電阻將始終低於其應有的電阻。隨著保護層46的形成,金屬材料的反濺射部分不會讓磁性電極28’與對應的上覆磁性電極32’短路。從而提高了形成的磁穿隧接面34’的可靠性。
第7圖示出了在第二鈍化製程中第二保護層54的形成,其中第二鈍化製程由箭頭60示出。在第13圖所示的製程流程200中,各個製程以步驟212表示。所形成的保護層54包括在底部電極層26的暴露部分、磁性電極28’的側壁、穿隧能障30’的側壁以及頂部電極36’的側壁及上表面上的部分。可以理解的是,儘管保護層54及46是以分離的層表示,但是由於在第二保護層中添加的元素可以擴散到保護層46中,所以這兩個層可以合併。此外,由於在第二鈍化製程中引入的元素可以與在第一鈍化製程中引入的元素相同(或不同),因此保護層46和54可以區分或不可以區分,而通過分析其成分可以得知。產生的效果是,保護層46和54的頂部的組合厚度T2大於單獨的保護層46的厚度T1。根據本揭露的一些實施例,厚度T2在大約1 nm及5 nm之間的範圍內。保護層54的下方部分具有厚度T3,其僅是保護層54的厚度,並且厚度T3可以在約0.5 nm至約3 nm之間的範圍內。在第二鈍化製程中,反濺射的材料52(第6圖)也將轉變為介電材料,並形成保護層54的一部分。此外,厚度T2大於厚度T1及T3。根據本揭露的一些實施例,厚度差(T2-T1)大於約2 nm,並且可以在大約0.5 nm與2 nm之間的範圍內。
第二鈍化製程中的製程氣體可以選自用於第一鈍化製程的同一組候選氣體。另外,第二鈍化製程的製程氣體儘管是選自同一組的候選製程氣體,但是可與第一鈍化製程中使用的製程氣體相同或不同。例如,在第一鈍化製程中,可以使用更多的氧氣,並且製程氣體可以比第一鈍化製程具有更少的氮,或者可以不含氮。第二鈍化製程的製程條件也可以類似於第一鈍化製程,例如具有相似的主功率範圍和相似的偏能量範圍。第二鈍化製程也可以是傾斜的,使用的傾斜角α2在與傾斜角α1(第5圖)相似的範圍內。
在隨後的製程中,蝕刻底部電極層26以形成底部電極26’。得到的結構如第8圖所示。在第13圖所示的製程流程200中,各個製程以步驟214表示。保護層54的水平部分也在相應的蝕刻製程中被蝕刻。介電層18因此被暴露。蝕刻可以使用離子束蝕刻製程(例如反應離子蝕刻製程)來執行。根據本揭露的一些實施例,蝕刻製程氣體包括氬、氪、氖、氧、氙、氦、甲醇或其組合。根據本揭露的一些實施例,蝕刻利用在大約200瓦至1500瓦之間的範圍內的主功率(用於產生電漿)執行。偏能量可以在大約50 eV至1500 eV之間的範圍內。
底部電極層26的蝕刻包括主蝕刻製程,在主蝕刻製程中底部電極層26被蝕刻穿透且介電層18的至少一些部分被暴露。當偵測到介電層18中的元素的信號時,執行過蝕刻(或修剪),其可以使用時間模式(蝕刻預定的一段時間)來執行。在底部電極層26的蝕刻中,底部電極層26的材料也可能會反濺射。隨著保護層46及54的形成,反濺射的材料將不會接觸磁穿隧接面34’,因此不會讓磁穿隧接面34’退化。做為過蝕刻製程的結果,介電層18可以凹陷化,從而形成凹陷56。
第9圖示出了根據本揭露的一些實施例的第三鈍化製程76,其形成保護層58。在第13圖所示的製程流程200中,各個製程以步驟216表示。根據本揭露的其他實施例,第三鈍化製程被跳過,且隨後形成的介電蓋層62(第12A圖)與底部電極26’的側壁接觸。因此,保護層58使用虛線繪示以表示其可以形成或可以不形成。形成的保護層58包括在底部電極26’的暴露部分、磁性電極28’的側壁以及頂部電極36’的側壁及上表面上的部分。可以理解的是,儘管將保護層58、54和46圖示為單獨的層,但是由於添加到第三保護層中的元素也會擴散到保護層46及54中,所以這些層可以合併。產生的效果是,保護層58、46和54的上方部分結合在一起的厚度T4大於厚度T2(第7圖)。根據本揭露的一些實施例,厚度T4在大約1.5 nm與7 nm之間的範圍內。保護層54和46的下方部分結合起來具有厚度T5,該厚度可以在大約1 nm與5 nm之間的範圍內。保護層58的下方部分具有厚度T6,其可以在大約0.5 nm與3 nm之間的範圍內。此外,厚度T4大於厚度T5,厚度T5更大於單獨保護層58的厚度T6。根據本揭露的一些實施例,厚度差(T4-T5)大於約0.5 nm,並且可以在大約0.5 nm與2 nm之間的範圍內。厚度差(T5-T6)大於約0.5 nm,並且可以在大約0.5 nm與3 nm之間的範圍內。厚度差(T4-T6)大於約1 nm,並且可以在大約1 nm與5 nm之間的範圍內。
用於第三鈍化製程的製程氣體可以選自用於第一及第二鈍化製程的同一組候選氣體。另外,第三鈍化製程的製程氣體儘管是選自與第一鈍化製程和第二鈍化製程相同的一組候選製程氣體,但是可與第一鈍化製程及/或第二鈍化製程中使用的製程氣體相同或不同。
根據替代的實施例,跳過第二鈍化製程,並執行第三鈍化製程,以替代執行第二鈍化製程及第三鈍化製程兩者。因此,在第三鈍化製程開始時,磁性電極28’和底部電極26’的側壁都暴露,因此形成的保護層58與磁性電極28’和底部電極26’的側壁都接觸。根據一些實施例,可以僅形成保護層46、54和58中的一個、形成所有三個保護層46、54和58或者以任何組合形成保護層46、54和58中的兩個。
如前所述,第4圖至第9圖所示的製程可以在同一蝕刻室中進行,而其間沒有破真空。根據替代的實施例,這些製程中的一些或全部可以在不同的製程室中進行,且它們之間有破真空。在隨後的製程中,晶圓10可以從蝕刻室中移出,該蝕刻室中執行如第4圖至第9圖所示的製程。由於第三鈍化製程可以被執行或可以不被執行,因此當從蝕刻室取回晶圓10時,底部電極26’的側壁可以被保護層58覆蓋或可以被暴露。
根據一些實施例,第10圖示出了介電蓋層62的形成。在第13圖所示的製程流程200中,各個製程以步驟218表示。根據本揭露的一些實施例,介電蓋層62由氮化矽、氮氧化矽或其類似物等形成。形成製程可以是CVD製程、ALD製程、電漿增強CVD(PECVD)製程或其類製程等。介電蓋層62具有保護磁穿隧接面34’及其上覆和下覆電極的功能,避免被例如化學藥品和濕氣等有害物質退化。根據替代的實施例,保護層46、54和58足夠緻密且足夠厚,並且足以防止有害物質到達磁穿隧接面34’,因此可以省略介電蓋層62。例如,當如第9圖中的厚度T4、T5和T6大於約2 nm時,不形成介電蓋層62。因此,介電蓋層62使用虛線圖示,以指示其可以形成或可以不形成。省略介電蓋層62的有利特點是為後續的間隙填充製程(如第11圖所示)留出更多空間,且間隙填充更可靠地完全填充間隙。這也導致進一步縮小MRAM單元的節距的可能性。
第11圖示出了間隙填充製程,其中將介電材料64填充到磁穿隧接面34’之間的間隙中。在第13圖所示的製程流程200中,各個製程以步驟220表示。介電材料64可以是TEOS氧化物、PSG、BSG、BPSG、USG、FSG、SiOCH、可流動氧化物、多孔氧化物或其類似物等或它們的組合。介電材料64也可以由低介電常數介電材料形成。形成方法可以包括CVD、PECVD、ALD、FCVD、旋轉塗佈等或其類似方法。在間隙填充製程之後,可以執行例如CMP製程或機械研磨製程等平坦化製程。平坦化製程可以停止於介電蓋層62的頂表面或頂部電極36’的頂表面。由此形成磁阻式隨機存取記憶體(MRAM)單元100。
第12A圖示出了在形成導電部件72之後的結構,導電部件72可以是導孔、導電線(例如字線或位線)或其類似物等。根據本揭露的一些實施例,導電部件72包括阻擋層68和在阻擋層68上方的導電區域70。導電阻擋層68可以由鈦、氮化鈦、鉭、氮化鉭、鈷或其類似物等形成。導電區域70可以由例如銅、鋁、鎢、鈷或其類似物等金屬或這些金屬的合金形成。導電部件72形成在介電層74中。導電部件72穿透頂部電極36’(如果還有剩餘)的電介質部分(如果有的話)以接觸頂部電極36’的導電部分。
根據替代實施例,第12B圖示出了磁阻式隨機存取記憶體單元100。這些實施例與第12A圖所示的實施例相似,除了沒有形成如第12A圖所示的介電蓋層62,因此介電層64與保護層54或58接觸(取決於哪個鈍化製程被跳過或不被跳過)。
本揭露的實施例具有一些有利特徵。通過在磁穿隧接面形成製程的某些圖案化步驟中形成保護層,在某些層的蝕刻製程中發生的反濺射不會影響磁阻式隨機存取記憶體單元的可靠性。保護層可以形成在用於蝕刻製程的同一製程室中,因此製造成本低。此外,形成的保護層可以是緻密且薄的,因此不需要形成介電層來保護磁阻式隨機存取記憶體單元,從而使得間隙填充製程的難度降低,並且磁阻式隨機存取記憶體陣列的進一步縮小成為可能。
根據本揭露的一些實施例,一種方法,包括:形成多個磁穿隧接面(Magnetic Tunnel Junction,MTJ)堆疊層,包括:沉積底部電極層;沉積底部磁性電極層於底部電極層上;沉積穿隧能障層於底部磁性電極層上;沉積頂部磁性電極層於穿隧能障層上;以及沉積頂部電極層於頂部磁性電極層上;圖案化多個磁穿隧接面堆疊層以形成磁穿隧接面;以及執行第一鈍化製程於磁穿隧接面的側壁上以形成第一保護層,其中第一鈍化製程包括使磁穿隧接面的多個側壁表面部分與製程氣體反應,製程氣體包括選自於由氧、氮、碳及其組合所組成的群組的元素。在一實施例中,圖案化多個磁穿隧接面堆疊層包括:蝕刻頂部電極層、頂部磁性電極層和穿隧能障層,其中第一鈍化製程是執行於頂部電極層、頂部磁性電極層和穿隧能障層被蝕刻之後,及至少大部分底部磁性電極層被蝕刻之前。在一實施例中,在第一鈍化製程之後,蝕刻底部磁性電極層;以及執行第二鈍化製程以形成第二保護層,其中第二保護層在底部磁性電極層的剩餘部分的側壁上延伸。在一實施例中,在第二鈍化製程之後,蝕刻底部電極層;以及執行第三鈍化製程以形成第三保護層,其中第三保護層在底部電極層的剩餘部分的側壁上延伸。在一實施例中,圖案化多個磁穿隧接面堆疊層是在蝕刻室中執行,第一鈍化製程是在蝕刻室中執行。在一實施例中,圖案化多個磁穿隧接面堆疊層和第一鈍化製程是在原位執行,其間沒有破真空。在一實施例中,第一鈍化製程包括使用製程氣體的多個傾斜處理,多個傾斜處理是在相反方向上傾斜。在一實施例中,製程氣體是選自於由氧(O2)、氮(N2)、一氧化碳(CO)、二氧化碳(CO2)、甲醇(CH3OH)及其組合所組成的群組,其中在第一鈍化製程中開啟電漿,及施加偏功率。在一實施例中,沉積毯覆介電層,其中毯覆介電層包括在第一保護層的相對側上的多個部分;以及沉積間隙填充介電材料於毯覆介電層上。在一實施例中,沉積間隙填充介電材料,使用間隙填充介電材料和磁穿隧接面之間的沉積不形成毯覆介電質。
根據本揭露的一些實施例,一種方法,包括:蝕刻頂部電極層以形成頂部電極;蝕刻頂部電極層下面的頂部磁性電極層以形成頂部磁性電極;蝕刻頂部磁性電極層下面的穿隧能障層以形成穿隧能障;執行第一鈍化製程於頂部電極、頂部磁性電極及穿隧能障的側壁上以形成第一保護層;蝕刻穿隧能障下面的底部磁性電極層以形成底部磁性電極;以及執行第二鈍化製程於底部磁性電極的側壁上以形成第二保護層,其中第一鈍化製程和第二鈍化製程中的每一個包括選自於由氧化製程、碳化製程、氮化製程及其組合所組成的群組的製程。在一實施例中,第一鈍化製程包括氧化頂部電極、頂部磁電極和穿隧能障的表面部分以形成金屬氧化物。在一實施例中,第一鈍化製程包括氮化頂部電極、頂部磁性電極和穿隧能障的表面部分以形成金屬氮化物。在一實施例中,第一鈍化製程包括碳化頂部電極、頂部磁性電極和穿隧能障的表面部分以形成金屬碳化物。在一實施例中,蝕刻底部磁性電極下面的底部電極層以形成底部電極;以及執行第三鈍化製程於底部電極的側壁上以形成第三保護層。在一實施例中,當執行第二鈍化製程時,暴露第一保護層。
根據本揭露的一些實施例,一種裝置,包括:磁穿隧接面(Magnetic Tunnel Junction,MTJ)堆疊,包括:底部電極;底部磁性電極,位於底部電極的上方;穿隧能障,位於底部磁性電極的上方;頂部磁性電極,位於穿隧能障的上方;以及頂部電極,位於頂部磁性電極的上方;介電保護層,位於磁穿隧接面的側壁上,其中介電保護層包括:第一部分,位於頂部磁性電極的側壁上,其中第一部分包括頂部磁性電極和多個附加元素的材料;第二部分,位於穿隧能障的側壁上,其中第二部分包括穿隧能障和多個附加元素的材料;以及第三部分,位於底部磁性電極的頂部的側壁上,其中第三部分包括底部磁性電極和多個附加元素的材料。在一實施例中,介電保護層還包括位於底部磁性電極的中間部分和底部的側壁上的第四部分,其中第四部分的厚度小於第一部分及第二部分的厚度。在一實施例中,介電保護層還包括位於底部電極的側壁上的第五部分,其中第五部分的厚度小於第四部分的厚度。在一實施例中,多個附加元素是選自於由氧、碳、氮及其組合所組成的群組。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
10:晶圓 100:磁阻式隨機存取記憶體單元 12:介電層 14:部件 16:蝕刻停止層 18:介電層 20:導電阻擋層 200:製程流程 202~220:步驟 22:導電區域 24:導孔 26:底部電極層 26’:底部電極 28:磁性電極層 28’:磁性電極 28A:釘扎層 28B:被釘扎層 30:穿隧能障層 30’:穿隧能障 32:磁性電極層 32’:磁性電極 34:磁穿隧接面層 34’:磁穿隧接面 36:頂部電極層 36’:頂部電極 38:底層 39:室 40:中間層 42:頂層 46:保護層 48:箭頭 50:區域 52:反濺射的材料 54:保護層 56:凹陷 58:保護層 60:箭頭 62:介電蓋層 64:介電層 68:阻擋層 70:導電區域 72:導電部件 74:介電層 76:第三鈍化製程 α1:傾斜角 α2:傾斜角 D1:凹陷深度 T1~T6:厚度
藉由以下的詳細描述配合所附圖式,可以更加理解本揭露實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地放大或縮小。 第1圖、第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11圖到第12A圖係根據一些實施例所繪示出一些磁阻式隨機存取記憶體單元形成的中間階段的剖面圖。 第12B圖係根據一些實施例所繪示出一些磁阻式隨機存取記憶體單元的剖面圖。 第13圖係根據一些實施例所繪示出用於形成磁阻式隨機存取記憶體單元的製程流程。
10:晶圓
12:介電層
14:部件
16:蝕刻停止層
18:介電層
24:導孔
26:底部電極層
28:磁性電極層
30’:穿隧能障
32’:磁性電極
36’:頂部電極
46:保護層
48:箭頭
T1:厚度
α1:傾斜角

Claims (15)

  1. 一種半導體裝置之製造方法,包括:形成多個磁穿隧接面(Magnetic Tunnel Junction,MTJ)堆疊層,包括:沉積一底部電極層;沉積一底部磁性電極層於該底部電極層上;沉積一穿隧能障層於該底部磁性電極層上;沉積一頂部磁性電極層於該穿隧能障層上;以及沉積一頂部電極層於該頂部磁性電極層上,其中該頂部電極層包括一第一材料;圖案化該多個磁穿隧接面堆疊層以形成一磁穿隧接面;以及執行一第一鈍化製程於該磁穿隧接面的一側壁上以形成一第一保護層,其中該第一鈍化製程包括使該磁穿隧接面的多個側壁表面部分與一製程氣體反應,該製程氣體包括選自於由氧、氮、碳及其組合所組成的群組的元素,且其中該第一保護層包括接觸該多個磁穿隧接面堆疊層的一內表面及與該內表面相對的一外表面,且在該第一保護層的一第一部分中,該第一材料從該內表面延伸至該外表面。
  2. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中圖案化該多個磁穿隧接面堆疊層包括:蝕刻該頂部電極層、該頂部磁性電極層和該穿隧能障層,其中該第一鈍化製程是執行於該頂部電極層、該頂部磁性電極層和該穿隧能障層被蝕刻之後,及至少大部分該底部磁性電極層被蝕刻之前。
  3. 如申請專利範圍第2項所述之半導體裝置之製造方法,更包括:在該第一鈍化製程之後,蝕刻該底部磁性電極層;以及執行一第二鈍化製程以形成一第二保護層,其中該第二保護層延伸於該底 部磁性電極層的一剩餘部分的側壁上。
  4. 如申請專利範圍第3項所述之半導體裝置之製造方法,更包括:在該第二鈍化製程之後,蝕刻該底部電極層;以及執行一第三鈍化製程以形成一第三保護層,其中該第三保護層在該底部電極層的一剩餘部分的側壁上延伸。
  5. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中圖案化該多個磁穿隧接面堆疊層是在一蝕刻室中執行,該第一鈍化製程是在該蝕刻室中執行,圖案化該多個磁穿隧接面堆疊層和該第一鈍化製程是在原位執行,其間沒有破真空。
  6. 如申請專利範圍第1項至第5項中任一項所述之半導體裝置之製造方法,其中該第一鈍化製程包括使用該製程氣體的多個傾斜處理,該多個傾斜處理是在相反方向上傾斜。
  7. 如申請專利範圍第1項至第5項中任一項所述之半導體裝置之製造方法,更包括:沉積一毯覆介電層,其中該毯覆介電層包括在該第一保護層的相對側上的多個部分;以及沉積一間隙填充介電材料於該毯覆介電層上。
  8. 如申請專利範圍第1項至第5項中任一項所述之半導體裝置之製造方法,更包括:沉積一間隙填充介電材料,使用該間隙填充介電材料和該磁穿隧接面之間的沉積不形成毯覆介電質。
  9. 一種半導體裝置之製造方法,包括:蝕刻一頂部電極層以形成一頂部電極,其中該頂部電極包括一第一材料;蝕刻該頂部電極層下面的一頂部磁性電極層以形成一頂部磁性電極; 蝕刻該頂部磁性電極層下面的一穿隧能障層以形成一穿隧能障;執行一第一鈍化製程於該頂部電極、該頂部磁性電極及該穿隧能障的側壁上以形成一第一保護層,其中該第一保護層包括接觸該頂部磁性電極的一內表面及與該內表面相對的一外表面,且在該第一保護層的一第一部分中,該第一材料從該內表面延伸至該外表面;蝕刻該穿隧能障下方的一底部磁性電極層以形成一底部磁性電極;以及執行一第二鈍化製程於該底部磁性電極的側壁上以形成一第二保護層,其中該第一鈍化製程和該第二鈍化製程中的每一個包括選自於由氧化製程、碳化製程、氮化製程及其組合所組成的群組的一製程。
  10. 如申請專利範圍第9項所述之半導體裝置之製造方法,其中該第一鈍化製程包括氧化、氮化或碳化該頂部電極、該頂部磁電極和該穿隧能障的表面部分以形成一金屬氧化物。
  11. 如申請專利範圍第9項或第10項所述之半導體裝置之製造方法,更包括:蝕刻底部磁性電極下面的一底部電極層以形成一底部電極;以及執行一第三鈍化製程於該底部電極的側壁上以形成一第三保護層;其中當執行該第二鈍化製程時,暴露該第一保護層。
  12. 一種半導體裝置,包括:一磁穿隧接面(Magnetic Tunnel Junction,MTJ)堆疊,包括:一底部電極;一底部磁性電極,位於該底部電極的上方;一穿隧能障,位於該底部磁性電極的上方;一頂部磁性電極,位於該穿隧能障的上方;以及一頂部電極,位於該頂部磁性電極的上方; 一介電保護層,位於該磁穿隧接面堆疊的一側壁上,其中該介電保護層包括:一第一部分,位於該頂部磁性電極的一側壁上,其中該第一部分包括該頂部磁性電極和多個附加元素的材料;一第二部分,位於該穿隧能障的一側壁上,其中該第二部分包括該穿隧能障和該多個附加元素的材料;以及一第三部分,位於該底部磁性電極的一頂部的一側壁上,其中該第三部分包括該底部磁性電極和該多個附加元素的材料,且該介電保護層在遠離該磁穿隧接面堆疊的最外側壁具有一階梯狀輪廓。
  13. 如申請專利範圍第12項所述之半導體裝置,其中該介電保護層還包括位於該底部磁性電極的一中間部分和一底部的側壁上的一第四部分,其中該第四部分的厚度小於該第一部分及該第二部分的厚度。
  14. 如申請專利範圍第13項所述之半導體裝置,其中該介電保護層還包括位於該底部電極的一側壁上的一第五部分,其中該第五部分的厚度小於該第四部分的厚度。
  15. 如申請專利範圍第12項至第14項中任一項所述之半導體裝置,其中該多個附加元素是選自於由氧、碳、氮及其組合所組成的群組。
TW108137813A 2018-10-25 2019-10-21 半導體裝置及其製造方法 TWI730462B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/170,750 2018-10-25
US16/170,750 US10868239B2 (en) 2018-10-25 2018-10-25 Gradient protection layer in MTJ manufacturing

Publications (2)

Publication Number Publication Date
TW202036889A TW202036889A (zh) 2020-10-01
TWI730462B true TWI730462B (zh) 2021-06-11

Family

ID=70326006

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108137813A TWI730462B (zh) 2018-10-25 2019-10-21 半導體裝置及其製造方法

Country Status (5)

Country Link
US (4) US10868239B2 (zh)
KR (1) KR102354654B1 (zh)
CN (1) CN111106236B (zh)
DE (1) DE102018127580A1 (zh)
TW (1) TWI730462B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10868239B2 (en) * 2018-10-25 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient protection layer in MTJ manufacturing
CN111146334A (zh) * 2018-11-02 2020-05-12 江苏鲁汶仪器有限公司 一种磁隧道结制作方法
CN113725254B (zh) * 2020-05-25 2023-08-18 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
US11594675B2 (en) * 2020-06-04 2023-02-28 Globalfoundries Singapore Pte. Ltd. Magnetic tunnel junction structure and integration schemes
CN111864059A (zh) * 2020-07-29 2020-10-30 浙江驰拓科技有限公司 存储位元的制备方法及mram的制备方法
US11672180B2 (en) * 2020-08-11 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing
US20220140228A1 (en) * 2020-10-30 2022-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for mram devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104425706A (zh) * 2013-09-03 2015-03-18 台湾积体电路制造股份有限公司 反转的mtj堆叠件
TW201709573A (zh) * 2015-04-20 2017-03-01 蘭姆研究公司 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化
US20170125663A1 (en) * 2015-10-31 2017-05-04 Everspin Technologies, Inc. Method of Manufacturing a Magnetoresistive Stack/ Structure using Plurality of Encapsulation Layers
US20170263861A1 (en) * 2016-03-10 2017-09-14 Jeong-Heon Park Method of fabricating memory device
US20180040809A1 (en) * 2016-08-08 2018-02-08 SK Hynix Inc. Electronic device and method for fabricating the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8796795B2 (en) * 2011-08-01 2014-08-05 Avalanche Technology Inc. MRAM with sidewall protection and method of fabrication
JP2013110275A (ja) * 2011-11-21 2013-06-06 Toshiba Corp 半導体記憶装置及びその製造方法
US8748197B2 (en) * 2012-03-14 2014-06-10 Headway Technologies, Inc. Reverse partial etching scheme for magnetic device applications
JP2013201343A (ja) 2012-03-26 2013-10-03 Toshiba Corp 半導体記憶装置およびその製造方法
US9269894B2 (en) 2013-10-15 2016-02-23 Everspin Technologies, Inc. Isolation of magnetic layers during etch in a magnetoresistive device
US9564582B2 (en) 2014-03-07 2017-02-07 Applied Materials, Inc. Method of forming magnetic tunneling junctions
US9349772B2 (en) * 2014-04-25 2016-05-24 Globalfoundries Singapore Pte. Ltd. Methods for fabricatingintegrated circuits with spin torque transfer magnetic randomaccess memory (STT-MRAM) including a passivation layer formed along lateral sidewalls of a magnetic tunnel junction of the STT-MRAM
US9257642B1 (en) 2014-07-16 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Protective sidewall techniques for RRAM
US9362490B1 (en) 2015-07-09 2016-06-07 Rongfu Xiao Method of patterning MTJ cell without sidewall damage
US9905751B2 (en) * 2015-10-20 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic tunnel junction with reduced damage
US10439132B2 (en) 2017-03-20 2019-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Protective passivation layer for magnetic tunnel junctions
US10868239B2 (en) * 2018-10-25 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient protection layer in MTJ manufacturing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104425706A (zh) * 2013-09-03 2015-03-18 台湾积体电路制造股份有限公司 反转的mtj堆叠件
TW201709573A (zh) * 2015-04-20 2017-03-01 蘭姆研究公司 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化
US20170125663A1 (en) * 2015-10-31 2017-05-04 Everspin Technologies, Inc. Method of Manufacturing a Magnetoresistive Stack/ Structure using Plurality of Encapsulation Layers
US20170263861A1 (en) * 2016-03-10 2017-09-14 Jeong-Heon Park Method of fabricating memory device
US20180040809A1 (en) * 2016-08-08 2018-02-08 SK Hynix Inc. Electronic device and method for fabricating the same

Also Published As

Publication number Publication date
KR20200049440A (ko) 2020-05-08
US10868239B2 (en) 2020-12-15
CN111106236B (zh) 2023-11-10
US11856865B2 (en) 2023-12-26
US20210098695A1 (en) 2021-04-01
US20200136026A1 (en) 2020-04-30
KR102354654B1 (ko) 2022-01-24
US20220367794A1 (en) 2022-11-17
US11411176B2 (en) 2022-08-09
TW202036889A (zh) 2020-10-01
US20240099150A1 (en) 2024-03-21
CN111106236A (zh) 2020-05-05
DE102018127580A1 (de) 2020-04-30

Similar Documents

Publication Publication Date Title
TWI730462B (zh) 半導體裝置及其製造方法
KR102329021B1 (ko) 자기 터널 접합 메모리 셀에서의 금속 에칭 정지 층
US20230072420A1 (en) Magnetoresistive Random Access Memory Cell And Fabricating The Same
CN111261660B (zh) 半导体器件及其形成方法
US10825498B2 (en) Magnetic random access memory structure and manufacturing method of the same
US20230380293A1 (en) Semiconductor structure and method for forming the same
CN111613719B (zh) 一种制作磁性随机存储器单元阵列的方法
KR102437247B1 (ko) 자기 터널 접합 디바이스 및 방법
US11882769B2 (en) Magnetoresistive random access memory structure and method of manufacturing the same
US11917923B2 (en) Magnetoresistive random access memory structure
US20230138005A1 (en) Magnetoresistive Random-Access Memory (MRAM) Structure For Improving Process Control And Method Of Fabricating Thereof
CN112864311A (zh) 半导体器件及其形成方法
CN115666206A (zh) 半导体结构及其制造方法、半导体存储器