TWI728530B - 半導體裝置及其形成方法 - Google Patents
半導體裝置及其形成方法 Download PDFInfo
- Publication number
- TWI728530B TWI728530B TW108138979A TW108138979A TWI728530B TW I728530 B TWI728530 B TW I728530B TW 108138979 A TW108138979 A TW 108138979A TW 108138979 A TW108138979 A TW 108138979A TW I728530 B TWI728530 B TW I728530B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- spacer
- protective layer
- forming
- dielectric layer
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 213
- 239000004065 semiconductor Substances 0.000 title claims abstract description 74
- 125000006850 spacer group Chemical group 0.000 claims abstract description 164
- 239000010410 layer Substances 0.000 claims description 413
- 239000011241 protective layer Substances 0.000 claims description 146
- 238000005530 etching Methods 0.000 claims description 69
- 239000000463 material Substances 0.000 claims description 69
- 229910052799 carbon Inorganic materials 0.000 claims description 34
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 33
- 238000000151 deposition Methods 0.000 claims description 33
- 229910052796 boron Inorganic materials 0.000 claims description 25
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 24
- 230000005669 field effect Effects 0.000 description 79
- 239000000758 substrate Substances 0.000 description 37
- 238000004519 manufacturing process Methods 0.000 description 33
- 238000010586 diagram Methods 0.000 description 32
- 239000007789 gas Substances 0.000 description 28
- 238000002955 isolation Methods 0.000 description 26
- 230000008021 deposition Effects 0.000 description 24
- 239000011229 interlayer Substances 0.000 description 24
- 238000005229 chemical vapour deposition Methods 0.000 description 20
- 239000012535 impurity Substances 0.000 description 16
- 238000002513 implantation Methods 0.000 description 15
- 229910052710 silicon Inorganic materials 0.000 description 15
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 14
- 239000010703 silicon Substances 0.000 description 14
- 239000002243 precursor Substances 0.000 description 13
- 238000000231 atomic layer deposition Methods 0.000 description 12
- 239000000203 mixture Substances 0.000 description 12
- 238000005240 physical vapour deposition Methods 0.000 description 10
- 229910052814 silicon oxide Inorganic materials 0.000 description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 238000005137 deposition process Methods 0.000 description 8
- 150000002500 ions Chemical class 0.000 description 8
- 238000000206 photolithography Methods 0.000 description 8
- 239000000126 substance Substances 0.000 description 8
- 239000004020 conductor Substances 0.000 description 7
- 239000011810 insulating material Substances 0.000 description 7
- 238000000059 patterning Methods 0.000 description 7
- 229910021332 silicide Inorganic materials 0.000 description 7
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 7
- -1 silicon nitride Chemical compound 0.000 description 7
- 238000000137 annealing Methods 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- 239000002019 doping agent Substances 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 5
- 239000007769 metal material Substances 0.000 description 5
- 229910010271 silicon carbide Inorganic materials 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- 229910052719 titanium Inorganic materials 0.000 description 5
- 239000010936 titanium Substances 0.000 description 5
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 239000013078 crystal Substances 0.000 description 4
- 238000001312 dry etching Methods 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 238000000407 epitaxy Methods 0.000 description 4
- 229910052732 germanium Inorganic materials 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 239000011295 pitch Substances 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 229910052738 indium Inorganic materials 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 239000005360 phosphosilicate glass Substances 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 229910052709 silver Inorganic materials 0.000 description 3
- 229910052726 zirconium Inorganic materials 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- 229910005540 GaP Inorganic materials 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- 229910000673 Indium arsenide Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 239000005388 borosilicate glass Substances 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 239000007943 implant Substances 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000004943 liquid phase epitaxy Methods 0.000 description 2
- 229910052748 manganese Inorganic materials 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000007517 polishing process Methods 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- 229910017115 AlSb Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910052691 Erbium Inorganic materials 0.000 description 1
- 229910005542 GaSb Inorganic materials 0.000 description 1
- 229910005898 GeSn Inorganic materials 0.000 description 1
- 229910003266 NiCo Inorganic materials 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- 229910010041 TiAlC Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910052769 Ytterbium Inorganic materials 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- 239000002346 layers by function Substances 0.000 description 1
- 229910052745 lead Inorganic materials 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 239000011572 manganese Substances 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- KDLHZDBZIXYQEI-UHFFFAOYSA-N palladium Substances [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 229910052704 radon Inorganic materials 0.000 description 1
- SYUHGPGVQRZVTB-UHFFFAOYSA-N radon atom Chemical compound [Rn] SYUHGPGVQRZVTB-UHFFFAOYSA-N 0.000 description 1
- 229910052702 rhenium Inorganic materials 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/764—Air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823418—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823462—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823468—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823864—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0603—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
- H01L29/0642—Isolation within the component, i.e. internal isolation
- H01L29/0649—Dielectric regions, e.g. SiO2 regions, air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42324—Gate electrodes for transistors with a floating gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4983—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
- H01L29/4991—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/515—Insulating materials associated therewith with cavities, e.g. containing a gas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6653—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66537—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66575—Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
- H01L29/6659—Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66825—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Thin Film Transistor (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
提供一種半導體裝置及其形成方法。此方法包括:形成一犧牲閘極結構於一主動區上。沿此犧牲閘極結構之多個側壁及一頂部表面,形成一第一間隔物層。形成一第一保護層於此第一間隔物層上。形成一第二間隔物層於此第一保護層上。形成一第三間隔物層於此第二間隔物層上。以一置換閘極結構置換此犧牲閘極結構。移除此第二間隔物層,以形成一氣隙於此第一保護層及此第三間隔物層之間。
Description
本發明實施例是關於半導體技術,特別是關於一種包含接觸插塞之半導體結構及其形成方法。
半導體裝置運用於各種電子應用領域,例如:個人電腦、行動電話、數位相機、以及其他電子設備。半導體裝置通常由以下順序製造:在半導體基底上沉積絕緣層或介電層、導體層、以及半導體材料層,並且使用微影技術將各種材料層圖形化,以在其上形成電路構件與元件。
半導體工業藉由持續地縮減最小部件尺寸,進而持續改善各種電子構件(例如:電晶體、二極體、電阻器、電容器等等)的積體密度,使更多構件得以整合至特定區域。但隨著最小部件尺寸的縮減,產生了許多待解決的額外問題。
本發明實施例提供一種半導體裝置的形成方法,包括:形成一犧牲閘極結構於一主動區上;沿此犧牲閘極結構之多個側壁及一頂部表面,形
成一第一間隔物層;形成一第一保護層於此第一間隔物層上;形成一第二間隔物層於此第一保護層上;形成一第三間隔物層於此第二間隔物層上;以一置換閘極結構置換此犧牲閘極結構;以及移除此第二間隔物層,以形成一氣隙於此第一保護層及此第三間隔物層之間。
本發明實施例提供一種半導體裝置的形成方法,包括:形成一犧牲閘極結構於一主動區上;沿此犧牲閘極結構之多個側壁及一頂部表面,沉積一第一間隔物層;摻雜此第一間隔物層之一上方部分,以形成一第一保護層於此第一間隔物層之一未摻雜部分上;沉積一第二間隔物層於此第一保護層上;形成一磊晶源極/汲極區於鄰近此犧牲閘極結構的此主動區中;沉積一第三間隔物層於此第二間隔物層及此磊晶源極/汲極區上;以一置換閘極結構置換此犧牲閘極結構;以及對此第二間隔物層執行一選擇性蝕刻製程,以形成一氣隙於此第一保護層及此第三間隔物層之間。
本發明實施例提供一種半導體裝置,包括:一閘極結構,位於一主動區上;一第一間隔物,沿此閘極結構之一側壁及此主動區之一頂部表面延伸;一第一保護層,鄰近此第一間隔物,此第一間隔物介於此閘極結構之此側壁及此第一保護層之間;一第二間隔物,鄰近此第一保護層,此第一保護層介於此第一間隔物及此第二間隔物之間;以及一氣隙,介於此第一保護層及此第二間隔物之間。
100:鰭式場效電晶體
101,201:基底
103:隔離區
105:鰭片
107:閘極介電質
109:閘極電極
111:源極/汲極區
113:源極/汲極區
200,2700,3000,3400,3500:鰭式場效電晶體裝置
203,605:遮罩
203A:第一遮罩層
203B:第二遮罩層
301:半導體條
303:溝槽
401:隔離區
501:鰭片
601,801,1801,2001:介電層
603:閘極電極層
701,1301:圖案化遮罩
703,2307:閘極
705:淡摻雜源極/汲極區
901,2801,3201:保護層
1000:電漿輔助沉積/摻雜設備
1001:腔室
1003:外殼
1005:夾盤
1007:進氣口
1008,1017,1117:電壓源
1009:電漿產生器
1011:電漿
1013:射頻電源
1015:配對電路系統
1101,1201:介電層
1401,2201:凹槽
1501:磊晶源極/汲極區
1803,2501:層間介電層
2301:閘極介電層
2303:功函數層
2305:閘極電極層
2401:間隙
2501a:層間介電層2501的部分
2503,2505:開口
2507:矽化物層
2601,2603:接觸插塞
H1:高度
W1:寬度
由以下的詳細敘述配合所附圖式,可最好地理解本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製。事實
上,可任意地放大或縮小各種元件的尺寸,以清楚地表現出本發明實施例之特徵。
第1圖是根據一些實施例,繪示出鰭式場效電晶體(FinFET)裝置的透視圖。
第2A-5A圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第6A及6B圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第7A、7B、及7C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第8A、8B、及8C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第9A、9B、及9C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第10圖是根據一些實施例,繪示出電漿沉積/摻雜設備的剖面示意圖。
第11A、11B、及11C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第12A、12B、及12C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第13A、13B、及13C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第14A、14B、及14C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第15A、15B、及15C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第16A、16B、及16C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第17C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第18A、18B、及18C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第19A、19B、及19C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第20A、20B、及20C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第21A、21B、及21C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第22A、22B、及22C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第23A、23B、及23C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第24A、24B、及24C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第25A、25B、及25C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第26A、26B、及26C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第27A、27B、及27C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第28A、28B、及28C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第29A、29B、及29C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第30A、30B、及30C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第31A、31B、及31C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第32A、32B、及32C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第33A、33B、及33C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第34A、34B、及34C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第35A、35B、及35C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置之中間階段的剖面示意圖。
第36圖是根據一些實施例,繪示出半導體裝置之形成方法的流程圖。
第37圖是根據一些實施例,繪示出半導體裝置之形成方法的流程圖。
第38圖是根據一些實施例,繪示出半導體裝置之形成方法的流程圖。
第39圖是根據一些實施例,繪示出半導體裝置之形成方法的流程圖。
第40圖是根據一些實施例,繪示出半導體裝置之形成方法的流程圖。
以下揭露提供了許多的實施例或範例,用於實施本發明實施例之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及/或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及/或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
本發明實施例描述關於半導體裝置的閘極間隔物結構及其形成方法。此中各種實施例所討論的鰭式場效電晶體裝置是使用閘極後製(gate-last)製程形成。在其他實施例中,可使用閘極先製(gate-first)製程。此外,一些實施例可用於平面裝置、多閘極(multiple-gate)電晶體裝置、二維電晶體裝置、全繞式閘極電晶體裝置、奈米線(nanowire)電晶體裝置、或其他類似的裝置。此處所討論的各種實施例可使包含氣隙或氣間隔物(air spacer)的閘極間隔物結構得以形成。一些實施例中,當增加將移除的犧牲層之蝕刻選擇性以形成氣間隔物時,形成一或多個保護層以保護間隔物層。一些實施例中,形成保護層是藉由在間隔物層上沉積碳或硼。其他實施例中,保護層之形成是以碳或硼摻雜間隔物層。一些實施例中,使用電漿輔助沉積/摻雜設備形成保護層。在形成氣間隔物且降低或避免閘極塌陷(gate collapse)的蝕刻製程期間,此處討論的各種實施例可進一步改善閘極間隔物結構之結構完整性(structural integrity)。
第1圖繪示出鰭式場效電晶體100的三維視圖之範例。鰭式場效電晶體100包含在基底101上的鰭片105。基底101包含複數個隔離區103,且鰭片105從相鄰的隔離區103之間向這些隔離區103的上方突出。閘極介電質107沿著鰭片105的側壁並位於鰭片105之一頂部表面的上方,且閘極電極109位於閘極介電質107的上方。源極/汲極區111及113設置於鰭片105相對於閘極介電質107與閘極電極109的兩側。第1圖繪示的鰭式場效電晶體100僅作為範例,並非侷限本發明實施例之範疇。其中的許多變化都是可實行的,例如磊晶源極/汲極區、多鰭片、多層鰭片等等。第1圖進一步標示在後續圖式使用的參考剖面。剖面A-A跨過鰭式場效電晶體100的通道區、閘極介電質107、以及閘極電極109。剖面C-C位在平行於剖面A-A的一平面且跨過通道區外的鰭片105。剖面B-B垂直於剖面
A-A及C-C,且沿著鰭片105的縱軸,並朝一方向,舉例而言,源極/汲極區111及113之間的電流方向。為使說明書的敘述清楚,後續的圖式將參照這些參考剖面。
第2A-9A、11A-16A、18A-26A、6B-9B、11B-16B、18B-26B、7C-9C、以及11C-26C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置200之中間階段的剖面示意圖。在第2A-9A、11A-16A、18A-26A、6B-9B、11B-16B、18B-26B、7C-9C、以及11C-26C圖中,圖號以「A」符號結尾的圖式是沿第1圖中的參考剖面A-A繪製,但不包含多個鰭式場效電晶體以及單一鰭式場效電晶體具多個鰭片的情形;圖號以「B」符號結尾的圖式是沿第1圖中的參考剖面B-B繪製;且圖號以「C」符號結尾的圖式是沿第1圖中的剖面C-C繪製。
參照第2A圖,一些實施例中,形成鰭式場效電晶體裝置200的製程起始於形成遮罩203於基底201上。基底201可為半導體基底,例如塊體半導體(bulk semiconductor)、絕緣體上覆半導體(semiconductor-on-insulator,SOI)基底、或其他類似的基底,其可為摻雜的(例如以p型或n型摻質摻雜)或未摻雜的。基底201可為晶圓,例如矽晶圓。一般而言,絕緣體上覆半導體基底包含形成在絕緣層上的一層半導體材料。舉例而言,此絕緣層可為埋入式氧化物(buried oxide,BOX)層、氧化矽層、或其他類似的絕緣層。將此絕緣層備於基底上,此基底通常為矽基底或玻璃基底。也可使用其他基底,例如多層(multi-layered)或梯度(gradient)基底。一些實施例中,基底201的半導體材料可包含:矽;鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或上述之組合。
基底201可更包括積體電路裝置(未繪示)。如本發明所屬技術領域中具有通常知識者可得知,各種積體電路裝置,例如電晶體、二極體、電容器、電阻器、其他類似的裝置、或前述之組合,可形成於基底201之中及/或之上,以達到鰭式場效電晶體裝置200在設計上的結構及功能需求。可使用任何適合的方法形成此些積體電路裝置。
一些實施例中,可在基底201中形成適當的多個井區(未繪示)。一些實施例中,其中產生的鰭式場效電晶體裝置200是n型裝置,井區是多個p型井區。一些實施例中,其中產生的鰭式場效電晶體裝置200是p型裝置,井區是多個n型井區。其他實施例中,p型井區及n型井區皆形成於基底201中。一些實施例中,將p型雜質佈植至基底201中,以形成p型井區。p型雜質可為硼、BF2、或其他類似的雜質。一些實施例中,將n型雜質佈植至基底201中,以形成n型井區。n型雜質可為磷、砷、或其他類似的雜質。在佈植適當的雜質後,可對基底201執行退火製程,以活化(activate)佈植的p型及n型雜質。
第2A圖進一步繪示在基底201上方形成遮罩203。一些實施例中,可將遮罩203用於後續的蝕刻步驟,以圖案化基底201(見第3A圖)。一些實施例中,遮罩203可包含一或多個遮罩層。如第2A圖所示,一些實施例中,遮罩203可包含第一遮罩層203A及第一遮罩層203A上的第二遮罩層203B。第一遮罩層203A可為硬遮罩層,可包含一或多層氧化矽、氮化矽、氮氧化矽(silicon oxynitride)、碳化矽、氮化碳矽(silicon carbonitride)、前述之組合、或類似材料,且可使用任何適用的製程來形成,例如熱氧化(thermal oxidation)、熱氮化(thermal nitridation)、原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、前述之組合、或類似的製程。後續的蝕刻步驟中,第一遮罩層203A可用於避免或
最小化其下方基底201的蝕刻(見第3A圖)。第二遮罩層203B可包含光阻,且在某些實施例中,可用於圖案化後續蝕刻步驟所使用的第一遮罩層203A。可使用旋轉塗布(spin-on)技術形成第二遮罩層203B,且可使用適當的光微影技術將其圖形化。一些實施例中,遮罩203可包含三層或更多遮罩層。
第3A圖繪示出多個半導體條301形成於基底201。首先可圖案化遮罩層203A及203B,其中在遮罩層203A及203B的開口露出基底201之將形成溝槽303的區域。接著執行蝕刻製程,此蝕刻製程經由遮罩203的開口在基底201中形成多個溝槽303。圖案化遮罩203下方的剩餘基底201形成複數個半導體條301。蝕刻製程可包括任何適當的蝕刻製程,例如反應性離子蝕刻(reactive ion etch,RIE)、中性粒子束蝕刻(neutral beam etch,NBE)、前述之組合、或類似的蝕刻製程。此蝕刻製程可為異向性的。一些實施例中,在形成多個半導體條301後,可透過任何適合的製程移除每一遮罩203之剩餘部分。其他實施例中,部分的遮罩203,例如第一遮罩層203A,可餘留在半導體條301上。一些實施例中,半導體條301之高度H1可為約50nm至約80nm。一些實施例中,半導體條301之寬度W1可為約5nm至約10nm。
第4A圖中繪示出在相鄰半導體條301間的溝槽303中(見第3A圖)形成絕緣材料,以形成隔離區401。絕緣材料可以是氧化物,例如氧化矽、氮化物,例如氮化矽、前述之組合、或類似的材料,且可以藉由以下製程形成:高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、流動式化學氣相沉積(FCVD)(例如在遠距電漿(remote plasma)系統進行化學氣相沉積為主的材料沉積且進行後續的固化,使其轉換為另一種材料,例如氧化物)、前述之組合、或類似的製程。亦可使用任何適當的製程所形
成的其他絕緣材料。
此外,一些實施例中,隔離區401可包含順應襯層(conformal liner)(未繪示),其在以隔離區401的絕緣材料填充溝槽303之前,形成於溝槽303(見第3A圖)的多個側壁及多個底部表面上。一些實施例中,襯層可包含半導體(例如:矽)的氮化物、半導體(例如:矽)的氧化物、半導體(例如:矽)的熱氧化物、半導體(例如:矽)的氮氧化物、聚合物、前述之組合、或類似材料。襯層之形成可包含任何適合的方法,例如原子層沉積、化學氣相沉積、高密度電漿化學氣相沉積法、前述之組合、或類似方法。在這些實施例中,襯層可避免(或至少降低)半導體材料在後續隔離區401的退火期間,從半導體條301(例如:Si或Ge)擴散至周圍的隔離區401。一些實施例中,在沉積隔離區401的絕緣材料後,可對隔離區401的絕緣材料執行退火製程。
進一步參照第4A圖,平坦化製程如化學機械研磨(CMP)製程,可移除任何隔離區401的多餘絕緣材料,使隔離區401的頂部表面與半導體條301的頂部表面共平面。一些實施例中,在形成半導體條301後,部分遮罩203(見第3A圖)餘留在半導體條301上,平坦化製程也可移除此餘留部分。
第5A圖繪示出將隔離區401凹入,以形成淺溝槽隔離(STI)隔離區401。將隔離區401凹入而使鰭片501從相鄰的隔離區401之間突出。再者,隔離區401的頂部表面可具有如圖所示的平坦表面、凸起(convex)表面、下凹(convex)表面(例如為碟形凹陷(dishing))、或前述之組合。可藉由適當的蝕刻,將隔離區401的頂部表面形成為平坦的、凸起的、及/或下凹的。可使用適當的蝕刻製程將隔離區401凹入,例如對蝕刻隔離區401之材料具選擇性的蝕刻製程。一些實施例中,移除化學氧化物可使用CERTAS®蝕刻、應用材料公司SICONI設備、或
可使用稀釋的氫氟酸(dHF)。
本發明所屬技術領域中具有通常知識者可充分瞭解第2A圖至第5A圖所敘述的製程僅是可如何形成鰭片501的範例。在其他實施例中,可在基底201的頂部表面上方形成介電層;可透過介電層蝕刻多個溝槽;可在溝槽中作磊晶成長而形成多個同質磊晶(homoepitaxial)結構;且可凹入介電層,使同質磊晶結構從介電層突出,以形成多個鰭片。在另外的實施例中,可使用異質磊晶(heteroepitaxial)結構作為鰭片。例如,可使第4A圖中的半導體條301凹入,然後可在其位置磊晶成長與半導體條301不同的一或多種材料。又另外的實施例中,可在基底201的頂部表面上方形成介電層;可透過介電層蝕刻多個溝槽;可使用不同於基底201的一或多種材料,在溝槽中作磊晶成長而形成多個異質磊晶結構;且可凹入介電層,使異質磊晶結構從介電層突出,以形成多個鰭片501。
在磊晶成長同質磊晶結構或異質磊晶結構的一些實施例中,可將所成長的材料在成長期間原位(in situ)摻雜。其他實施例中,摻雜同質磊晶結構或異質磊晶結構可使用例如離子佈植,在磊晶成長同質磊晶結構或異質磊晶結構後進行。各種實施例中,鰭片501可包含矽鍺(SixGe1-x,x可為約0至1)、碳化矽、純鍺或實質上的純鍺、III-V族化合物半導體、II-VI族化合物半導體、或類似材料。舉例而言,用來形成III-V族化合物半導體的可用材料包含但不限於InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、或類似材料。
參照第6A及6B圖,在鰭片501的頂部表面及側壁上形成介電層601。一些實施例中,介電層601也可形成於隔離區401上。其他實施例中,隔離區401的頂部表面可無介電層601。介電層601可包含氧化物,例如氧化矽、或類
似的材料,並依適當的技術將其沉積(例如使用原子層沉積、化學氣相沉積、物理氣相沉積、前述之組合、或類似技術)或熱成長(例如使用熱氧化、或類似技術)。一些實施例中,介電層601可包含一介電材料,其崩潰電壓及漏電表現是在可容許的範圍內。閘極電極層603形成於介電層601上,且遮罩605形成於閘極電極層603上。一些實施例中,將閘極電極層603沉積於介電層601上,然後進行製程將其平坦化,例如化學機械研磨製程。接著將遮罩605沉積於閘極電極層603上。閘極電極層603可由例如多晶矽形成,但也可使用其他對隔離區401之材料具高蝕刻選擇性的材料。其他實施例中,閘極電極層603可包含一或多種適合的金屬材料。遮罩605可包含一或多層材料,例如氧化矽、氮化矽、氮氧化矽、碳化矽、氮化碳矽、前述之組合、或類似材料,且可使用任何適用的製程來形成,例如熱氧化、熱氮化、原子層沉積、物理氣相沉積、化學氣相沉積、前述之組合、或類似的製程。
參照第7A、7B與7C圖,使用適當的光微影及蝕刻技術,可將遮罩層605(見第6A及6B圖)圖案化,以形成圖案化遮罩701。藉由適當的蝕刻技術,可將圖案化遮罩701的圖案轉移到閘極電極層603及介電層601。閘極703之圖案覆蓋各別鰭片501的通道區(見第7B圖),同時鰭片501的源極/汲極區是露出的(見第7C圖)。閘極703也可具有一長度方向(lengthwise direction),在製程偏差內與各別鰭片501的縱向實質上垂直(見第7A圖)。閘極703的尺寸、以及閘極703之間的節距(pitch),可依形成閘極703之晶粒處的區域而定。一些實施例中,閘極703可具有較大的尺寸及較大的節距,例如閘極703位於晶粒的輸入/輸出區域(設置輸入/輸出電路處),相較於另一例中閘極703位於晶粒的邏輯區域(設置邏輯電路處),前者的閘極703可具有較大的尺寸及較大的節距。以下將詳細敘述,閘極703為犧牲閘極且後續將被置換閘極所置換。因此閘極703也可稱為犧牲閘極或虛設閘極。其他實施例中,閘極703未被置換而留在鰭式場效電晶體裝置200的
最終結構中。
進一步參照第7A、7B與7C圖,形成輕摻雜源極/汲極(LDD)區705於基底201中。與前述參照第2A圖的佈植製程類似,將適當的雜質佈植至鰭片501中,以形成輕摻雜源極/汲極區705。在一些產生p型鰭式場效電晶體裝置200的實施例中,是將p型雜質佈植至鰭片501中,以形成p型輕摻雜源極/汲極區705。在一些產生n型鰭式場效電晶體裝置200的實施例中,是將n型雜質佈植至鰭片501中,以形成n型輕摻雜源極/汲極區705。在佈植輕摻雜源極/汲極區705期間,閘極703及圖案化遮罩701可做為遮罩,以避免(或至少降低)摻質佈植至鰭片501的通道區。因此,輕摻雜源極/汲極區705可實質上地形成於鰭片501的源/汲極區中。n型雜質可為任何前文所討論的n型雜質,且p型雜質可為任何前文討論的p型雜質。在佈植製程後,可執行退火製程以活化佈植的雜質。
參照第8A、8B、及8C圖,將介電層801毯覆地形成於閘極703、圖案化遮罩701、隔離區401、以及鰭片501所露出的表面上。一些實施例中,介電層801可包括:SiN、SiON、SiOC、SiCN、SiOCN、前述之組合、或類似材料,且可使用化學氣相沉積、原子層沉積、前述之組合、或類似的製程來形成。介電層801也可稱作間隔物層。一些實施例中,介電層801的厚度為約2nm至約4nm。
參照第9A、9B、及9C圖,將保護層901毯覆地形成於介電層801上。一些實施例中,保護層901包含碳。其他實施例中,保護層901包含硼。另外的實施例中,保護層901為碳層或硼層。一些實施例中,保護層901的厚度為約0.5nm至約4nm。以下將詳細敘述,在後續形成氣隙(見第24A、24B、及24C圖)的蝕刻製程中,保護層901保護介電層801。一些實施例中,是使用電漿輔助製程形成保護層901,例如電漿輔助化學氣相沈積(PECVD)、或類似的製程。在保護層901包含硼的實施例中,電漿輔助製程使用的氣體混和物包括含硼的前驅物氣體。含硼的前驅物氣體可為B2H6、BF3、前述之組合、或其他類似的氣體。
在保護層901包含碳的實施例中,電漿輔助製程使用的氣體混和物包括含碳的前驅物氣體。含碳的前驅物氣體可為CH4、CO、CO2、前述之組合、或其他類似的氣體。一些實施例中,電漿輔助製程的氣體混和物也可包括惰性氣體。此惰性氣體可包含氙、氦、氬、氖、氪、氡、其他類似的氣體、或前述之組合。以下將詳細敘述,一些實施例中,可使用電漿輔助沉積/摻雜設備1000來形成保護層901(見第10圖)。
第10圖是根據一些實施例,繪示出電漿輔助沉積/摻雜設備1000的剖面示意圖。電漿輔助沉積/摻雜設備1000可用於執行沉積製程,以將保護層901形成於介電層801上(見第9A、9B、及9C圖)。以下將詳細敘述,電漿輔助沉積/摻雜設備1000也可用於執行摻雜製程,對保護層901進行摻雜以形成保護層(見第28A、28B、及28C圖)。電漿輔助沉積/摻雜設備1000包含由外殼1003所定義的腔室1001。腔室1001中的夾盤1005托住一晶圓,例如包含基底201之晶圓。進氣口1007提供適合的製程氣體至腔室1001。電漿產生器1009從此製程氣體產生電漿1011。電漿產生器1009經由配對電路系統1015與射頻電源(RF power source)1013耦合。一些實施例中,電漿產生器1009可為變壓器耦合(transformer-coupled)電漿產生器、電感耦合(inductively coupled)電漿系統、磁增強型反應性離子蝕刻系統、電子迴旋共振系統(electron cyclotron resonance system)、遠距電漿產生器、或其他類似的電漿產生器。一些實施例中,射頻電源1013的操作功率為約200W至約3300W。為了在電漿產生器1009及夾盤1005間產生偏壓,將電壓源1008與夾盤1005耦合。一些實施例中,電壓源1008產生包含直流電壓脈衝(DC voltage pulse)的脈衝式直流偏壓(pulsed DC bias voltage)(施加負直流偏壓於電漿產生器1009及夾盤1005之間),此直流電壓脈衝是由放電步驟分隔(施加零偏壓於電漿產生器1009及夾盤1005之間)。每一直流電壓脈衝可為約-0.2kV至約-10kV。每一直流電壓脈衝的持續時間可為約20μs至約100μs。直
流電壓脈衝的頻率為約0.2kHz至約9kHz。
一些實施例中,電漿輔助沉積/摻雜設備1000可由交替的方式執行沉積以及摻雜製程。沉積製程是在放電步驟期間執行,於此期間,電漿1011的離子在晶圓表面被中和(neutralized)且沉積於介電層801上,而形成保護層901(見第9A、9B、及9C圖)。摻雜製程是在直流電壓脈衝步驟期間執行,於此期間,電漿1011的離子透過直流電壓脈衝的直流偏壓加速且佈植至介電層801中(見第28A、28B、及28C圖)。
進一步參照第10圖,一些實施例中,可將電漿輔助沉積/摻雜設備1000配置為對介電層801執行沉積製程為主,而摻雜介電層801則較不重要。此類實施例中,可調整直流電壓脈衝的直流偏壓、持續時間、以及頻率。舉例而言,可調整直流電壓脈衝的直流偏壓,使電漿1011的離子能量不足以佈植電漿1011的離子至介電層801中。此電漿1011的離子反而沉積於介電層801上。在將電漿輔助沉積/摻雜設備1000配置為執行沉積製程的一些實施例中,直流電壓脈衝的直流偏壓為約0.3kV至約5kV。在將電漿輔助沉積/摻雜設備1000配置為執行沉積製程的一些實施例中,直流電壓脈衝的持續時間為約10μs至約100μs。在將電漿輔助沉積/摻雜設備1000配置為執行沉積製程的一些實施例中,直流電壓脈衝的頻率為約500Hz至約900Hz。
其他實施例中,可將電漿輔助沉積/摻雜設備1000配置為對介電層801執行摻雜製程為主(見28A、28B、及28C圖),而執行沉積製程則較不重要。此類實施例中,可調整直流電壓脈衝的直流偏壓、持續時間、以及頻率。舉例而言,可調整直流電壓脈衝的直流偏壓,使電漿1011的離子能量足以佈植電漿1011的離子至介電層801中。此外,也可減少放電步驟的持續時間。在將電漿輔助沉積/摻雜設備1000配置為執行摻雜製程的一些實施例中,直流電壓脈衝的直流偏壓為約0.3kV至約5kV。在將電漿輔助沉積/摻雜設備1000配置為執行摻雜製
程的一些實施例中,直流電壓脈衝的持續時間為約10μs至約100μs。在將電漿輔助沉積/摻雜設備1000配置為執行摻雜製程的一些實施例中,直流電壓脈衝的頻率為約500Hz至約900Hz。在將電漿輔助沉積/摻雜設備1000配置為執行摻雜製程的一些實施例中,放電步驟的持續時間為約10μs至約100μs。
參照第11A、11B、及11C圖,在形成保護層901後,形成介電層1101於保護層901上。一些實施例中,介電層1101可使用與前述介電層801類似的材料及方法來形成,並可參照第8A、8B、及8C圖,此處不重複敘述。一些實施例中,介電層1101與介電層801包含相同材料。其他實施例中,介電層1101與介電層801包含不同材料。以下將詳細敘述,將介電層1101移除以形成氣隙(見第24A、24B、及24C圖),同時保護層901可保護介電層801。介電層1101也可稱為間隔物層、或犧牲間隔物層。一些實施例中,介電層1101的厚度為約2nm至約5nm。
第12A-16A、12B-16B、及12C-16C圖繪示出磊晶源極/汲極區1501形成於鄰近閘極703之間的鰭片501。參照第12A、12B、及12C圖,形成介電層1201於介電層1101上。一些實施例中,介電層1201可使用與前述介電層801類似的材料及方法來形成,並可參照第8A、8B、及8C圖,此處不重複敘述。一些實施例中,介電層1201與介電層1101包含相同材料。以下將詳細敘述,在形成磊晶源極/汲極區1501後,將介電層1201移除。因此介電層1201也可稱為虛設層或犧牲層。一些實施例中,介電層1201的厚度為約2nm至約4nm。
參照第13A、13B、及13C圖,形成圖案化遮罩1301於基底201上,以保護閘極703且露出鰭片501的源極/汲極區。沉積遮罩層並將其圖案化,以形成圖案化遮罩1301。一些實施例中,遮罩層可包含光阻、或其他類似材料,且可使用旋轉(spin-on)製程、或其他類似製程來形成。在遮罩層包含光阻的一些實施例中,使用適合的光微影方法圖案化此遮罩層。
參照第14A、14B、及14C圖,在形成圖案化遮罩1301後,對介電層801、1101及1201、保護層901、以及鰭片501執行圖案化製程,以形成凹槽1401於鰭片501的源極/汲極區中。一些實施例中,圖案化製程可包括一或多道適合的蝕刻製程,例如非等向性乾蝕刻製程,並使用圖案化遮罩1301作為蝕刻遮罩。適合的非等向性蝕刻製程可包含反應性離子蝕刻、中性粒子束蝕刻、前述之組合、或其他類似的製程。一些實施例中,在形成凹槽1401時,介電層1201未受保護的部分可能未完全移除。這些實施例中,除了閘極703上的部分介電層1201受圖案化遮罩1301的保護外(見第13B圖),還有部分介電層1201沿閘極703之側壁餘留下來。形成凹槽1401後,將圖案化遮罩1301移除。在圖案化遮罩1301包含光阻的一些實施例中,移除圖案化遮罩1301是透過灰化製程(ashing process),其後接續濕式清洗製程。
參照第15A、15B、及15C圖,形成磊晶源極/汲極區1501於凹槽1401中(見第14A、14B、及14C圖)。一些實施例中,磊晶成長磊晶源極/汲極區1501於凹槽1401中是使用金屬有機化學氣相沈積(MOCVD)、分子束磊晶(MBE)、液相磊晶(LPE)、氣相磊晶(VPE)、選擇性磊晶(SEG)、前述之組合、或其他類似的製程。在鰭式場效電晶體裝置200是n型裝置且鰭片501是由矽形成的一些實施例中,磊晶源極/汲極區1501可包含SiC、SiCP、SiP、或其他類似的材料。在鰭式場效電晶體裝置200是p型裝置且鰭片501是由矽形成的一些實施例中,磊晶源極/汲極區1501可包含SiGe、SiGeB、Ge、GeSn、或其他類似的材料。磊晶源極/汲極區1501可具有從鰭片501的各自表面向上凸起的表面且可具有刻面(facet)。一些實施例中,磊晶源極/汲極區1501可延伸越過鰭片501且進入半導體條301。一些實施例中,磊晶源極/汲極區1501的材料可用適合的摻質佈植。一些實施例中,此佈植製程與前述形成輕摻雜源極/汲極區705使用的製程類似,並可參照第7A、7B、以及7C圖,此處不重複敘述。在其他實施例中,可將磊晶源
極/汲極區1501的材料在成長期間原位(in situ)摻雜。
參照第16A、16B、及16C圖,在形成磊晶源極/汲極區1501後,將介電層1201的剩餘部分移除。一些實施例中,使用對介電層1201之材料具選擇性的合適蝕刻製程,可移除介電層1201的剩餘部分。在繪示的實施例中,每一磊晶源極/汲極區1501都與其他磊晶源極/汲極區1501實體上分開。在其他實施例中,可將鄰近的磊晶源極/汲極區1501合併。此一實施例繪示於第17C圖,其中將鄰近的磊晶源極/汲極區1501合併,以形成多個鰭片501的共同磊晶源極/汲極區1501。
參照第18A、18B、及18C圖,形成介電層1801於閘極703及磊晶源極/汲極區1501上且形成層間介電層1803(ILD)於介電層1801上。一些實施例中,介電層1801可使用與前述介電層801類似的材料及方法來形成,並可參照第8A、8B、及8C圖,此處不重複敘述。一些實施例中,介電層1801的厚度為約2nm至約5nm。一些實施例中,介電層1801和介電層1201包含不同材料。介電層1801也可稱為間隔物層。一些實施例中,在圖案化層間介電層1803以為接續形成的接觸插塞形成開口時,使用介電層1801作為停止層。一些實施例中,層間介電層1803是藉由流動式化學氣相沉積形成的流動膜。在某些實施例中,層間介電層1803是由介電材料形成,例如:氧化矽、SiOC、ZrO2、HfO2、磷矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass,BSG)、硼磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、無摻雜矽酸鹽玻璃(undoped Silicate Glass,USG)、低介電常數介電材料、極低介電常數(extremely low-k)介電材料、高介電常數介電材料、前述之組合、或其他類似的材料,且可由任何適合的方法沉積,例如:化學氣相沉積、電漿輔助化學氣相沈積、旋塗式玻璃(spin-on-glass)製程、前述之組合、或類似方法。
參照第19A、19B、及19C圖,將層間介電層1803凹入,使其剩
餘部分的最高表面低於閘極703的最高表面。一些實施例中,使用對層間介電層1803之材料具選擇性的合適蝕刻製程,可凹入層間介電層1803。
參照第20A、20B、及20C圖,形成介電層2001於閘極703及層間介電層1803上。一些實施例中,介電層2001可使用與前述介電層801類似的材料及方法來形成,並可參照第8A、8B、及8C圖,此處不重複敘述。介電層2001也可稱為蓋層。
參照第21A、21B、及21C圖,可執行平坦化製程如化學機械研磨製程,使介電層2001的頂部表面與閘極703的頂部表面齊平。此平坦化製程同時移除圖案化遮罩701(見第20A、20B、及20C圖)、部分保護層901、以及介電層801、1101、及1801延伸至閘極703之頂部表面上的部分。
第22A及23A、22B及23B、以及22C及23C圖繪示出形成閘極2307的置換製程。參照第22A、22B、及22C圖,使用一或多道適合的蝕刻製程,將閘極703的閘極電極層603移除,以形成凹槽2201。每一凹槽2201都露出個別鰭片501的通道區。在繪示的實施例中,介電層601餘留在鰭片501的通道區上。其他實施例中,介電層601也可在形成凹槽2201的期間移除。
參照第23A、23B、及23C圖,形成閘極介電層2301、功函數層2303、及閘極電極層2305於凹槽2201中(見第22B圖)。一些實施例中,將閘極介電層2301順應地沉積於於凹槽2201中。一些實施例中,閘極介電層2301包含氧化矽、氮化矽、或前述材料的多層。其他實施例中,閘極介電層2301包含高介電常數介電材料,在這些實施例中,閘極介電層2301的介電常數(k值)大於約7.0,且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb、及前述之組合的矽酸鹽或金屬氧化物。閘極介電層2301的形成方法可包含分子束沉積法
(Molecular-Beam Deposition,MBD)、原子層沉積法、電漿輔助化學氣相沉積、前述之組合、或其他類似的方法。
在形成凹槽2201時,鰭片501的通道區上的介電層601未被移除的一些實施例中,此介電層601可作為閘極介電層2301與鰭片501的通道區之間的介面層。在形成凹槽2201時,鰭片501的通道區上的介電層601被移除的一些實施例中,形成閘極介電層2301之前,可形成一或多個介面層於鰭片501的通道區上,並將閘極介電層2301形成於此一或多個介面層上。介面層有助於緩衝後續從下方半導體材料形成的高介電常數介電層。一些實施例中,介面層包含化學氧化矽,其可藉由化學反應而形成。舉例而言,形成化學氧化物可使用去離子水+臭氧(O3)、NH4OH+H2O2+H2O(APM)、或其他方法。其他實施例可運用不同的材料或製程(例如熱氧化或沉積製程)來形成介面層。
形成閘極介電層2301後,將功函數層2303形成於閘極介電層2301上。在鰭式場效電晶體裝置200是n型裝置的一些實施例中,功函數層2303包括:Ti、Ag、Al、TiAl、TiAlN、TiAlC、TaC、TaCN、TaSiN、TaAlC、Mn、Zr、前述之組合、或其他類似的材料,且可使用原子層沉積、化學氣相沉積、物理氣相沉積、前述之組合、或類似的製程來形成。在鰭式場效電晶體裝置200是p型裝置的一些實施例中,功函數層2303包括:TiN、WN、TaN、Ru、Co、前述之組合、或其他類似的材料,且可使用原子層沉積、化學氣相沉積、物理氣相沉積、前述之組合、或類似的製程來形成。形成功函數層2303後,以閘極電極層2305填充凹槽2201的剩餘部分(見第22B圖)。一些實施例中,閘極電極層2305包括:Co、Ru、Al、Ag、Au、W、Ni、Ti、Cu、Mn、Pd、Re、Ir、Pt、Zr、前述材料之合金、前述之組合、或其他類似的材料,且可使用原子層沉積、
化學氣相沉積、物理氣相沉積、電鍍、前述之組合、或類似的製程來形成。以閘極電極層2305填充凹槽2201之後,可執行平坦化製程如化學機械研磨,以移除閘極介電層2301、功函數層2303、以及閘極電極層2305的多餘部分。這些多餘部分位於介電層2001的頂部表面上。留在凹槽2201中的閘極介電層2301、功函數層2303、以及閘極電極層2305,分別結合介電層601而形成閘極2307於凹槽2201中。閘極2307也可稱為置換閘極。
參照第24A、24B、及24C圖,在形成閘極2307後,將介電層1101的餘留部分(見第24A、24B、及24C圖)移除,以形成間隙2401。間隙2401也可稱為氣間隔物之氣隙。一些實施例中,使用對介電層1101的材料具選擇性的合適蝕刻製程,可將介電層1101移除。一些實施例中,此合適蝕刻製程可為濕蝕刻製程,由HF及H2O之混合物來執行。其他實施例中,此合適蝕刻製程可為乾蝕刻製程,由HF及NF3之混合物所產生的電漿來執行。一些實施例中,在蝕刻製程期間,保護層901保護介電層801免於此蝕刻製程且改善介電層1101的蝕刻選擇性。此外,保護層901改善閘極間隔物結構之結構完整性,以減少或避免閘極2307崩塌,此處敘述的閘極間隔物結構包含介電層801及1801、保護層901、以及間隙2401。繪示的實施例中,是在執行形成閘極2307的閘極置換過程之後,形成間隙2401。其他實施例中,在執行前述平坦化製程後(參照第21A、21B、及21C圖)、以及執行形成閘極2307的閘極置換過程之前,可形成間隙2401。
參照第25A、25B、及25C圖,形成層間介電層2501於閘極2307上。一些實施例中,可使用與前述層間介電層1803類似的材料和方法形成層間介電層2501,並可參照第18A、18B、及18C,此處不重複敘述。一些實施例中,層間介電層2501未延伸至間隙2401中。其他實施例中,層間介電層2501的部分
2501a延伸至間隙2401中。一些實施例中,層間介電層2501及層間介電層1803是由相同材料形成。其他實施例中,層間介電層2501及層間介電層1803是由不同材料形成。將介電層1801及2001、與層間介電層1803及2501圖案化,以形成開口2503及2505。一些實施例中,可使用適當的光微影及蝕刻技術將介電層1801及2001、與層間介電層1803及2501圖案化。開口2503分別露出閘極2307。開口2505分別露出磊晶源極/汲極區1501。以下將詳細敘述,將開口2503及2505填充一或多種導電材料,以形成接觸插塞,其可提供電性連結至磊晶源極/汲極區1501及閘極2307。
進一步參照第25A、25B、及25C圖,自對準矽化物(self-aligned silicide(salicide))層2507穿過開口2503及2505而形成。一些實施例中,將金屬材料沈積於開口2503及2505中。此金屬材料可包括:Ti、Co、Ni、NiCo、Pt、NiPt、Ir、PtIr、Er、Yb、Pd、Rh、Nb、前述之組合、或其他類似的材料,且可使用物理氣相沉積、濺鍍(sputtering)、或其他類似的製程形成。接著執行退火製程以形成矽化物層2507。在磊晶源極/汲極區1501包含矽的一些實施中,此退火製程使前述金屬材料與矽反應,而形成前述金屬材料之矽化物。
參照第26A、26B、及26C圖,將開口2503及2505(見第25A、25B、及25C圖)填充一或多種導電材料,以分別形成接觸插塞2601及2603。一些實施例中,形成一襯層(未繪示),例如一擴散阻障層、一黏著層、或其他類似層、以及一導體材料於開口2503及2505中。上述襯層可包含鈦、氮化鈦、鉭、氮化鉭、前述之組合、或其他類似的材料,且可由化學氣相沉積、物理氣相沉積、原子層沉積、前述之組合、或其他類似的製程來形成。上述導電材料可為銅、銅合金、銀、金、鎢、鋁、鎳、前述之組合、或其他類似的材料,且可使用以下製
程形成:化學氣相沉積、物理氣相沉積、原子層沉積、電化學電鍍(electrochemical plating)製程、無電鍍(electroless plating)製程、前述之組合、或其他類似的製程。可執行例如化學機械研磨的平坦化製程,將襯層及導電材料的多餘部分從層間介電層2501的頂部表面移除。留下來的襯層及導電材料在開口2503及2505中分別形成接觸插塞2601及2603。接觸插塞2601實體及電性上與閘極2307耦合。接觸插塞2603實體上與矽化物層2507耦合。接觸插塞2603透過矽化物層2507與磊晶源極/汲極區1501電性耦合。
第27A-29A、27B-29B、27C-29C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置2700之中間階段的剖面示意圖。在第27A-29A、27B-29B、27C-29C圖中,圖號以「A」符號結尾的圖式是沿第1圖中的參考剖面A-A繪製,但不包含多個鰭式場效電晶體以及單一鰭式場效電晶體具多個鰭片的情形;圖號以「B」符號結尾的圖式是沿第1圖中的參考剖面B-B繪製;且圖號以「C」符號結尾的圖式是沿第1圖中的剖面C-C繪製。一些實施例中,形成鰭式場效電晶體裝置2700的製程步驟可與前述形成鰭式場效電晶體裝置200的製程步驟類似,並可參照第2A-9A、11A-16A、18A-26A、6B-9B、11B-16B、18B-26B、7C-9C、及11C-26C圖,類似性質的部件以類似的標號標記,此處不重複其敘述。
一些實施例中,形成鰭式場效電晶體裝置2700的製程起始於形成第7A、7B、及7C圖所繪示的結構。形成第7A、7B、及7C圖之結構的製程步驟如前所述,並可參照第2A-7A、6B、7B、7C圖,此處不重複敘述。參照第27A、27B、及27C圖,將介電層801毯覆地形成於閘極703、圖案化遮罩701、隔離區401、以及鰭片501所露出的表面上。一些實施例中,將介電層801以前述的方式
形成,並可參照第8A、8B、及8C圖,此處不重複敘述。
參照第28A、28B、及28C圖,摻雜介電層801的上方部分,以形成介電層801的摻雜部分。前述介電層801的摻雜部分在介電層801的未摻雜部分上形成保護層2801。一些實施例中,前述介電層801的上方部分可使用碳摻雜。其他實施例中,前述介電層801的上方部分可使用硼摻雜。一些實施例中,摻雜製程為如前所述電漿輔助摻雜製程,由電漿輔助沉積/摻雜設備1000在一摻雜區域(doping regime)中執行,並可參照第10圖,此處不重複敘述。在介電層801的上方部分是以碳摻雜的一些實施例中,電漿輔助摻雜製程使用的氣體混和物包括含碳的前驅物氣體。含碳的前驅物氣體可為CH4、或其他類似的氣體。一些實施例中,碳離子的摻雜能量可為約0.5KeV至約5KeV。一些實施例中,碳的摻雜劑量可為約5E13 atoms/cm2至約1E15 atoms/cm2。在介電層801的上方部分是以硼摻雜的一些實施例中,電漿輔助摻雜製程使用的氣體混和物包括含硼的前驅物氣體。含硼的前驅物氣體可為B2H6、或其他類似的氣體。一些實施例中,硼離子的摻雜能量可為約1KeV至約3KeV。一些實施例中,硼的摻雜劑量可為約5E13 atoms/cm2至約1E15 atoms/cm2。
進一步參照第28A、28B、及28C圖,藉由執行電漿輔助摻雜製程,改善保護層2801內的摻質均勻度。前述摻雜製程改變介電層801的摻雜部分具有的蝕刻特性。一些實施例中,相較於介電層801的未摻雜部分之蝕刻速率,保護層2801具有較低的蝕刻速率。一些實施例中,介電層801包含SiOCN且碳摻雜製程使用含碳前軀物氣體CH4執行,並具有約2KeV的佈植能量及約5E15atoms/cm2的佈植劑量,在使用HF及H2O的混和物執行的濕蝕刻製程中,相較於介電層801的未摻雜部分之蝕刻速率,保護層2801的蝕刻速率降低約50%。
一些實施例中,介電層801包含SiN且碳摻雜製程使用含碳前軀物氣體CH4執行,並具有約2KeV的佈植能量及約3E15atoms/cm2的佈植劑量,在使用HF及H2O的混和物執行的濕蝕刻製程中,相較於介電層801的未摻雜部分之蝕刻速率,保護層2801的蝕刻速率降低約70%。一些實施例中,介電層801包含SiCN且碳摻雜製程使用含碳前軀物氣體CH4執行,並具有約1.5KeV至約2KeV的佈植能量及約5E15atoms/cm2的佈植劑量,在使用HF及H2O的混和物執行的濕蝕刻製程中,相較於介電層801的未摻雜部分之蝕刻速率,保護層2801的蝕刻速率降低了超過約95%。一些實施例中,介電層801包含SiOCN且碳摻雜製程使用含碳前軀物氣體CH4執行,並具有約2KeV的佈植能量及約5E15atoms/cm2的佈植劑量,在使用HF及NF3的混和物產生的電漿所執行的乾蝕刻製程中,相較於介電層801的未摻雜部分之蝕刻速率,保護層2801的蝕刻速率降低約50%。一些實施例中,介電層801包含SiCN且碳摻雜製程使用含碳前軀物氣體CH4執行,並具有約0.3KeV至約1Kev的佈植能量及約4E15atoms/cm2的佈植劑量,在使用HF及NF3的混和物產生的電漿所執行的乾蝕刻製程中,相較於介電層801的未摻雜部分之蝕刻速率,保護層2801的蝕刻速率降低了超過約75%。
參照第29A、29B、及29C圖,對第29A、29B、及29C圖的結構執行前述的製程步驟以形成鰭式場效電晶體裝置2700,並可參照第11A-16A、18A-26A、11B-16B、18B-26B、及11C-26C圖,此處不重複敘述。一些實施例中,在蝕刻製程期間,保護層2801保護介電層801的未摻雜部分,使其免於移除介電層1101並形成間隙2401的蝕刻製程,且改善介電層1101的蝕刻選擇性。此外,保護層2801改善閘極間隔物結構之結構完整性,以減少或避免閘極2307崩塌,此處敘述的閘極間隔物結構包含介電層801及1801、保護層2801、以及間隙2401。
第30A-33A、30B-33B、30C-33C圖是根據一些實施例,繪示出製造鰭式場效電晶體裝置3000之中間階段的剖面示意圖。在第30A-33A、30B-33B、30C-33C圖中,圖號以「A」符號結尾的圖式是沿第1圖中的參考剖面A-A繪製,但不包含多個鰭式場效電晶體以及單一鰭式場效電晶體具多個鰭片的情形;圖號以「B」符號結尾的圖式是沿第1圖中的參考剖面B-B繪製;且圖號以「C」符號結尾的圖式是沿第1圖中的剖面C-C繪製。一些實施例中,形成鰭式場效電晶體裝置3000的製程步驟可與前述形成鰭式場效電晶體裝置200的製程步驟類似,並可參照第2A-9A、11A-16A、18A-26A、6B-9B、11B-16B、18B-26B、7C-9C、及11C-26C圖,類似性質的部件以類似的標號標記,此處不重複其敘述。
一些實施例中,形成鰭式場效電晶體裝置3000的製程起始於形成第8A、8B、及8C圖所繪示的結構。形成第8A、8B、及8C圖之結構的製程步驟如前所述,並可參照第2A-8A、6B-8B、及7C-8C圖,此處不重複敘述。參照第30A、30B、及30C圖,將介電層1101形成於介電層801上。一些實施例中,將介電層1101以前述的方式形成,並可參照第11A、11B、及11C圖,此處不重複敘述。接著將介電層1201形成於介電層1101上。一些實施例中,將介電層1201以前述的方式形成,並可參照第12A、12B、及12C圖,此處不重複敘述。
參照第31A、31B、及31C圖,對第30A、30B、及30C圖的結構執行前述的製程步驟以形成磊晶源極/汲極區1501,並可參照第13A-16A、13B-16B、及13C-16C圖,此處不重複敘述。
參照第32A、32B、及32C圖,將保護層3201形成於閘極703及磊晶源極/汲極區1501上。一些實施例中,保護層3201可使用與前述保護層901類似
的材料及方法來形成,並可參照第9A、9B、及9C圖,此處不重複敘述。
參照第33A、33B、及33C圖,對第32A、32B、及32C圖的結構執行前述的製程步驟以形成鰭式場效電晶體裝置3000,並可參照第18A-26A、18B-26B、及18C-26C圖,此處不重複敘述。一些實施例中,在蝕刻製程期間,保護層3201保護介電層1801,使其免於移除介電層1101並形成間隙2401的蝕刻製程,且改善介電層1101的蝕刻選擇性。此外,保護層3201改善閘極間隔物結構之結構完整性,以減少或避免閘極2307崩塌,此處敘述的閘極間隔物結構包含介電層801及1801、保護層3201、以及間隙2401。
第34A、34B、及34C圖是根據一些實施例,繪示出鰭式場效電晶體裝置3400的剖面示意圖。在第34A、34B、及34C圖中,圖號以「A」符號結尾的圖式是沿第1圖中的參考剖面A-A繪製,但不包含多個鰭式場效電晶體以及單一鰭式場效電晶體具多個鰭片的情形;圖號以「B」符號結尾的圖式是沿第1圖中的參考剖面B-B繪製;且圖號以「C」符號結尾的圖式是沿第1圖中的剖面C-C繪製。一些實施例中,形成鰭式場效電晶體裝置3400的製程步驟可與前述形成鰭式場效電晶體裝置200的製程步驟類似,並可參照第2A-9A、11A-16A、18A-26A、6B-9B、11B-16B、18B-26B、7C-9C、及11C-26C圖,類似性質的部件以類似的標號標記,此處不重複其敘述。
一些實施例中,形成鰭式場效電晶體裝置3400的製程起始於形成第16A、16B、及16C圖所繪示的結構。形成第16A、16B、及16C圖之結構的製程步驟如前所述,並可參照第2A-9A、11A-16A、6B-9B、11B-16B、7C-9C、及11C-16C圖,此處不重複敘述。接著對第16A、16B、及16C圖的結構執行前述的製程步驟以形成鰭式場效電晶體裝置3400,並可參照第32A、33A、32B、33B、
32C、及33C圖,此處不重複敘述。一些實施例中,保護層901保護介電層801且保護層3201保護介電層1801,使介電層801及1801免於移除介電層1101並形成間隙2401的蝕刻製程。而且保護層901及3201改善蝕刻期間介電層1101的蝕刻選擇性。此外,保護層901及3201改善閘極間隔物結構之結構完整性,以減少或避免閘極2307崩塌,此處敘述的閘極間隔物結構包含介電層801及1801、保護層901及3201、以及間隙2401。一些實施例中,保護層901及保護層3201包含相同材料。某些實施例中,保護層901及保護層3201包含不同材料。
第35A、35B、及35C圖是根據一些實施例,繪示出鰭式場效電晶體裝置3500的剖面示意圖。在第35A、35B、及35C圖中,圖號以「A」符號結尾的圖式是沿第1圖中的參考剖面A-A繪製,但不包含多個鰭式場效電晶體以及單一鰭式場效電晶體具多個鰭片的情形;圖號以「B」符號結尾的圖式是沿第1圖中的參考剖面B-B繪製;且圖號以「C」符號結尾的圖式是沿第1圖中的剖面C-C繪製。一些實施例中,形成鰭式場效電晶體裝置3500的製程步驟可與前述形成鰭式場效電晶體裝置3400的製程步驟類似,並可參照第34A、34B、及34C圖,類似性質的部件以類似的標號標記,此處不重複其敘述。
一些實施例中,形成鰭式場效電晶體裝置3500的製程起始於形成第28A、28B、及28C圖所繪示的結構。形成第28A、28B、及28C圖之結構的製程步驟如前所述,並可參照第2A-7A、27A、28A、6B、7B、27B、28B、7C、27C、及28C圖,此處不重複敘述。隨後,對第28A、28B、及28C圖的結構執行製程步驟以形成鰭式場效電晶體裝置3500,此製程步驟包括參照第11A-16A、11B-16B、及11C-16C圖的前述製程步驟及其後參照第32A、33A、32B、33B、32C、及33C圖的前述製程步驟,此處不重複敘述。一些實施例中,保護層2801
保護介電層801的未摻雜部分且保護層3201保護介電層1801,使介電層801的未摻雜部分及介電層1801免於移除介電層1101並形成間隙2401的蝕刻製程。而且保護層2801及3201改善蝕刻期間介電層1101的蝕刻選擇性。此外,保護層2801及3201改善閘極間隔物結構之結構完整性,以減少或避免閘極2307崩塌,此處敘述的閘極間隔物結構包含介電層801及1801、保護層2801及3201、以及間隙2401。
第36圖是根據一些實施例,繪示出形成半導體裝置的方法3600之流程圖。方法3600起始於步驟3601,如上所述,形成犧牲閘極(例如第7A、7B、及7C圖所示的閘極703)於主動區上(例如第7A、7B、及7C圖所示的鰭片501),並可參照第2A-7A、6B、7B、及7C圖。步驟3603中,如上所述,沿犧牲閘極之側壁及頂部表面,形成第一間隔物層(例如第8A、8B、及8C圖所示的介電層801),並可參照第8A、8B、及8C圖。步驟3605中,如上所述,形成保護層(例如第9A、9B、及9C圖所示的保護層901)於第一間隔物層上,並可參照第9A、9B、及9C圖。步驟3607中,如上所述,形成第二間隔物層(例如第11A、11B、及11C圖所示的介電層1101)於保護層上,並可參照第11A、11B、及11C圖。步驟3609中,如上所述,形成磊晶源極/汲極區(例如第16A、16B、及16C圖所示的磊晶源極/汲極區1501)於主動區中,並可參照第12A-16A、12B-16B、及12C-16C圖。步驟3611中,如上所述,形成第三間隔物層(例如第18A、18B、及18C圖所示的介電層1801)於第二間隔物層及磊晶源極/汲極區上,並可參照第18A、18B、及18C圖。步驟3613中,如上所述,以置換閘極(例如第23A、23B、及23C圖所示的閘極2307)置換犧牲閘極,並可參照第19A-23A、19B-23B、以及19C-23C圖。步驟3615中,如上所述,移除第二間隔物層,以形成氣隙(例如第24A、24B、及24C
圖所示的間隙2401)於保護層及第三間隔物層之間,並可參照第24A、24B、及24C圖。步驟3617中,如上所述,形成接觸插塞(例如第26A、26B、及26C圖所示的接觸插塞2601及2603),並可參照第25A、26A、25B、26B、25C及26C圖。
第37圖是根據一些實施例,繪示出形成半導體裝置的方法3700之流程圖。方法3700起始於步驟3701,如上所述,形成犧牲閘極(例如第27A、27B、及27C圖所示的閘極703)於主動區上(例如第27A、27B、及27C圖所示的鰭片501),並可參照第2A-7A、6B、7B、及7C圖。步驟3703中,如上所述,沿犧牲閘極之側壁及頂部表面,形成第一間隔物層(例如第27A、27B、及27C圖所示的介電層801),並可參照第27A、27B、及27C圖。步驟3705中,如上所述,摻雜部分第一間隔物層,以形成保護層(例如第28A、28B、及28C圖所示的保護層2801),並可參照第28A、28B、及28C圖。步驟3707中,如上所述,形成第二間隔物層(例如第11A、11B、及11C圖所示的介電層1101)於保護層上,並可參照第11A、11B、及11C圖。步驟3709中,如上所述,形成磊晶源極/汲極區(例如第29A、29B、及29C圖所示的磊晶源極/汲極區1501)於主動區中,並可參照第12A-16A、12B-16B、及12C-16C圖。步驟3711中,如上所述,形成第三間隔物層(例如第29A、29B、及29C圖所示的介電層1801)於第二間隔物層及磊晶源極/汲極區上,並可參照第18A、18B、及18C圖。步驟3713中,如上所述,以置換閘極(例如第29A、29B、及29C圖所示的閘極2307)置換犧牲閘極,並可參照第19A-23A、19B-23B、以及19C-23C圖。步驟3715中,如上所述,移除第二間隔物層,以形成氣隙(例如第29A、29B、及29C圖所示的間隙2401)於保護層及第三間隔物層之間,並可參照第24A、24B、及24C圖。步驟3717中,如上所述,形成接觸插塞(例如第29A、29B、及29C圖所示的接觸插塞2601及2603),並可參照第25A、26A、
25B、26B、25C及26C圖。
第38圖是根據一些實施例,繪示出形成半導體裝置的方法3800之流程圖。方法3800起始於步驟3801,如上所述,形成犧牲閘極(例如第30A、30B、及30C圖所示的閘極703)於主動區上(例如第30A、30B、及30C圖所示的鰭片501),並可參照第2A-7A、6B、7B、及7C圖。步驟3803中,如上所述,沿犧牲閘極之側壁及頂部表面,形成第一間隔物層(例如第30A、30B、及30C圖所示的介電層801),並可參照第30A、30B、及30C圖。步驟3805中,如上所述,形成第二間隔物層(例如第30A、30B、及30C圖所示的介電層1101)於第一間隔物層上,並可參照第30A、30B、及30C圖。步驟3807中,如上所述,形成磊晶源極/汲極區(例如第31A、31B、及31C圖所示的磊晶源極/汲極區1501)於主動區中,並可參照第12A-16A、12B-16B、及12C-16C圖。步驟3809中,如上所述,形成保護層(例如第32A、32B、及32C圖所示的保護層3201)於第二間隔物層及磊晶源極/汲極區上,並可參照第32A、32B、及32C圖。步驟3811中,如上所述,形成第三間隔物層(例如第33A、33B、及33C圖所示的介電層1801)於保護層上,並可參照第18A、18B、及18C圖。步驟3813中,如上所述,以置換閘極(例如第33A、33B、及33C圖所示的閘極2307)置換犧牲閘極,並可參照第19A-23A、19B-23B、以及19C-23C圖。步驟3815中,如上所述,移除第二間隔物層,以形成氣隙(例如第33A、33B、及33C圖所示的間隙2401)於保護層及第一間隔物層之間,並可參照第24A、24B、及24C圖。步驟3817中,如上所述,形成接觸插塞(例如第33A、33B、及33C圖所示的接觸插塞2601及2603),並可參照第25A、26A、25B、26B、25C及26C圖。
第39圖是根據一些實施例,繪示出形成半導體裝置的方法3900
之流程圖。方法3900起始於步驟3901,如上所述,形成犧牲閘極(例如第7A、7B、及7C圖所示的閘極703)於主動區上(例如第7A、7B、及7C圖所示的鰭片501),並可參照第2A-7A、6B、7B、及7C圖。步驟3903中,如上所述,沿犧牲閘極之側壁及頂部表面,形成第一間隔物層(例如第8A、8B、及8C圖所示的介電層801),並可參照第8A、8B、及8C圖。步驟3905中,如上所述,形成第一保護層(例如第34A、34B、及34C圖所示的保護層901)於第一間隔物層上,並可參照第9A、9B、及9C圖。步驟3907中,如上所述,形成第二間隔物層(例如第11A、11B、及11C圖所示的介電層1101)於第一保護層上,並可參照第11A、11B、及11C圖。步驟3909中,如上所述,形成磊晶源極/汲極區(例如第34A、34B、及34C圖所示的磊晶源極/汲極區1501)於主動區中,並可參照第12A-16A、12B-16B、及12C-16C圖。步驟3911中,如上所述,形成第二保護層(例如第34A、34B、及34C圖所示的保護層3201)於第二間隔物層及磊晶源極/汲極區上,並可參照第32A、32B、及32C圖。步驟3913中,如上所述,形成第三間隔物層(例如第34A、34B、及34C圖所示的介電層1801)於第二保護層上,並可參照第18A、18B、及18C圖。步驟3915中,如上所述,以置換閘極(例如第34A、34B、及34C圖所示的閘極2307)置換犧牲閘極,並可參照第19A-23A、19B-23B、以及19C-23C圖。步驟3917中,如上所述,移除第二間隔物層,以形成氣隙(例如第34A、34B、及34C圖所示的間隙2401)於第一保護層及第二保護層之間,並可參照第24A、24B、及24C圖。步驟3919中,如上所述,形成接觸插塞(例如第34A、34B、及34C圖所示的接觸插塞2601及2603),並可參照第25A、26A、25B、26B、25C及26C圖。
第40圖是根據一些實施例,繪示出形成半導體裝置的方法4000之流程圖。方法4000起始於步驟4001,如上所述,形成犧牲閘極(例如第27A、
27B、及27C圖所示的閘極703)於主動區上(例如第27A、27B、及27C圖所示的鰭片501),並可參照第2A-7A、6B、7B、及7C圖。步驟4003中,如上所述,沿犧牲閘極之側壁及頂部表面,形成第一間隔物層(例如第27A、27B、及27C圖所示的介電層801),並可參照第27A、27B、及27C圖。步驟4005中,如上所述,摻雜部分第一間隔物層,以形成第一保護層(例如第28A、28B、及28C圖所示的保護層2801),並可參照第28A、28B、及28C圖。步驟4007中,如上所述,形成第二間隔物層(例如第11A、11B、及11C圖所示的介電層1101)於第一保護層上,並可參照第11A、11B、及11C圖。步驟4009中,如上所述,形成磊晶源極/汲極區(例如第35A、35B、及35C圖所示的磊晶源極/汲極區1501)於主動區中,並可參照第12A-16A、12B-16B、及12C-16C圖。步驟4011中,如上所述,形成第二保護層(例如第35A、35B、及35C圖所示的保護層3201)於第二間隔物層及磊晶源極/汲極區上,並可參照第32A、32B、及32C圖。步驟4013中,如上所述,形成第三間隔物層(例如第35A、35B、及35C圖所示的介電層1801)於第二保護層上,並可參照第18A、18B、及18C圖。步驟4015中,如上所述,以置換閘極(例如第35A、35B、及35C圖所示的閘極2307)置換犧牲閘極,並可參照第19A-23A、19B-23B、以及19C-23C圖。步驟4017中,如上所述,移除第二間隔物層,以形成氣隙(例如第35A、35B、及35C圖所示的間隙2401)於第一保護層及第二保護層之間,並可參照第24A、24B、及24C圖。步驟4019中,如上所述,形成接觸插塞(例如第35A、35B、及35C圖所示的接觸插塞2601及2603),並可參照第25A、26A、25B、26B、25C及26C圖。
根據一實施例,半導體裝置的形成方法包括:形成一犧牲閘極結構於一主動區上;沿此犧牲閘極結構之多個側壁及一頂部表面,形成一第一
間隔物層;形成一第一保護層於此第一間隔物層上;形成一第二間隔物層於此第一保護層上;形成一第三間隔物層於此第二間隔物層上;以一置換閘極結構置換此犧牲閘極結構;以及移除此第二間隔物層,以形成一氣隙於此第一保護層及此第三間隔物層之間。一實施例中,此方法更包括形成一第二保護層於此第二間隔物層及此第三間隔物層之間。一實施例中,此第一保護層及此第二保護層包括一相同的材料。一實施例中,此第一保護層及此第二保護層包括不同的材料。一實施例中,形成此第一保護層於此第一間隔物層上包括沉積一碳層或一硼層於此第一間隔物層上。一實施例中,移除此第二間隔物層包括:對此第二間隔物層執行一選擇性(selective)蝕刻製程。一實施例中,此方法更包括在形成此第三間隔物層之前,形成一磊晶源極/汲極區於鄰近此犧牲閘極結構的此主動區中。
根據另一實施例,半導體裝置的形成方法包括:形成一犧牲閘極結構於一主動區上;沿此犧牲閘極結構之多個側壁及一頂部表面,沉積一第一間隔物層;摻雜此第一間隔物層之一上方部分,以形成一第一保護層於此第一間隔物層之一未摻雜部分上;沉積一第二間隔物層於此第一保護層上;形成一磊晶源極/汲極區於鄰近此犧牲閘極結構的此主動區中;沉積一第三間隔物層於此第二間隔物層及此磊晶源極/汲極區上;以一置換閘極結構置換此犧牲閘極結構;以及對此第二間隔物層執行一選擇性蝕刻製程,以形成一氣隙於此第一保護層及此第三間隔物層之間。一實施例中,此方法更包括形成一第二保護層於此第二間隔物層及此第三間隔物層之間。一實施例中,形成此第二保護層包括沉積一碳層或一硼層。一實施例中,摻雜此第一間隔物層之此上方部分包括:以碳或硼摻雜此第一間隔物層之一材料。一實施例中,此選擇性蝕刻製程中的
此第一保護層的蝕刻速率低於此第一間隔物層之此未摻雜部分的蝕刻速率。一實施例中,摻雜此第一間隔物層之此上方部分包括:對此第一間隔物層執行一電漿輔助摻雜製程。一實施例中,形成此磊晶源極/汲極區包括:蝕刻此第一間隔物層、此第二間隔物層、此第一保護層及此主動區,以形成一凹槽;以及磊晶成長一半導體材料於此凹槽中。
根據又一另外的實施例,半導體裝置包括:一閘極結構,位於一主動區上;一第一間隔物,沿此閘極結構之一側壁及此主動區之一頂部表面延伸;一第一保護層,鄰近此第一間隔物,此第一間隔物介於此閘極結構之此側壁及此第一保護層之間;一第二間隔物,鄰近此第一保護層,此第一保護層介於此第一間隔物及此第二間隔物之間;以及一氣隙,介於此第一保護層及此第二間隔物之間。一實施例中,此裝置更包括一第二保護層,位於此第一保護層及此第二間隔物之間。一實施例中,此氣隙介於此第一保護層及此第二保護層之間。一實施例中,此第二保護層為一碳層或一硼層。一實施例中,此第一保護層為一碳層或一硼層。一實施例中,此第一間隔物是由一第一材料形成,且此第一保護層是由以碳或硼摻雜的此第一材料形成。
可透過任何適合的方法將鰭片圖案化。舉例而言,可使用一或多道光微影製程將鰭片圖案化,包括雙重圖案化(double-patterning)或多重圖案化(multi-patterning)製程。一般而言,雙重圖案化或多重圖案化製程結合了光微影及自對準製程,使產生的圖案具有,例如,小於其他使用單一、直接的光微影製程所得的圖案間距。例如,在一實施例中,形成犧牲層於基底上且使用光微影製程將其圖案化。使用自對準製程,沿圖案化的犧牲層之側壁形成間隔物。然後移除犧牲層,而剩餘的間隔物可接著用於將鰭片圖案化。
以上概述數個實施例之特點,以便在本發明所屬技術領域中具有通常知識者可更好地了解本發明的各個方面。在本發明所屬技術領域中具有通常知識者,應理解其可輕易地利用本發明實為基礎,設計或修改其他製程及結構,以達到和此中介紹的實施例之相同的目的及/或優點。在本發明所屬技術領域中具有通常知識者,也應理解此類等效的結構並無背離本發明的精神與範圍,且其可於此作各種的改變、取代、和替換而不背離本發明的精神與範圍。
201:基底
301:半導體條
501:鰭片
601,801,1801,2001:介電層
705:淡摻雜源極/汲極區
1501:磊晶源極/汲極區
1803,2501:層間介電層
2307:閘極
2401:間隙
2501a:部分層間介電層2501
2507:矽化物層
2601,2603:接觸插塞
2801,3201:保護層
3500:鰭式場效電晶體裝置
Claims (15)
- 一種半導體裝置的形成方法,包括:形成一犧牲閘極結構於一主動區上;沿該犧牲閘極結構之多個側壁及一頂部表面,形成一第一間隔物層;形成一第一保護層於該第一間隔物層上;形成一第二間隔物層於該第一保護層上;形成一磊晶源極/汲極區於鄰近該犧牲閘極結構的該主動區中;形成一第三間隔物層於該第二間隔物層上;以一置換閘極結構置換該犧牲閘極結構;以及移除該第二間隔物層,以形成一氣隙於該第一保護層及該第三間隔物層之間,該氣隙露出該磊晶源極/汲極區之一側壁。
- 如請求項1之半導體裝置的形成方法,更包括形成一第二保護層於該第二間隔物層及該第三間隔物層之間。
- 如請求項2之半導體裝置的形成方法,其中該第一保護層及該第二保護層包括一相同的材料。
- 如請求項2之半導體裝置的形成方法,其中該第一保護層及該第二保護層包括不同的材料。
- 如請求項1或2之半導體裝置的形成方法,其中形成該第一保護層於該第一間隔物層上包括沉積一碳層或一硼層於該第一間隔物層上。
- 如請求項1或2之半導體裝置的形成方法,其中在形成該第三間隔物層之前,形成該磊晶源極/汲極區於鄰近該犧牲閘極結構的該主動區中。
- 一種半導體裝置的形成方法,包括:形成一犧牲閘極結構於一主動區上;沿該犧牲閘極結構之多個側壁及一頂部表面,沉積一第一間隔物層;摻雜該第一間隔物層之一上方部分,以形成一第一保護層於該第一間隔物層之一未摻雜部分上;沉積一第二間隔物層於該第一保護層上;形成一磊晶源極/汲極區於鄰近該犧牲閘極結構的該主動區中;沉積一第三間隔物層於該第二間隔物層及該磊晶源極/汲極區上;以一置換閘極結構置換該犧牲閘極結構;以及對該第二間隔物層執行一選擇性蝕刻製程,以形成一氣隙於該第一保護層及該第三間隔物層之間。
- 如請求項7之半導體裝置的形成方法,更包括形成一第二保護層於該第二間隔物層及該第三間隔物層之間,其中形成該第二保護層包括沉積一碳層或一硼層。
- 如請求項7之半導體裝置的形成方法,其中摻雜該第一間隔物層之該上方部分包括:以碳或硼摻雜該第一間隔物層之一材料。
- 如請求項7之半導體裝置的形成方法,其中該選擇性蝕刻製程中的該第一保護層的蝕刻速率低於該第一間隔物層之該未摻雜部分的蝕刻速率。
- 如請求項7之半導體裝置的形成方法,其中摻雜該第一間隔物 層之該上方部分包括:對該第一間隔物層執行一電漿輔助摻雜製程。
- 一種半導體裝置,包括:一閘極結構,位於一主動區上;一第一間隔物,沿該閘極結構之一側壁及該主動區之一頂部表面延伸;一第一保護層,鄰近該第一間隔物,該第一間隔物介於該閘極結構之該側壁及該第一保護層之間;一第二間隔物,鄰近該第一保護層,該第一保護層介於該第一間隔物及該第二間隔物之間;一氣隙,介於該第一保護層及該第二間隔物之間;以及一磊晶源極/汲極區延伸至鄰近該閘極結構的該主動區中,該氣隙露出該磊晶源極/汲極區之一側壁。
- 如請求項12之半導體裝置,更包括一第二保護層,位於該第一保護層及該第二間隔物之間。
- 如請求項13之半導體裝置,其中該氣隙介於該第一保護層及該第二保護層之間,且該第二保護層為一碳層或一硼層。
- 如請求項12之半導體裝置,其中該第一間隔物是由一第一材料形成,且其中該第一保護層是由以碳或硼摻雜的該第一材料形成。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862753139P | 2018-10-31 | 2018-10-31 | |
US62/753,139 | 2018-10-31 | ||
US16/245,442 US10868142B2 (en) | 2018-10-31 | 2019-01-11 | Gate spacer structure and method of forming same |
US16/245,442 | 2019-01-11 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202025307A TW202025307A (zh) | 2020-07-01 |
TWI728530B true TWI728530B (zh) | 2021-05-21 |
Family
ID=70327360
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108138979A TWI728530B (zh) | 2018-10-31 | 2019-10-29 | 半導體裝置及其形成方法 |
Country Status (5)
Country | Link |
---|---|
US (4) | US10868142B2 (zh) |
KR (1) | KR102266204B1 (zh) |
CN (1) | CN111129144B (zh) |
DE (1) | DE102019101165A1 (zh) |
TW (1) | TWI728530B (zh) |
Families Citing this family (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10868142B2 (en) | 2018-10-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate spacer structure and method of forming same |
US11380794B2 (en) * | 2020-05-08 | 2022-07-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device having contact plugs with re-entrant profile |
TWI787817B (zh) * | 2020-05-28 | 2022-12-21 | 台灣積體電路製造股份有限公司 | 半導體元件的製造方法 |
US20230019608A1 (en) * | 2021-07-09 | 2023-01-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Seal ring for semiconductor device with gate-all-around transistors |
US20230335600A1 (en) * | 2022-04-15 | 2023-10-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and method for forming the same |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090321853A1 (en) * | 2007-06-27 | 2009-12-31 | International Business Machines Corporation | HIGH-k/METAL GATE MOSFET WITH REDUCED PARASITIC CAPACITANCE |
US20140264479A1 (en) * | 2013-03-12 | 2014-09-18 | Globalfoundries Inc. | Methods of increasing space for contact elements by using a sacrificial liner and the resulting device |
TW201735268A (zh) * | 2015-12-30 | 2017-10-01 | 台灣積體電路製造股份有限公司 | 多閘極裝置的製造方法 |
TW201810394A (zh) * | 2016-07-02 | 2018-03-16 | 美商英特爾股份有限公司 | 具有釋放的源極和汲極之半導體裝置 |
Family Cites Families (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7064027B2 (en) | 2003-11-13 | 2006-06-20 | International Business Machines Corporation | Method and structure to use an etch resistant liner on transistor gate structure to achieve high device performance |
KR101986126B1 (ko) | 2012-07-18 | 2019-06-05 | 삼성전자주식회사 | 비휘발성 메모리 소자 및 그 제조 방법 |
KR20140083744A (ko) * | 2012-12-26 | 2014-07-04 | 에스케이하이닉스 주식회사 | 에어갭을 구비한 반도체장치 및 그 제조 방법 |
KR20140083737A (ko) * | 2012-12-26 | 2014-07-04 | 에스케이하이닉스 주식회사 | 에어갭을 구비한 반도체장치 및 그 제조 방법 |
KR102001511B1 (ko) * | 2012-12-26 | 2019-07-19 | 에스케이하이닉스 주식회사 | 에어갭을 구비한 반도체장치 및 그 제조 방법 |
KR20140085657A (ko) | 2012-12-26 | 2014-07-08 | 에스케이하이닉스 주식회사 | 에어갭을 구비한 반도체장치 및 그 제조 방법 |
KR102002980B1 (ko) | 2013-04-08 | 2019-07-25 | 에스케이하이닉스 주식회사 | 에어갭을 구비한 반도체장치 및 그 제조 방법 |
KR102055299B1 (ko) | 2013-04-12 | 2019-12-16 | 에스케이하이닉스 주식회사 | 에어갭을 구비한 반도체장치 및 그 제조 방법 |
KR102014950B1 (ko) | 2013-08-26 | 2019-08-28 | 에스케이하이닉스 주식회사 | 에어갭을 구비한 반도체장치 및 그 제조 방법 |
KR102046987B1 (ko) | 2013-08-30 | 2019-11-20 | 삼성전자 주식회사 | 반도체 소자 및 그 제조방법 |
US9305835B2 (en) * | 2014-02-26 | 2016-04-05 | International Business Machines Corporation | Formation of air-gap spacer in transistor |
US9252233B2 (en) * | 2014-03-12 | 2016-02-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air-gap offset spacer in FinFET structure |
JP6249888B2 (ja) * | 2014-06-19 | 2017-12-20 | ルネサスエレクトロニクス株式会社 | 半導体装置 |
US9443956B2 (en) * | 2014-12-08 | 2016-09-13 | Globalfoundries Inc. | Method for forming air gap structure using carbon-containing spacer |
KR102321390B1 (ko) * | 2014-12-18 | 2021-11-04 | 에스케이하이닉스 주식회사 | 에어갭을 구비한 반도체장치 및 그 제조 방법 |
US9911824B2 (en) * | 2015-09-18 | 2018-03-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with multi spacer |
US10008577B2 (en) * | 2016-08-01 | 2018-06-26 | Globalfoundries Inc. | Methods of forming an air-gap spacer on a semiconductor device and the resulting device |
US9960275B1 (en) | 2016-10-28 | 2018-05-01 | Applied Materials, Inc. | Method of fabricating air-gap spacer for N7/N5 finFET and beyond |
US10115808B2 (en) * | 2016-11-29 | 2018-10-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | finFET device and methods of forming |
DE102017113681A1 (de) * | 2016-12-14 | 2018-06-14 | Taiwan Semiconductor Manufacturing Co. Ltd. | Halbleiter-bauelement mit luft-abstandshalter |
US10522642B2 (en) * | 2016-12-14 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co. Ltd. | Semiconductor device with air-spacer |
JP7190814B2 (ja) * | 2017-02-13 | 2022-12-16 | ラム リサーチ コーポレーション | エアギャップの形成方法 |
US10153198B2 (en) * | 2017-04-07 | 2018-12-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low-resistance contact plugs and method forming same |
US10014370B1 (en) * | 2017-04-19 | 2018-07-03 | Globalfoundries Inc. | Air gap adjacent a bottom source/drain region of vertical transistor device |
US11011617B2 (en) * | 2018-03-23 | 2021-05-18 | International Business Machines Corporation | Formation of a partial air-gap spacer |
US10868142B2 (en) * | 2018-10-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate spacer structure and method of forming same |
-
2019
- 2019-01-11 US US16/245,442 patent/US10868142B2/en active Active
- 2019-01-17 DE DE102019101165.5A patent/DE102019101165A1/de active Pending
- 2019-04-12 KR KR1020190043123A patent/KR102266204B1/ko active IP Right Grant
- 2019-06-06 CN CN201910491908.XA patent/CN111129144B/zh active Active
- 2019-10-29 TW TW108138979A patent/TWI728530B/zh active
-
2020
- 2020-12-14 US US17/120,869 patent/US11508831B2/en active Active
-
2022
- 2022-08-09 US US17/818,400 patent/US11705505B2/en active Active
-
2023
- 2023-05-31 US US18/326,115 patent/US12062709B2/en active Active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090321853A1 (en) * | 2007-06-27 | 2009-12-31 | International Business Machines Corporation | HIGH-k/METAL GATE MOSFET WITH REDUCED PARASITIC CAPACITANCE |
US20140264479A1 (en) * | 2013-03-12 | 2014-09-18 | Globalfoundries Inc. | Methods of increasing space for contact elements by using a sacrificial liner and the resulting device |
TW201735268A (zh) * | 2015-12-30 | 2017-10-01 | 台灣積體電路製造股份有限公司 | 多閘極裝置的製造方法 |
TW201810394A (zh) * | 2016-07-02 | 2018-03-16 | 美商英特爾股份有限公司 | 具有釋放的源極和汲極之半導體裝置 |
Also Published As
Publication number | Publication date |
---|---|
US10868142B2 (en) | 2020-12-15 |
TW202025307A (zh) | 2020-07-01 |
CN111129144B (zh) | 2023-05-23 |
US20230307525A1 (en) | 2023-09-28 |
US20200135889A1 (en) | 2020-04-30 |
KR20200050323A (ko) | 2020-05-11 |
US20210098599A1 (en) | 2021-04-01 |
DE102019101165A1 (de) | 2020-04-30 |
KR102266204B1 (ko) | 2021-06-21 |
US11508831B2 (en) | 2022-11-22 |
CN111129144A (zh) | 2020-05-08 |
US11705505B2 (en) | 2023-07-18 |
US20220384606A1 (en) | 2022-12-01 |
US12062709B2 (en) | 2024-08-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11450757B2 (en) | FinFET device and methods of forming | |
TWI727071B (zh) | 半導體裝置及其製造方法 | |
TWI728530B (zh) | 半導體裝置及其形成方法 | |
US20200259001A1 (en) | Semiconductor Device and Method | |
US11764301B2 (en) | FinFET device and method of forming same | |
US10811253B2 (en) | Methods of fabricating semiconductor devices having crystalline high-K gate dielectric layer | |
US11532519B2 (en) | Semiconductor device and method | |
US12125908B2 (en) | Semiconductor device and method | |
US10734227B2 (en) | Semiconductor device and method | |
US11264505B2 (en) | FinFET device and method of forming same | |
TW202032635A (zh) | 半導體裝置及其形成方法 | |
US11688793B2 (en) | Integrated circuit structure and manufacturing method thereof | |
US11728212B2 (en) | Integrated circuit structure and manufacturing method thereof | |
TW202143300A (zh) | 半導體裝置及其製造方法 | |
US20230378362A1 (en) | Finfet device and method of forming same |