TWI724974B - 用於薄膜沉積設備的流體分配裝置、相關設備和方法 - Google Patents

用於薄膜沉積設備的流體分配裝置、相關設備和方法 Download PDF

Info

Publication number
TWI724974B
TWI724974B TW109132955A TW109132955A TWI724974B TW I724974 B TWI724974 B TW I724974B TW 109132955 A TW109132955 A TW 109132955A TW 109132955 A TW109132955 A TW 109132955A TW I724974 B TWI724974 B TW I724974B
Authority
TW
Taiwan
Prior art keywords
thin film
film deposition
fluid
reaction chamber
deposition apparatus
Prior art date
Application number
TW109132955A
Other languages
English (en)
Other versions
TW202113149A (zh
Inventor
朱哈納 科斯塔莫
奧賈拉 蒂莫 維哈
湯姆 布隆伯格
馬爾科 普達斯
Original Assignee
芬蘭商皮考遜公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 芬蘭商皮考遜公司 filed Critical 芬蘭商皮考遜公司
Publication of TW202113149A publication Critical patent/TW202113149A/zh
Application granted granted Critical
Publication of TWI724974B publication Critical patent/TWI724974B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/228Gas flow assisted PVD deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • H10N60/0268Manufacture or treatment of devices comprising copper oxide
    • H10N60/0296Processes for depositing or forming superconductor layers
    • H10N60/0436Processes for depositing or forming superconductor layers by chemical vapour deposition [CVD]

Abstract

提供了薄膜沉積設備、相關的系統和方法。薄膜沉積設備(200)包括反應室(201)和流體分配裝置(100),反應室用於容納基板(10),基板以其側面彼此相鄰的方式進行佈置,流體分配裝置具有擴展區域(101)和過渡區域(102),一種或更多種前體流體經由若干進口(103)進入擴展區域,過渡區域用於混合所述流體。流體的流動從過渡區域被引導到反應室(201)中,以便以嚴格的層流方式在基板(10)之間傳播。通過本發明,可以顯著改善基板上前體分佈的均勻性。

Description

用於薄膜沉積設備的流體分配裝置、相關設備和方法
本發明大致上關於薄層沉積方法和相關聯的裝備。特別地,本發明關於一種用於薄膜沉積反應器的、用以在反應空間中建立流體的層流流動的流體分配裝置。
薄膜沉積方法,其中,薄膜塗層從氣相沉積在基板上,在本領域中被廣泛地描述。大致上被視為化學氣相沉積(CVD)的子類的原子層沉積(ALD)技術,已經證明了其在三維基板結構上製造高質量的保形塗層的效率性。
ALD是基於交替的自飽和表面反應的,其中,將非反應性(惰性)氣態載體中的被設置為化學化合物或元素的不同反應物(前體)順次地脈衝到容納基板的反應空間中。沉積反應物之後,用惰性氣體吹掃基板。常規的ALD循環以兩個半反應(脈衝第一前體-吹掃;脈衝第二前體-吹掃)進行,從而以自限制(自飽和)的方式形成一層材料,通常厚度為0.05-0.2 nm。
在每個脈衝期間,特定的前體化學品被注射到連續地流經反應空間的惰性(載體)流體中。脈衝由吹掃週期分隔,隨之反應空間被所述載體氣體吹掃,以從前面的脈衝中移除前體化學品。在沉積進程中,一個或多個循環被根據需要重複多次以獲得具有預定厚度的膜。用於每個前體的典型的基板暴露時間的範圍在0.01-1秒(每個脈衝)內。常見的前體包括金屬氧化物、元素金屬、金屬氮化物和金屬硫化物。
可能會對通過化學沉積方法,特別是通過ALD生產的膜的質量有不利影響的一個常見缺點是當將反應性(前體)物質引入反應空間時的非保形流動圖案。反應室的突出形狀特徵和反應空間中的各種伸出結構可能干擾流動並且引起渦旋和/或湍流。在這樣的裝置中,流動圖案傾向於從層流的切換為湍流的,或者反之亦然,因為即使流體流動的微小變化(在時間、速度、化學成分等方面)也可能以不可預測的方式影響流動圖案。
沉積時間主要受吹掃週期長的限制。為了優化沉積速度(例如,在每個沉積循環的時間方面,諸如每個沉積循環0.5-20秒),應使通過沉積反應器的流體流動盡可能均衡。在ALD中,湍流有可能使脈衝化學品的排空減慢,其導致不完全吹掃,隨之在第二前體被輸送到反應空間時,第一前體殘留在反應空間中。當第二前體在氣相中與第一前體反應時,出現非期望的情況,這導致了顆粒形成。在最壞的情形下,這樣的情況會破壞待塗覆的樣品。無論如何,兩種前體而不是一種前體存在於ALD反應中可能會導致顆粒形成、塗覆不均勻以及膜質量差,具有這些性質的膜會因電擊穿電壓和顆粒增加而受損。
在這方面,鑒於要應對與避免湍流有關聯的挑戰的同時在薄膜沉積反應器中提供前體的有效的混合,仍然期望薄膜沉積技術領域的更新。
本發明的目的是解決或至少緩解由相關技術的局限和缺點引起的每個問題。該目的通過用於薄膜沉積設備的流體分配裝置、相關設備、系統和方法的各種實施方式來實現。因此,在本發明的一個方面,根據獨立請求項1中所限定的內容提供了一種薄膜沉積設備。
在實施方式中,該設備包括:反應室,該反應室用於容納基板,該基板以其側面彼此相鄰的方式進行佈置;以及流體分配裝置,該流體分配裝置包括擴展區域和過渡區域,該擴展區域具有子區域,流體流F1、F2經由被佈置在每個子區域上的至少一個進口被接收到該子區域中,使得所述流體流F1、F2在基本上朝向彼此的方向上傳播經過子區域,在過渡區域中,經由子區域到達其中的流體流F1、F2相結合;其中,每個子區域具有內部,其中該內部具有的橫跨過所述內部其的距離在沿著流體流動F1、F2的方向、在每個進口103與過渡區域之間的截面平面上增加至擴展寬度D1;並且其中,過渡區域被配置成進一步將相結合的流體流引導到所述反應室中,使得在反應室的入口處被建立並且於所述基板的側面之間傳播經過所述反應室的長度的流動F是層流的。
在實施方式中,薄膜沉積設備包括流體分配裝置,其中在每個子區域的內部中在進口103和過渡區域之間的距離處建立的流體的流動是層流的。
在實施方式中,過渡區域是使入口和出口被設置為開口的通道,該開口具有寬度d2、d2'以及以與每個子區域的擴展寬度對應的距離D1延伸的長度。
在實施方式中,過渡區域102還包括收縮地帶,該收縮地帶是通過將通道的側向表面傾斜到在距離D1處基本上恆定的寬度d3形成的。
在實施方式中,所述通道的至少一部分具有以一曲率傾斜的側向表面。
在實施方式中,擴展區域的子區域被設置在與沉積設備的縱向軸線Y基本上正交的截面平面P1處。
在實施方式中,傳播經過擴展區域的流體流F1、F2的方向與傳播經過反應室的流體流動F的方向基本上垂直。
在實施方式中,擴展區域的子區域分別被設置在截面平面P1'處,並且其中,每個這樣的平面P1'相對於截面平面P1傾斜角度阿爾法α。在實施方式中,所述截面平面P1'是鏡像對稱的。
在實施方式中,在過渡區域處,每個流體流F1、F2從截面平面P1、P1'朝向沿著沉積設備的縱向軸線Y的、被限定為子區域的對稱平面的截面平面P2進行轉向。
在實施方式中,流體分配裝置還包括流動成形元件,該流動成形元件被配置成將進入過渡區域的流體流F1、F2的流動方向調整成基本上朝向反應室。在實施方式中,流體分配裝置還包括位於過渡區域中的混合佈置結構。
在實施方式中,所述設備的反應室在其整個長度上具有恆定的截面。
在實施方式中,在所述設備中,過渡區域由具有入口開口和出口開口的通道建立,並且其中,所述入口開口和/或所述出口開口具有與反應室相同的截面。
在實施方式中,所述設備的反應室的內部在尺寸上與被接收到該反應室中的預定數量的基板相符。
在實施方式中,該設備被配置成通過建立前體流體經過反應室的長度的層流流動F將材料沉積在基板表面上,其中,前體流體在前邊緣處以基本上均勻的速度在所述基板的側面之間傳播。
在實施方式中,在所述設備中,被輸送到反應室中的前體流體包括至少一種前體化學品。
在實施方式中,前體流體以若干連續脈衝的形式被輸送到所述設備的反應室中。
在實施方式中,該設備被配置成在所有基板表面上同時沉積塗覆膜。
在實施方式中,該設備還包括排放導管和罩殼,該罩殼基本上被佈置在所述排放導管周圍並接收從反應室流動經過導管的流體,其中,所述排放導管和所述罩殼形成排放組件,該排放組件被配置成改變排放流動離開反應室的方向。在實施方式中,在所述排放組件中,排放導管和罩殼形成通路,在該通路中,經由排放導管離開反應室的排放流動在形成所述導管的一個或多個壁周圍進行轉向,同時仍然保留在罩殼中,以便經由被佈置在罩殼的至少一個側壁上的孔被進一步引導到排放歧管中。
在實施方式中,該設備被配置用於化學沉積反應的設備。在實施方式中,所述設備被配置用於原子層沉積(ALD)的設備。
在另一方面,提供了一種根據獨立請求項26中所限定的用於在用於薄膜沉積的設備中在基板表面上沉積材料的方法。
在又一方面,提供了根據獨立請求項28中所限定的根據一些前述方面的用於薄膜沉積的設備用於將塗層材料沉積在基板表面上的用途。
在又一方面,提供了一種根據獨立請求項29中所限定的薄膜沉積系統,該薄膜沉積系統包括若干根據一些前述方面的用於薄膜沉積的設備。在實施方式中,所述系統中的設備順次和/或按陣列彼此連接。
在實施方式中,設備被佈置在所述系統中,以便將公共的前體化合物接收到至少兩個設備中。
在一些其他方面,提供了根據獨立請求項31中所限定的用於在根據一些前述方面的用於薄膜沉積的設備中均勻分配流體的方法。
在一些配置中,還提供了一種用於薄膜沉積設備的流體分配裝置,該流體分配裝置包括擴展區域和過渡區域,擴展區域包括子區域,該子區域用於經由被佈置在每個子區域上的至少一個進口來接收流體流F1、F2,使得所述流體流F1、F2在基本上朝向彼此的方向上傳播經過子區域,在過渡區域中,經由子區域到達其中的流體流F1、F2相結合,其中,每個子區域具有內部,其中該內部具有的橫跨其的距離沿著流體流F1、F2的方向、在每個進口103與過渡區域之間的截面平面上增加至擴展寬度D1;並且其中,過渡區域被配置成將相結合的流體流引導到沉積設備的反應室中,使得在反應室的入口處被建立並傳播經過所述反應室的長度的流動F是層流的。
取決於本發明的每個特別的實施方式,本發明的實用性由於多種原因而產生。總體而言,本發明提供用於在沉積設備中有效地混合前體化學品並同時用於在化學沉積反應中建立層流流動條件的裝置和方法。避免ALD反應中的渦旋允許產生具有改善的厚度均勻性的高質量膜。通過建立和控制層流流動圖案,本發明允許縮短吹掃時間並加快整個生產過程。
在ALD實現方式中,在脈衝和吹掃階段兩者期間均保持層流流動。在吹掃階段中建立層流流動條件允許從反應空間較有效地移除流體,諸如氣體,並且相應地減少吹掃預定(反應室)體積所需的時間段。因此,層流地流入反應室的流體穩定地並且均衡地從前面的脈衝中“推開”以氣相的仍然保留的前體化合物和/或反應產物。
在不支持層流流動的解決方案(現有技術)中,通常通過用惰性流體“稀釋”來降低所述前體化合物和/或反應產物在反應空間中的濃度。然而,由於基本上湍流的流動條件,反應室的吹掃可能持續很長時間(例如超過1分鐘)。在由此提出的設備中,在反應室的入口處被建立並且在使流體傳播經過所述反應室時被保持的層流流動條件接近於在層流塞流反應器中觀察到的條件。
根據本發明的流體分配裝置允許將從不同源到達反應室中的前體化學品保持彼此分隔開,從而有效地防止所述化學品彼此混合和/或反應直到它們到達反應器設備中的預定空間。因此避免在反應室之前的反應器表面上形成膜。在該設備中,前體化學品被直接引導到反應室中,而不會被允許駐留在非期望的表面上(由於混合不及時/太早混合)。
在當前公開的設備中,前體化合物傳播經過反應空間,一次傳播經過一個前體,這通過改善的流速特性而成為可能,改善的流速特性又通過創新的配置成為可能。因此,該設備允許避免在淋浴頭反應器中通常出現的問題,例如,並且避免在ALD反應器中與所謂的CVD型反應(基於將至少兩個前體同時引導到反應空間中)相關聯的問題(基於將前體後繼引導到反應空間中,使得化學品不會混合)。
在根據本公開內容的設備中進行化學沉積反應時,反應室中的所有基板諸如晶圓基板都沉積有均勻的前體層,使得前體的濃度在單個基板的(側)表面上是均勻的,並且在反應空間中的所有基板的表面上是均勻的。由於其有均勻地混合前體化學品並且將所述混合的化學品均衡地散佈到所有基板表面上的能力,可以使每個脈衝中被引導到反應空間中的前體化學品的量最小化。這允許節省化學品,減少一個或多個饋送管線中的膜形成,並且使吹掃時間最小化。
該設備還在沒有壓力損失的情況下運行。
在本公開內容中,具有小於1微米(μm)的層厚度的材料被稱為“薄膜”。
在本公開內容中,表述“反應性流體”和“前體流體”表示在惰性載體中包括至少一個化合物(前體化合物)——以下稱為前體——的流體流動。
在本公開內容中,表述“若干”是指從一(1)開始的任何正整數,例如一、二或三。在本文中,表述“多個”是指從二(2)開始的任何正整數,例如二、三或四。
術語“第一”和“第二”並非意在指示任何順序、數量或重要性,而是僅用於將一個元件與另一元件區分開,除非另有明確說明。
在本公開內容中,表述“若干”是指從一(1)開始的任何正整數,例如一、二或三。在本文中,表述“多個”是指從二(2)開始的任何正整數,例如二、三或四。
在此呈現的圖中的一些組件不必按比例繪製。
在本文中參考圖式公開了本發明的詳細實施方式。在所有圖式中,相同的元件符號用於指代相同的構件。以下引用用於構件: 100:流體分配裝置; 101:擴展區域; 101-1、101-2:擴展區域的子區域; 102:由通道102A-102B建立的過渡區域; 102A、102B:相應的入口開口和出口開口(過渡區域); 103:進口; 104:收縮區域; 105:流動成形元件; 106:混合佈置結構; 107:電漿產生佈置結構; 110:擴展區域的蓋零件; 112、121:通道102A-102B的內部側向表面; 200:沉積設備; 201:反應室; 202:基板保持架; 203:門; 204:加熱器; 210:真空室; 10:基板; 11:惰性(載體)流體; 12:前體流體; 12X、12A-12G:前體,可選地在對應容器中(前體源); 21:前體流體輸入管線; 21A:前體流體流動調節裝置; 21B:用於一個或多個前體源的關閉閥; 22:閥塊; 301:控制系統; 40:排放歧管; 41、41A:相應地具有出口孔的排放導管; 42、42A:相應地用於具有出口孔的排放導管的罩殼; 43:用於真空泵前級管線的饋通佈置結構; 401:排空管線(真空泵前級管線); 500(500A、500B、500C、500D):沉積系統
圖1以200示出了根據各種實施方式的薄膜沉積設備,以下為反應器。在所有配置中,反應器200包括流體分配裝置100(虛線框),其被配置成產生流體在整個反應空間中的層流流動,反應空間包含多個基板10。反應器200包括反應室201和流體分配裝置100,流體分配裝置具有擴展區域101和過渡區域102,過渡區域將擴展區域101與反應室201連接。
反應器200較佳地被配置成利用基於氣相沉積的技術的原理,較佳地,基於化學氣相沉積(CVD)的技術的原理。在較佳實施方式中,反應器200被配置成原子層沉積(ALD)裝置。
在ALD中,至少一個基板典型地被暴露於反應容器中暫時地分開的前體脈衝,以通過順次的自飽和表面反應使材料沉積在基板表面上。在本申請的上下文中,術語ALD包括所有可適用的基於ALD的技術和任何等效的或緊密相關的技術,諸如,例如以下ALD子類型:MLD(分子層沉積)電漿輔助ALD例如PEALD(電漿增強原子層沉積)、以及光子增強原子層沉積(也被稱為閃光增強ALD)。
還可以使反應器200適用於物理氣相沉積(PVD)和電漿增強化學氣相沉積(PECVD)工藝。
因此,圖1以豎向剖面示出了薄膜沉積設備200的示例性設施。反應室201被配置成長形容器,其具有容納多個基板10的內部,多個基板較佳地被佈置成其側表面彼此面對。較佳地利用例如可以被佈置成豎向堆疊體(具有並排佈置的平坦表面)的平坦的平面基板,諸如板狀或盤狀基板。例如,基板10可以是晶圓,諸如金屬晶圓或矽晶圓。所述反應室201的內部形成反應空間(沉積空間)。
基板10可以被一個接一個地或作為一批裝載到反應室中。通常較佳地為批次裝載。以非限制性的方式,基板保持架202可以被配置用於一批1-27個基板。一些實施方式包括一批15個基板。
在各種配置中,基板保持架202可以及閘/艙口203或與反應室201集成。替代性地,基板保持架可以被設置為單獨的可移除的部件。基板保持架202可以由至少一個元件諸如桿或棒形成,例如,基板10被佈置到該至少一個元件上(例如,裝配到設置在所述桿上的凹槽中)。在一些配置中,基板保持架202包括用以使基板移動、振動和/或旋轉的裝置諸如一個或多個致動器、一個或多個電機等。以這樣的方式,可以使沉積塗層到所述基板上的過程優化,特別是在沉積均勻性方面。
使盤狀基板諸如晶圓在反應空間中旋轉是有利的。旋轉速度可以相對較慢,諸如每一整個沉積進程(其包括沉積膜所需的所有沉積循環)旋轉半圈(180度),或者相對較快,諸如在單個化學脈衝期間旋轉一整圈(360度)。在配置中,在基板保持架202被設置為固定在反應空間內的至少一根桿的情況下,可以通過向所述桿賦予繞其中心軸線的旋轉移動來實現基板10的旋轉。替代性地,基板保持架可以被實現為固定在例如反應室201內的滑動佈置結構諸如根據基本上圓形的軌道佈置的一個或多個軌條(未示出)上的若干桿。在這樣的情況下,通過使桿(基板保持架202)在基本上圓形的軌道上移動來執行繞基板10的中心軸線的旋轉。
較佳的是,該批次中的基板10被佈置成使得它們的側面彼此等距間隔。
反應室201被配置成緊密地裝配在被接收到其中的一批基板的周圍。因此,該批次中最外面的基板(面對反應室的側壁的基板)與所述側壁的距離基本上與該批次中所有基板之間設置的距離相同。反應室被實現為平坦的、長形的容器,其在尺寸上與被接收到其中的預定數量的基板10相符。
在較佳實施方式中,流體沿著反應室201的縱向平面傳播經過反應室。反應室的縱向平面是從反應室的頭部(入口)到其尾部(排放口)的平面。在本公開內容中,從頭到尾的方向被稱為y方向或沿著Y軸(縱向軸線)的方向。因此,流過反應室的流體被稱為沿著縱向平面發生,而與反應器200在整個設施內是豎向地還是水平地放置無關。
圖1示出了處於直立位置的反應器200的配置,該配置大致上允許流體沿著反應室的縱向平面在基本上豎向(“從上至下”)的方向上傳播經過反應室201。在圖6A上示出了反應器200被水平地放置的配置。
基板通過典型地用門203(圖1和圖6)關閉的開口被裝載到反應室201中。反應室的任何面都可以設置有用於基板裝載的開口。根據反應器200是豎向地還是水平地佈置的,可以從一側(圖1)、從底部(圖6A)或者從頂部(未示出)實現裝載。
除非另有明確規定,否則設備200的縱向平面、縱向軸線和/或Y軸與反應室201的縱向平面、縱向軸線和/或Y軸對準。
反應器200還包括用於將排放流動諸如過量的載體、前體和反應產物排出反應室201的排放(排空)管線401。排放管線401構成用於泵單元和可選地一個或多個閥(未示出)的前級管線。下面進一步詳細描述其中反應室通過排放歧管與排放管線401連接的配置。
在一些實例中,可以較佳的是,以不間斷的方式實現從反應室撤走流體物質。因此,泵單元可以被配置成真空泵,以用於在整個沉積過程期間連續地從反應室移除流體物質。
在操作中,通過排放歧管的設備管線401還設置有外部殼體210,以容納反應室201。在一些實例中,由所述外部殼體的內部建立的中間空間被保持在真空下,並且被稱為真空室。在圖1和6A中示出了包括真空室210的示例性配置。下面進一步描述其中可選地被配置成真空室的外部殼體包含不止一個設備200的實施方式。
提及的中間空間210還可以容納若干加熱器/加熱元件204(圖1)。一個或多個加熱元件可以被放置為成鄰接一個或多個反應室。附加地或替代性地,若干加熱元件可以被集成到形成反應室201的容器的一個或多個壁中。在另外的、附加的或替代性的配置中,例如,可以通過引導預加熱的流體諸如氣體經由饋送管線21進入反應空間來實現對反應空間的加熱。在這樣的情況下,若干加熱元件可以被佈置成鄰接或包圍饋送管線21,或者被相結合到形成所述饋送管線的管(未示出)中。在其他配置中,加熱元件可以被設置在圍封閥的單獨的隔室22(圖1)中。
較佳的是,在操作、裝載和卸載期間,反應室201被保持在真空下,隨之反應室中的壓力被保持處於低於1 kPa(10 mbar)——較佳地為10 Pa(0.1 mbar)——的水平。在一些配置中,反應室中的壓力被設置處於與環境壓力相同的水平。
還較佳的是,中間空間/真空室210中的壓力被保持處於至少1 kPa(10 mbar)的水平。較佳地,中間空間210中的壓力被保持處於超過1 kPa的水平,以在反應室201的內部(通常小於100 Pa)與真空室210的內部之間建立壓力差。
在下面進一步呈現的若干示例中,中間空間中的壓力與反應室中的壓力相比被保持較高。根據特別的指令引數、前體和/或反應條件,中間空間210中的壓力可以進一步被保持低於反應室201中的壓力或與反應室中的壓力相同。
一個或多個前體流體12經由若干輸入管線(饋送管線)21和相關聯的切換和/或調節裝置21A諸如閥輸送到反應器中。圖1示出了其中前體流體12通過至少一條饋送管線21輸送到反應室201內的實施方式。在一些實例中,較佳的是反應器200包括兩個、三個或更多個饋送管線21(未示出)。在一些配置中,設備可以包括可連接到各種前體源和一個或多個惰性氣體供應源(未示出)的歧管饋送管線和分配管道。在一些替代性配置中,可以通過使閥21A直接連接到流體分配裝置100來省略饋送管線的設置。
較佳的是,輸送到反應室中的前體流體12包括被設置為12A、12B、12C、12D、12E、12F和12G中的任一者的至少一種前體化合物12X。
前體以流體形式被輸送到饋送管線21中。流過饋送管線21的反應性流體12較佳地是包括由惰性載體11攜載的預定的前體化學品12X的氣態物質。例如,前體從被配置成容器、盒子或管路系統的一個或多個供應源被供應到饋送管線21中。每個源較佳地包含被設置為化合物、分子或元素的預定的前體12X。例如,每個源配備有被設置為手動關閉閥的至少一個閥21B。一個或多個沉積反應諸如ALD反應所需的各種前體化學品,可以經由單個饋送管線21被引導到反應空間中。
在一些實例中,一個或多個前體12X被設置成氣態形式諸如氨氣(NH3)。在另一些實例中,一個或多個前體被設置成液體或固體形式,並且在與惰性載體混合之前被汽化。
惰性載體11是流體,較佳地為氣體,諸如氮氣(N2)、氬氣(Ar)或對前體(反應物)和反應產物具有基本上零反應性的任何其他合適的氣態介質。惰性載體氣體11由一個或多個單獨的源(未示出)供應。
較佳的是,前體流體12以若干連續脈衝被輸送到反應室201中。舉例來說,在創建用於通過使用三甲基鋁(第一前體)和水(第二前體)來沉積包含氧化鋁的塗覆層的微機電系統(MEMS)ALD過程中普遍的將是採用從兩個源經由相同的饋送管線21或兩根不同的饋送管線順次地被供應到反應室中的兩種這些化學品。在脈衝之間,反應室用惰性流體吹掃。
製造複雜的多層結構(所謂的堆疊體)——其中每層在單獨的ALD循環中生產和/或其中所述各層在成分方面彼此不同——可以相應地採用三個或更多個不同的前體和供應源。
在一些實例中,例如,化合物的混合物諸如溶劑中的預定的前體可以從相同的前體源供應。
各自從不同的源供應的一個或多個前體12X以及惰性載體11經由多通閥21A進入饋送管線21。閥21A被配置成具有例如自動控制系統和可選地手動備用控制項的三通閥。在較佳配置中,閥21A是三向閥,通常被稱為ALD閥。ALD閥被配置成保持惰性載體流體11穩定地流入反應室中,並且在預定的時間點將一個或多個流體前體12X引入所述載體中。ALD閥可以被配置成將前體12X注入(連續地)流動的載體中。附加地或替代性地,例如(未示出的)其他控制裝置諸如一個或多個質量流量控制器可以被設置在閥21A的上游,以在前體12X被注入饋送管線21的時間段內改變載體流體11的流量。在任一情況下,前體的注入以短暫脈衝(0.01-100 s,典型地0.1 s)執行。
在反應器包括不止一根饋送管線21的配置中,較佳的是,每根所述饋送管線配備有ALD閥21A。
在一些配置中,閥21A可以是具有附加的廢料管線的四向閥,如WO2018/234611和/或WO2018/202949中所述。附加的閥諸如三向閥或四向閥可以用於饋送管線21,或直接連接到流體分配裝置100。
反應器200還配備有可選地加熱隔室22(被稱為“閥塊”),該隔室包含閥21A和閥21B中的任一者,並且可選地包含一個或多個前體源12X以及一個或多個惰性載體源11中的任一種。每個前體源12X還可以被圍封在有單獨的熱調節的盒子中;在WO2009/130375中描述了這樣的源的示例性實現方式。
參考圖1,一個或多個反應性流體12經由連接到至少一個饋送管線21和/或經由閥21A直接連接到前體源12A的若干進口103被輸送到反應室中。在進入反應室201之前,一個或多個反應性流體12經由進口103被接收到在下文中描述的流體分配裝置100中。
參考示出了流體分配裝置100作為從側部觀察的豎向剖面(圖2)和作為從頂部觀察的水平剖面(圖5A)的圖2和圖5A。圖2上的設備200的定向與圖1上的相同。在三維笛卡爾坐標系中,圖2示出了沿著縱向截面平面(流體分配裝置100/設備200與平面XY相交)的視圖,而圖5A示出沿著橫向(水平)截面平面(流體分配裝置100與平面XZ相交)的視圖。例如,在反應室201中,基板10諸如盤狀晶圓被佈置(圖1,在基板保持架202中)成所謂的豎向堆疊體,隨之基板10的側表面彼此面對(彼此平行)。所有基板沿著反應室201/反應器200的縱向軸線(Y軸)佈置。
流體分配裝置100包括擴展區域101和過渡區域102。擴展區域101可以被視為反應器的“蓋”,在某種意義上是反應器的最頂部部分。例如,擴展區域101可以可選地由通過標準技術諸如焊接連接至反應室的單獨部件形成。因此,在一些實例中,擴展區域101可以被設置為可移除的和可替換的隔室。過渡區域102由所述蓋(擴展區域101)和反應室201之間的地帶形成,該地帶可選地包括用於使會聚的流體流有效地混合的若干器具。
擴展區域101包括由過渡區域102隔開但設置在共同的頂蓋110下方的子區域(子容積)101-1、101-2。頂蓋110可以被設置為擴展區域101的整體(不可分離的)部件;或者,替代性地,頂蓋部分110可以被設置為單獨的、可拆卸的部件(例如,以便於維護)。頂蓋未在圖5A-5C和圖7A-7D中示出。
每個所述子區域101-1、101-2包括至少一個進口103。流體流F1、F2通過進口103被接收到擴展區域中,特別地,被接收到相應子區域101-1和101-2中。
在較佳配置中,每個子區域101-1、101-2在水平剖面處以基本上三角形的形狀呈現(圖5A)。子區域101-1、101-2可以被設置成等腰三角形的形狀,例如,其中至少一個進口103被設置在相等的邊之間的夾角處並且與到過渡區域102的入口(由距離D1限定,圖5A)相對。因此,距離D1限定了三角形的底邊。
因此,每個子區域101-1、101-2由具有內部的隔室建立,其中該內部具有的橫跨其的距離(寬度)沿著流體流F1、F2的方向、在每個進口103和過渡區域102之間(表示為d1的距離)逐漸增加至擴展寬度D1(圖5A)。由於子區域101-1、101-2的基本上三角形的形狀,流體根據基本上延展的(徑向的)圖案在進口103和過渡區域102之間以距離d1傳播,至擴展寬度D1;但是在由隔室101-1、101-2的內部限定的限制內。
在子區域101-1、101-2中的任一個子區域上設置一個或多個進口103,使得流體流F1、F2以距離d1、基本上朝向彼此(如圖2、圖5A上的箭頭所示)傳播經過子區域101-1、101-2。在一些實施方式中,子區域101-1上的一個或多個進口103被佈置成與子區域101-2的一個或多個進口相對,從而允許流體流F1、F2從相反的方向朝向彼此傳播。
形成子區域101-1、101-2的隔室具有內部高度h1(圖2)。較佳的是,跨過子區域的內部的高度h1是基本上恆定的。儘管如此,例如,值h1可以在每個子區域101-1、101-2內變化,以適應於特別的流動條件;由此可以得到在每個進口朝向過渡區域之間的距離(d1)處高度h1增加或減小的配置。
藉助於這樣的特徵,由於子區域101-1、101-2被設置為實質上擴大的(“翼狀的”)隔室,該隔室的寬度逐漸增加以在進口103與過渡區域102之間在距離d1處達到擴展寬度D1,因此傳播經過子區域101-1、101-2的流體流動(F1、F2)的輪廓是層流的。
在本公開內容的上下文中,層流流動或流線流動被定義為沒有湍流的流動(沒有湍流速度波動)。在層流流動中,流體層/流在沒有渦旋、旋渦或湧流的情況下平行滑動。為清楚起見,我們注意到,本發明不應與任何類型的文丘裡應用相混淆,無論是在結構細節方面還是關於功能。本發明不使用不可壓縮的液體,而是使用在高真空條件下並且在大多數實例中處於升高溫度的氣態介質。
在本文所述的設備中,層流流動自然地支援前體流體流動和惰性流體流動兩者,例如,在吹掃期間,惰性流體流動發生在ALD中。
圖2示出了進口103的兩個替代性配置。左側的進口(F1)被配置成基本上與擴展區域101垂直的管(被設置在水平平面XZ上)。經由所述進口進入擴展空間的流體F1(豎向地向下流動)基本上以直角(90°)進行轉向,隨之流體流F1與相對的壁碰撞並進一步經由隔室101-1朝向過渡區域102以逐漸減小的流動阻力傳播。替代性地,用於接收流動F1的進口103可以被佈置在構成擴展區域101的隔室的下部分上(未示出),隨之流動F1應該在向上的方向上建立。
右側(圖2)的用於接收流動F2的進口被配置成具有兩個直角轉向的管。進入該進口的流體F2被迫使改變其方向兩次。因此,流體流動的慣性減小。儘管如此,不排除用於進口和/或進口的組合、和/或用於一個或多個進口在設備中的位置的任何其他適當的配置。
過渡區域102(設備200的所謂“喉部”)建立在擴展區域101與反應室201之間。在設備200中,過渡區域102是下述地帶,在所述地帶,從基本上相對側到達的流體流F1、F2會聚並且混合。就其形狀和/或各種結構器具諸如例如(在下文進一步描述的)105、106而言,過渡區域102被配置成接收並且結合經由擴展區域101到達該過渡區域的流體流F1、F2,隨之形成相結合的流體流Fc(圖2、3A),該相結合的流體流進一步被引導到反應室201中。
過渡區域102由具有入口102A和出口102B的通道102A-102B建立,每個入口和出口分別被設置為具有寬度d2和d2'的開口(圖5B)。寬度參數d2和d2'可以相等或彼此不同(d2=d2'或d2<d2'或d2>d2')。另外,每個開口102A、102B具有在距離D1處延伸的長度,該長度對應於每個子區域101-1、101-2的擴展寬度(圖5B)。
總體而言,過渡區域102的實現是為了確保流F1、F2的有效混合,藉此前體化學品均衡地駐留在所沉積的表面上。因此,反應室中的所有(晶圓)基板10都沉積有均勻的前體層,使得前體的濃度在單個基板(側)表面上並且在該批次中/反應空間中的所有基板的表面上是均勻的。混合在過渡區域102中以高度受控的方式實現,而沒有渦流形成並且沒有壓力損失,這進一步實現了有效的吹掃。
通常,開口102B處的截面面積由反應室的截面面積(XZ)限定,而開口102A處的截面面積可以進行與設計有關的修改。因此,開口102B處的寬度d2'典型地與反應室201的寬度對應(圖5B)。
因此,開口102B勾勒出過渡區域102與反應室201之間的邊界;因而,所述開口102B還可以被稱為反應室的入口。
形成擴展區域101的隔室101-1、101-2可以被視為設置在設備200的本體的最頂部部分處的中空延伸部(“翼部”)。子區域101-1、101-2在開口102A與一個或多個進口103之間以距離d1延伸。在距離D1處,開口102A形成擴展區域101與過渡區域102之間的邊界(圖5A-5C)。
在擴展區域101的示例性佈局中(圖5A-5C),例如(圖5A),子區域的由進口103建立的最遠點之間的距離超過距離d2的兩倍或三倍,距離d2限定形成過渡區域102的通道102A-102B的寬度。
在實施方式中,通道102A-102B在其整個高度(h2)上具有恆定的截面(與平面XZ相交)。在這樣的情況下,開口102A和102B的截面是相同的。在一些配置中,通道102A-102B可以被配置成在其高度上具有變化的截面(XZ)。
在實施方式中,通道102A-102B的截面(XZ)在入口102A(寬度為d2)與出口102B(寬度為d2')之間在距離(h2)處減小。通道102A-102B的寬度因此從值d2變窄到預定值d3(圖2、圖3A、圖5A、圖5B),並且在反應室(102B)的入口處進一步擴展到值d2'。
通道102A-102B的最窄區域由收縮地帶104形成。在一些配置中,過渡區域102因此包括在整個距離D1處具有基本上恆定的寬度d3(圖5A、圖5B)的收縮地帶104(圖2、圖3A至圖3B)。
收縮地帶104較佳地通過通道102A-102B的(內部)側向表面112、121(圖2、圖3A)在整個距離D1處傾斜到寬度d3形成。在所述通道中,表面112、121各自從入口102A和出口102B相應地朝向收縮地帶104以一距離逐漸傾斜(圖5B)。
附加地或替代性地,收縮地帶104可以包括在末端表面處的(內部)傾斜部,即被設置在側表面112、121之間的縮窄部分(未示出)。
在一些配置中,所述通道102A-102B的至少一部分具有以一曲率傾斜的內部側向表面。在一些實例中,有利的是,通道的部分在距離102A-104(表面112)處以一曲率傾斜,而在距離104-102B(表面121)處的通道的部分形成傾斜平面(圖2、圖3A、圖3B)。這樣的佈置允許實現流體流動從基本上水平的平面(XZ)到基本上豎向的平面(XY)的平穩過渡,如在流體流動方向上所限定的。
在過渡區域102中,從基本上相反的方向(101-1、101-2)到達通道102A-102B中的流體流F1、F2會聚並且相結合以形成相結合流Fc。在圖2、3A中示意性地示出了入流流體F1、F2的混合以及相結合流Fc的形成。
圖3A和圖3B示出了被設置在流體分配裝置100中用於促進流體F1、F2的混合的若干附加器具105、106。
在一些配置中,裝置100包括流動成形元件105,該流動成形元件被配置成調整進入過渡區域102的流體流F1、F2的流動方向,以便將所述流基本上朝向反應室201引導。流動成形元件105可以被設置為頂蓋110的整體延伸部,或者被設置為能夠可移除地連接到所述頂蓋的內部的單獨部件。
在截面處,流動成形元件105可以具有穹頂(圖3A、圖3B)、三角形、截頂三角形等形狀。在流體分配裝置100中,元件105較佳地被佈置成使得其冠(crest,頂、脊)(最突出的、流動成形的部分)面向反應室201。元件105可以被設置為在整個距離D1上具有相同截面的連續元件;或者,替代性地,元件105可以被設置為在所述距離D1處順次佈置的若干成形物品(穹頂、三角形等)。
元件105防止經由隔室101-1、101-2到達過渡區域102中的流F1、F2在入口102A處碰撞(圖5B,元件105未示出);取而代之的是,元件105將流F1、F2朝向收縮地帶104引導。該佈置提高了混合速率和混合均勻性。
附加地或替代性地,流體分配裝置100包括被佈置在過渡區域102(圖3B)中的混合佈置結構106。在實施方式中,混合佈置結構包括在距離D1處(在過渡區域102內)延伸的軸或桿,該軸或桿具有以交叉方式設置在所述軸上的若干固定葉片(圖3B)。該葉片可以在截面處配置有彎曲的、尖銳邊緣的輪廓。在一些其他實施方式中,葉片或類似工具(桿、棒、指狀物等)可以被佈置在形成過渡區域102的內部表面處或蓋110處。例如,所述工具還可以通過其他方法、通過擠壓來設置。不排除混合佈置結構106的任何其他適當的實現方式。
在其中流體分配裝置100包括一個或多個器具105和/或106的配置中,可以被省略在通道102A-102B中設置收縮區域104。
在實施方式中,設置了電漿產生佈置結構107(圖3A)。電漿產生佈置結構107可以取代混合佈置結構106或對混合佈置結構進行補充。在後一種情況下,可以將一個或多個電漿電極集成到混合佈置結構106的結構中。就設計特徵而言,所述電漿產生佈置結構107以與關於混合佈置結構106所述類似的方式被構造和/或連接到反應器的內壁。例如,電漿產生佈置結構107包括至少一個電漿源諸如電漿電極或由至少一個電漿源構成。在本公開內容的上下文中,電漿源被定義為可以從旁通流體、較佳地氣體產生和/或發射電漿的裝置。此外,設備200可以包括被設置在反應室外部、用於產生電漿的一個或多個裝置,諸如用於產生感應耦合電漿的一個或多個裝置。這樣的一個或多個裝置較佳地包括高頻感應線圈和高頻動力源(未示出)。
在一些配置中,電漿產生佈置結構107包括至少一個電漿天線或由至少一個電漿天線構成。所述一個或多個等離子天線可以被設置成在距離D1處延伸(如上文中關於混合佈置結構106所述)的單個桿的形式,或者被設置為佈置在形成過渡區域102的內部表面處或蓋110處的一個或多個“指狀物”。
包括在過渡區域中混合的流F1、F2的相結合的流體流動(Fc)進一步被引導到反應室201中。在反應室的入口102B(圖3A)處建立並且傳播經過所述反應室的流體流動在圖2、3A上被表示為流動F。在反應室的入口處建立並且在基板10之間通過所述反應室的整個長度傳播的流動F是層流的。
在反應器200中,流體流F1、F2在其從擴展區域101(101-1、101-2)到反應室201的途中通過過渡區域102的傳播伴隨所述流體流的方向的改變。通過設置根據實施方式的流體分配裝置100,並且藉助於相對平坦的、被設置為密閉空間的、包圍被佈置成豎向堆疊體的一批基板的反應室201,防止了在傳播經過過渡區域102的流體圖案中形成射流、渦流和/或旋渦。
反應器200被配置成使得流體通過反應器的每個隔室的流動保持為層流的。流體,為清楚起見表示為流F1、F2、Fc、F,在沒有波動或不規則的情況下,以規則的路徑行進通過每個相應的隔室101-1、101-2、102、201,藉此流動速度、壓力、加速度和其他流動特性在行進通過每個特別的隔室的流體流動的每個假想截面內的任何一點處都保持基本上恆定。儘管上述參數在前邊緣處以及在流體流動的方向上的跨過流體路徑的每個(假想)剖面內保持基本上恆定,一些參數值(壓力、流動速度)可能會在下述距離處和/或在傳播經過反應室201時減小,所述距離限定了從進口10朝向基板10的整個流體路徑。
過渡區域102(“喉部”)被實現成使得以允許流體在層流條件下的有效(對流和擴散)混合。在所述過渡區域中,在沒有與湍流相關聯的渦流或射流的情況下,從基本上相反的方向到達的流體流F1、F2被重新分配並重新結合(Fc)以形成與流動方向Y平行的流動F,該流動方向與沉積設備的縱向軸線Y對應。在過渡區域102中混合的層流是通過流體分配裝置100和反應室201的獨特配置來得到的。
流F1、F2在與擴展區域101有邊界D1的過渡區域102處會聚和混合(圖5A-5C)。相結合的流Fc以流動F的形式傳播到被配置成實質上平坦的、長形的本體的反應室201中。較佳的是,反應室201在其由Y軸限定的整個長度上(從其與過渡區域的邊界到在圖5B上由102B表示的排放口)具有恆定的截面(平面XZ)。
因此,在反應室的入口處建立的流動F以基本上均勻的速度在基板10的側面之間傳播經過所述反應室的長度。
根據實施方式的反應器200允許通過在整個反應室201的長度上建立前體流體12的層流流動(F)來使塗覆膜同時均勻地沉積在所有基板表面上。在層流流動的條件下,前體流體12在前邊緣處以基本上均勻的速度在基板10的側面之間傳播。設備200允許避免由堆疊體中的基板上的不規則沉積速率產生的(由基板之間的不均勻和/或湍流的前體流體流動引起的)以及常規化學沉積反應器中常見的沉積故障。
在反應器200中,在反應室的入口(102B,截面平面XZ)處,得到濃度均勻的前體(預定容積中基本上相同數量的前體分子)。當前體流體以穩定的層流流動F的形式傳播經過反應室的整個長度並在基板10之間傳播時,前體濃度保持基本上均勻。因此,基板10的所有面沉積有下述膜,所述膜具有相同厚度以及在一個或多個沉積表面上的前體分子的均勻/均衡的分佈。
將基板並排並且彼此緊密相鄰地(儘管其間留有間隙)佈置在反應室中有助於在所述基板10之間建立層流流動。在實踐中,反應室可以被設置成不同的大小,以便以非限制性的方式在尺寸上與各種標準基板——諸如具有範圍在25-300 mm之間的直徑的盤狀晶圓基板——相符。反應室201(以及相應的整個反應器設施200)還可以被修改以包含直徑超過300 mm的基板。
在使用盤狀基板10的情況下,反應器200/反應室201的排放端部可以是彎曲的或弓形的(圖6A)。
為了防止前體化學品在這些化學品應到達過渡區域102前在流體分配裝置100中混合,並且為了保持經由反應器200以均勻的流動速率穩定地流動,可以進行以下佈置。
在將前體化學品輸送到反應室的一側時(例如,經由第一子區域101-1),所述化學品被脈衝(注射)到惰性流體的流動中,該惰性流體的流動經由三向或四向閥經由一個或多個饋送管線21連續地流動到沉積反應器中。同時,存在惰性流體11從另一方向(例如,經由第二子區域101-2)的恆定流動。在理想條件下,兩側(101-1、101-2)處的饋送管線中的壓力被保持基本上相同(例如5 mbar)。然而,由於通常在容納前體化學品的容器中保持的較高的壓力(例如10 mbar),在將前體化學品從前體源被注射到所述饋送管線21的時刻,饋送管線中的壓力改變。這可以通過若干方法來補償,諸如: -    利用質量流量控制器或另一合適的調節裝置,快速改變前體注射饋送管線中的流量; -    通過增加在另一側(惰性流體供應)的饋送管線中的流速以匹配前體注射饋送管線中的增加的壓力,來補償前體注射饋送管線中的壓力;以及, -    利用與質量流量控制器平行的附加閥,快速改變(增加或減少)前體注射驅饋送管線中的壓力。
參考圖4A和4B,其中,圖4A旨在為熟練的讀者提供對反應器200中的三維流體傳播的洞察,並且圖4B示出了一個或多個擴展容積101的不同配置。參考系由三維笛卡爾坐標系指定。
圖4A的示意圖結合了流體分配裝置100的水平剖面圖(從頂部觀察的,如圖5A所示)和反應室201的豎向剖視圖(從側面觀察的,如圖1、圖2、圖3A或圖3B中任一圖所示)。
圖4A示出反應室201為與沿著沉積設備200的縱向軸線(Y軸)的縱向截面平面(XY)相交。形成擴展區域101的子區域101-1、101-2被示出為與水平截面平面(XZ)相交,該水平截面平面基本上與所述沉積設備的縱向軸線Y正交。截面平面XZ在圖4B上由參考標記P1表示。所述截面平面P1還被認為是子區域101-1、101-2被佈置在其上的平面。
圖4B還示出了形成反應室201的基本上平坦的、長形的容器關於平面1(P1)的定向。因此,反應室201在圖4B中被示出為與沿著沉積設備200的縱向軸線(Y軸)的縱向截面平面(YZ)相交。
截面平面(YZ)形成子區域101-1、101-2沿著沉積設備200的縱向軸線(Y軸)的對稱平面。除了一些無關緊要的細節外(例如,進口103的配置),平面YZ將設備200平分為彼此成鏡像的半部,並且每個這樣的半部包括子區域101-1、101-2。
用於子區域101-1、101-2沿著沉積設備200的縱向軸線的對稱平面在圖4B上由參考標記P2表示。
圖4B所示的配置(i)對應於圖5A-5C所示的實施方式。在根據配置(i)的反應器中,流體分配裝置100被配置成使得傳播經過擴展區域101(101-1、101-2)的流體流F1、F2的方向基本上與傳播經過反應室201的流體流動F的方向垂直。
因此,在配置(i)中,水平平面P1與豎向平面P2垂直。
在(i)和(iii)處,圖4B示出了用於反應器200的替代性配置,其中隔室101-1、101-2不位於平面P1上,而是每個隔室從所述平面P1傾斜預定角度α(阿爾法)。用於每個隔室/子區域101-1、101-2的傾斜平面由參考標記P1'限定(圖4B,ii、iii)。
因此,在配置(ii、iii)中,子區域101-1、101-2分別被設置在截面平面P1'處,每個這樣的平面P1'關於截面平面(P1)傾斜預定角度。為清楚起見,圖4B將平面P1示出為虛線框,而傾斜平面P1'具有實心輪廓線。
傾斜角度(阿爾法)可以被設置在從XZ平面起0-180度的範圍內,或者更較佳地在5-45(95-135)度的範圍內。在圖4B上,直角α1(阿爾法1)和直角α2(阿爾法2)共同限定了0-180度的範圍。為了將配置(ii、iii)付諸實踐,如上所述,用於每個子區域的傾斜邊框應當沿著邊界D1位於過渡區域102的兩側。
還較佳的是,傾斜平面P1'是鏡像對稱的。
在上述所有配置中,流體分配裝置100被配置成使得在過渡區域102處,每個流體流F1、F2從截面平面P1、P1'(擴展區域101)轉向截面平面P2(反應室201),同時在所有提到的隔室101、102、201中保持層流流動。平面P2(YZ)還被限定為用於子區域101-1、101-2沿著沉積設備200的縱向軸線(Y軸)的對稱平面。
平面P1、P2還被表示在圖5B、5C上。
在上述配置中,基板10被放置成使得它們的側部表面與所述平面P2(YZ)對準。因此,在被佈置成豎向堆疊體並被放置到反應室中的一批基板中,所有基板與所述平面P2平行。
圖6A和6B示出了實施方式,其中,反應器200還包括排放組件,該排放組件被佈置成改變離開反應室201的排放流動的方向。排放組件由排放導管41和被配置成容納導管41的罩殼42形成。排放組件可連接到排放歧管40,該排放歧管被配置為具有或不具有彎曲部的至少一個管。例如,彎曲部可以是J形彎曲部。通過歧管40,反應器還可經由饋通佈置結構43連接至真空泵前級管線401(真空泵未被示出)。圖6A、圖6B所示的一個或多個歧管40關於反應器200的佈置是示例性的,並且可以根據具體實現方式變化。
排放組件41、42有利地用被直接附接到反應室的彎曲部(例如,J形彎曲部)替代共同的固體排放管(未示出)。
在圖6A上,上部虛線框示出了反應器200/反應室201的沒有歧管40的排放端部。在排放端部處,反應器設有具有出口孔41A的排放導管41。被配置為“杯狀件”、直徑比排放導管41的直徑大的排放罩殼42圍繞所述導管佈置。在圖6A的配置中,杯狀罩殼42被安裝到導管41上。罩殼42具有位於其側部壁上的孔42A,該孔可選地被配置為孔口或孔口管。罩殼42作為介於歧管40與反應室201之間的連接(下部虛線框)。罩殼42的實心底部形成用於離開反應室的排放流動(Fex)的“死端部”,並且迫使排放流動經由被設置在所述罩殼的側部壁上的孔/孔口42A進入歧管40。經由排放導管41(圖6A,上虛線框)從反應室201被排空的流動(排放流動,Fex)碰到罩殼42(排放杯狀件42,圖6A)的實心底部,所述排放流動從該實心底部被迫在罩殼42內轉向。元件41、42被配置成使得支撐以預定角度——較佳地至少90度的角度——轉向的排放流動。在圖6A所示的配置中,排放流動轉向為約180度進入與所述流動的來向基本上相反的方向,即進入反應室的方向(圖6A,上部和下部虛線框)。180度轉向發生在罩殼42內。因此,排放流動Fex圍繞排放導管41轉向(進行“U形轉向”)並且經由一個或多個孔/孔口42A離開罩殼42進入至少一個歧管40。在所述一個或多個歧管40中,流動方向可以基本上與進入/通過排放導管41的方向垂直。為了清楚起見,從上部虛線框中省略了杯狀件42的圖形表示(圖6A)。
圖6B示出用於排放組件41、42的替代性實施方式。在此,排放導管41是通過使反應室201的底部表面在反應室的整個寬度(Z軸)上傾斜而建立的,以形成具有長形的出口孔或間隙41A的逐漸縮窄的通道(平面XZ)。所提及的通道被封閉到長形的罩殼空間42中,該罩殼空間適於在反應室201的底部部分適配其形狀。該實施方式可以被視為具有“雙”底部的反應室201,例如,其中轉向,諸如U形轉向是由中間壁形成的,該中間壁由罩殼42內的導管41建立。在本配置中,排放流動(Fex)在罩殼42中在整個反應室的邊緣上以預定角度諸如至少90度轉向。在一些配置中,所述轉向為約180度轉向。
例如,可以通過若干流動引導件將進行U形轉向的排放流動至少部分地限制於XY平面。以這樣的方式,可以提高在反應室在Z方向上的寬度上的排放均勻性。為了進一步優化流動參數,可以調節形成真空泵前級管線的部件的尺寸,例如(圖6A),諸如饋通佈置結構43的部件的尺寸。
因此,圖6B示出了具有排放歧管40的配置,該排放歧管包括位於反應器200/反應室201的相反側上的兩個管。根據具體設計及其優化,設置包括一個管或任何其他數量的管是可能的。
孔/孔口42A可以藉助於法蘭或箍環連接到對應的歧管40。在這樣的情況下,較佳的是,孔口42A的面向歧管40的出口孔具有與所述歧管基本上相同的直徑。在一些替代性配置中,孔口42A可以被配置成適配在歧管40內,藉此可以形成滑動連接(下部虛線框,圖6A)。例如,在所描述的兩種配置中,杯狀件42和歧管40之間的連接還可以通過焊接固定。
具有上述流動轉向的排放組件的優點是緊湊的設計,而不會損害流動條件。排放導管41和罩殼42一起形成通路,其中,經由排放導管41離開反應室201的排放流動(Fex)圍繞形成所述導管41的壁進行轉向,諸如約180度轉向,同時仍然保留在罩殼中42。從罩殼42,經由被佈置在罩殼的至少一個側壁上的孔42A,排放流動進一步被引導到排放歧管40中。排放流動進行所述180度轉向幾乎沒有壓力損失(如通常在具有約90度彎曲部的傳統排放管中發生,該彎曲部的中心線半徑等於或小於所述管的直徑,即所謂的“短半徑彎頭”)。與已知解決方案諸如所述短半徑彎頭解決方案相比,排放組件41、42允許減小反應器200的總長度。
參考示出了薄膜沉積系統500的各種實施方式的圖7A至圖7D。系統500是模組化系統,其包括順次和/或按陣列連接的若干如上所述的沉積設備200。順次連接(串聯連接)由圖7A和圖7B(500A、500B)示出,其示出被順次地佈置以形成一條線的若干反應器單元200。陣列連接(“並聯”連接)由圖7C(500C)示出。因此,每個反應器單元200構成系統中的單獨模組。
較佳的是,系統500還包括至少一個基板處理器(未示出),該至少一個基板處理器用於將基板10裝載到基板保持架202和/或反應室201中、卸載基板、以及可選地在反應器單元200之間運輸基板。在系統500中的反應器單元200之間的連接是通過將前體流體供應到單獨的沉積反應器中的流體饋送管線21和/或歧管建立的。較佳的是,反應器單元200被佈置成使得被設置為12A、12B、12C、12D、12E、12G和12F中的任一者的預定前體化合物從前體源被引導到至少兩個反應器單元中,至少兩個反應器單元可選地彼此相鄰。在實施方式中,前體源是將相同的前體(公共的前體)供應到至少兩個反應器單元中的公共的前體源。在實施方式中,在相鄰反應器單元200之間的每個接觸點處,公共的前體化學品經由饋送管線歧管轉移到每個反應器單元。反應器單元200之間的接觸點可以被視為設置在不同反應器單元中但彼此相鄰的若干進口103。在至少兩個反應器單元之間建立接觸點(圖7A、圖7B、圖7D)。在每個接觸點處具有四個反應器的佈置結構如圖7C所示。一個或多個前體可以同時地或順次地被供應到所述至少兩個反應器單元中。在實施方式中,例如,使在預定的接觸點處向反應器單元200中供應特定的前體化學品諸如12A同步,使得在系統500中,根據特別的反應設計,特別的一組閥21A被致動,以在所有所述預定接觸點處從一個或多個相關的前體源同時將所述前體化學品12A供應到反應器單元中。舉例來說,在圖7B所示的配置中,第一和第二反應器單元之間的接觸點以及第四和第五反應器單元之間的接觸點(從左到右編號)接收示例性前體化學品12B。在實施方式中,系統500B被同步,使得前體12B被同時輸送到反應器單元200的形成所述接觸點的進口103中。有利地,通過下面進一步描述的控制系統執行同步。
將通用的前體源連接到2-10個模組可能是可行的;在構建包括不止10個模組的陣列的情況下,使用若干通用的前體源可以是較佳的。
在系統500中,反應器單元200還被佈置成使得每個沉積反應器經由進口103被供應至少兩個不同的前體。
例如,可以通過將在系統500A和/或500B中設置的模組佈置成若干行並且使模組連接以形成陣列來建立順次連接和陣列連接的組合。反應器單元200還可以被佈置成環狀佈置結構以形成系統500D(圖7D)。環中的若干單元200和環的形狀相應地(例如,六邊形,如圖7D所示)可以針對特別的沉積系統被調整。
系統500A(圖7A)使用兩個前體12A和12B。舉例來說,不管前體12A是三甲基鋁(TMA),還是前體12B是水,可以同時在若干模組200中實現氧化鋁(12A+12B)的有效沉積。通過惰性流體的吹掃可以經由上述三向和四向閥21A(例如,ALD閥)結合一個或多個輸入管線21或一個或多個輸入歧管來實現。
系統500B、500C和500D(圖7B、圖7C和圖7D)允許多個反應器單元200同時運行,隨之順次(500B)或按陣列(500C、500D)的單獨沉積反應器可以被配置用於沉積具有不同塗層的基板10。舉例來說,系統500B被配置成沉積具有以下塗層的基板:12A+12B、12B+12C、和12A+12C。
作為說明,一批次中被裝載到單獨反應器單元200(模組)的反應室中的所有基板10沉積有相同的塗層。因此,不同的塗層的設置是按模組調整的。
系統500C包括若干陣列,每個陣列包括四個互連的反應器單元200。該陣列中的所有單元被供應至少一個公共前體(12A、12B、12C,在每個陣列中間的源)。舉例來說,公共前體是第一前體。在實踐中,公共前體可以被設置為第一前體、第二前體或任何其他隨後的前體。另外,陣列中的每個反應器單元200被供應與第一前體不同的另一前體(例如第二前體)以引發化學沉積反應。無論被具體化為500C的系統是否包含不止一排被佈置成蜂窩狀佈置結構的陣列,例如(未示出),相對於蜂窩佈置結構中的不同反應器單元200,第一和第二前體都可以被認為是“公共”前體。
舉例來說,系統500可以包括用於沉積金屬氧化物的若干反應器單元200和用於沉積金屬和/或金屬氮化物的若干反應器單元。該系統還可以包括基板裝載器(未示出),該基板裝載器用於將基板裝載到基板保持架中或反應室中、卸載基板、以及可選地在沉積反應器之間運輸基板。
應當注意的是,在圖7A-7D中示出的配置不應被認為是限制性的,而是向技術人員提供指導,以基於所給的示例通過任何適當的配置來實施系統500。以類似的方式,可以使用前體的任何合適的組合。
圖8是示出用於設備200和/或系統500的控制系統300的框圖。系統300包括與中央控制空間305通訊的至少一個處理單元(CPU,301),該中央控制空間被配置用於監督系統500中的若干反應器單元200。所述中央控制空間305和可選地處理單元301被設置成與人機界面(HMI)302和相關聯的軟體通訊。在基本的系統設置中,過程參數藉助於軟體進行程式設計,並且指令由HMI終端302執行,並且經由線路307被直接或遠端地傳送至中央控制空間305,並且可選地(未示出)被傳送至處理單元301中。單元301、305中的任一個單元包括至少一個微處理器,該微處理器用於執行存儲在記憶體、動態和靜態隨機存取記憶體、I/O模組、A/D和D/A轉換器以及功率繼電器中的程式碼。
處理單元301向閥控制器321(其調節閥21A、21B的操作)傳輸訊號和/或從該閥控制器接收訊號,將訊號發送到加熱器控制器322(其調節加熱器204和/或前體源盒式加熱器的操作),讀取熱電偶323、壓力轉換器324和開關326(例如,過熱開關)的輸出值,並且與質量流量控制器和/或感測器325、基板裝載器327、用於電漿產生佈置結構107的控制裝置328、以及與臭氧產生器320和相關聯的控制工具進行雙向通訊。前述裝置321至327與處理單元301之間的訊號傳輸路徑在圖8上由元件符號306示意性地表示。虛線310表示處理單元301與沉積反應器200的各部件之間的介面線路。箭頭(由元件符號311標記)表示處理單元301與模組321至329之間的通訊線路(單向或雙向);箭頭的方向是示例性的,並且可以根據配置而改變。
在一實施方式中,經由有線或無線通訊線路303、309從安全的遠端存取點304更新HMI終端302和處理單元301的程式碼。
在控制系統300內,還設置有中央控制空間305,用於經由有線或無線通訊線路308來監督系統500中的若干反應器單元200以及可選地一個或多個基板處理器。在系統500中,模組200可以以能夠將前體化學品同步輸送到各種相鄰的反應器單元中(如上所述,在接觸點處)並且將各種沉積過程諸如ALD過程集成到自動化晶圓處理線的方式被連接到中央控制空間305。
控制系統300可以被設置為具有使用者介面和相關聯的軟體的整體的或獨立的CPU解決方案。用於處理單元301和/或中央控制單元305的軟體管理功能還可以包括實現一個或多個本地和/或遠端控制,監控若干沉積反應器模組、一個或多個緊急電源控制等。
本發明還關於一種用於在薄沉積設備中在基板表面上沉積材料的方法。該方法有利地利用根據上述實施方式的具有流體分配裝置100的設備200。
在一種實施方式中,該方法包括: 獲得薄膜沉積設備200,該薄膜沉積設備包括反應室和流體分配裝置100,反應室用於容納基板10,該基板以其側面彼此相鄰的方式進行佈置,流體分配裝置包括擴展區域101和過渡區域102,擴展區域包括子區域101-1、101-2; 經由被佈置在每個子區域上的至少一個進口103建立進入子區域101-1、101-2的流體流動F1、F2,使得流體流F1、F2在基本上朝向彼此的方向上傳播經過子區域,其中,至少一個流體流F1、F2包括至少一種前體12X、12A、12B、12C、12D、12E、12F、12G; 使流體流F1、F2在過渡區域102相結合,藉此形成包含所述至少一種前體的前體流體,並且進一步將所述前體流體引導到反應室201中;以及 通過在反應室的入口處建立前體流體的層流流動F並且通過在所述前體流體於基板10的側面之間傳播經過所述反應室的長度時保持所述層流流動,來使材料沉積在基板表面上。
在所述方法中,前體流體在前邊緣處以基本上均勻的速度在基板10之間傳播。
本發明還關於一種用於在用於薄膜沉積的設備中均勻分配流體的方法。該方法有利地利用根據上述實施方式的具有流體分配裝置100的設備200。
在一實施方式中,該方法包括: 獲得薄膜沉積設備200,該薄膜沉積設備包括反應室201,該反應室用於容納基板10,該基板以其側面彼此相鄰的方式進行佈置;以及流體分配裝置100,該流體分配裝置包括擴展區域101和過渡區域102,擴展區域包括子區域101-1、101-2; 經由被佈置在每個子區域上的至少一個進口103建立進入子區域101-1、101-2的流體流動F1、F2,使得流體流F1、F2在基本上朝向彼此的方向上傳播經過子區域; 使流體流F1、F2在過渡區域102相結合,以及 將相結合的流體流引導到反應室201中,使得在反應室的入口處被建立並且於基板10的側面之間傳播經過所述反應室的長度的流動F是層流的。
另外,本發明關於根據實施方式的薄膜沉積設備200用於在基板表面上沉積塗層材料的薄膜的用途。在實施方式中,設備200用於化學沉積方法,特別是原子層沉積(ALD)方法。
[示例1]
該示例說明了演示運行,其被進行以演示薄膜沉積反應器200在避免湍流的情況下建立層流流動圖案方面的性能。示例性的AB類型ALD反應已經在300°C處進行,用於從被順次地輸送到反應室中的兩個前體即三甲基鋁(TMA)和水沉積氧化鋁(Al 2O 3)。被供應到所述反應中的至少一種前體化學品的量被限制到這樣的程度:使得在經由反應室並在晶圓基板(14個晶圓)之間傳播時,所述化學品約在其通過一個或多個晶圓的半途時終止。
圖9示出了從TMA脈衝(0、1秒)獲得的用於氧化鋁塗層的厚度圖(nm),該TMA脈衝是針對如下佈置的一批14個晶圓基板10的:9A是在門(203)側部處的第二(2nd)槽中的晶圓;9B示出了在及閘相對的反應室壁部側處的第二槽中的晶圓;9C是門側部處的第八(8th)晶圓;並且9D是壁側部處的第八晶圓。9A和9B示出了在較靠近反應器室的側部設置的一批晶圓的塗覆結果,而9C和9D示出了設置在該批次的中間的晶圓的結果。箭頭(從下到上)表示傳播經過反應室的前體流體(F)的流動方向。所有晶圓的兩個側面均已(部分地)沉積有Al 2O 3塗層(一個面在圖9中的圖A至D上示出)。
圖9示出了在晶圓A-D上的塗覆面積(較暗的面積)與所述晶圓上的未塗覆面積(較亮的面積)之間具有清晰銳利的輪廓線的邊界。該邊界是均勻的,因為它勾勒出用於所有晶圓(側部槽和中間槽)的基本上相同大小的塗覆面積,這表明前體流體在基板室中所有基板之間的均勻傳播。所有基板晶圓的均勻覆蓋說明沒有湍流。與前體流體一起傳播經過反應室的前體化學品均等地沉澱在該批次中的所有晶圓上,這是由於在流體分配裝置100的過渡區域中的有效混合以及在反應室中建立層流流動條件以得到在其前邊緣處基本上均勻的前體流體速度。
圖10示出了用於在類似於上述條件下沉積的氧化鋁塗層的(nm)的厚度圖,但是前體化學品劑量被調整以覆蓋整個晶圓基板。反應條件尚未進一步優化。根據圖10,即使沒有進一步優化,用於上述反應可得到的不均勻率也小於1%。因此,在圖10所示的圖中,已經用橢圓計裝置測量總共81個點,並且不均勻率僅0.54%。
本領域的技術人員應當理解的是,本公開內容中闡述的實施方式可以根據期望進行修改和組合。因此,在所附申請專利範圍的範圍內,本公開內容意在涵蓋由此描述的設備和系統的任何可能的修改,這些修改是本領域的普通技術人員可以認識的。
10:基板 11:惰性(載體)流體 12:前體流體 12A、12B、12C、12D、12E、12F、12G、12X:前體 21:前體流體輸入管線 21A:前體流體流動調節裝置 21B:閥 22:閥塊 40:排放歧管 41、41A:導管 42、42A:罩殼 43:罩殼 100:流體分配裝置 101:擴展區域 102:過渡區域 102A:入口 102B:出口 103:進口 104:收縮地帶 105:流動成形元件 106、107:混合佈置結構 110:蓋零件 110-1、110-2:子區域 112、121:側向表面 200:薄膜沉積設備 201:反應室 202:基板保持架 203:門 204:加熱器 210:真空室 300:控制系統 301:處理單元 302:人機界面(HMI) 303、308、309:通訊線路 304:遠端存取點 305:中央控制空間/中央控制單元 306:訊號傳輸路徑 307:線路 310:介面線路 311:箭頭 321:閥控制器 322:加熱器控制器 323:熱電偶 324:壓力轉換器 325:質量流量控制器和/或感測器 326:開關 327:基板裝載器 328:控制裝置 329:模組 401:排空管線(真空泵前級管線) 500A、500B、500C、500D:沉積系統 d1:距離 d2、d2’ 、d3:寬度 h1、h2:高度 D1:擴展寬度 F:流動 F1、F2:流體流 Fc:相結合流 Fex:排放流動 P1、P1’、 P2:平面 α、α1、α2:角度
圖1示意性地示出了根據一實施方式的薄膜沉積反應器200。
圖2是根據一實施方式的沉積反應器200以及在其中建立的流體流動的局部截面圖。
圖3A和3B是根據各種實施方式的流體分配裝置100的截面側視圖。
圖4A示意性地示出了根據一實施方式的通過沉積反應器的流體的傳播。圖4B以截面平面示意性地示出了沉積反應器內的各個部件的組織。
圖5A是根據一實施方式的流體分配裝置100的截面頂視圖。圖5B和5C是具有流體分配裝置100的沉積反應器的(局部)立體圖。
圖6A是根據一實施方式的具有排放佈置結構的沉積反應器200的立體圖。圖6B是根據另一實施方式的具有排放佈置結構的沉積反應器200的示意圖。
圖7A-7D示意性地示出了從頂部觀察的薄膜沉積系統500的各種實施方式。
圖8是根據一實施方式的沉積反應器200的控制系統的框圖。
圖9和10示出了在盤狀晶圓基板上沉積時獲得的流動均勻性的測量結果。
10:基板 11:惰性(載體)流體 12:前體流體 12X:前體 21:前體流體輸入管線 21A:前體流體流動調節裝置 21B:閥 22:閥塊 100:流體分配裝置 101:擴展區域 102:過渡區域 103:進口 200:薄膜沉積設備 201:反應室 202:基板保持架 203:門 204:加熱器 210:真空室 401:排空管線(真空泵前級管線)

Claims (31)

  1. 一種薄膜沉積設備,包括:反應室,所述反應室用於容納基板,所述基板以其側面彼此相鄰的方式進行佈置;以及流體分配裝置,所述流體分配裝置包括擴展區域和過渡區域,所述擴展區域具有子區域,流體流經由被佈置在每個子區域上的至少一個進口被接收到所述子區域中,使得所述流體流在基本上朝向彼此的方向上傳播經過所述子區域,在所述過渡區域中,經由所述子區域到達所述過渡區域的流體流相結合;其中,每個子區域具有內部,其中所述內部具有的橫跨其的距離沿著流體流動的方向、在每個進口與所述過渡區域之間的截面平面上增加至擴展寬度;並且其中,所述過渡區域被配置成進一步將相結合的流體流引導到所述反應室中,使得在所述反應室的入口處被建立並且於所述基板的側面之間傳播經過所述反應室的長度的流動是層流的。
  2. 如請求項1所述之薄膜沉積設備,其中,在每個子區域的內部中在所述進口與所述過渡區域之間的距離處建立的流體流動是層流的。
  3. 如請求項1或2所述之薄膜沉積設備,其中,所述過渡區域是使入口和出口被設置為開口的通道,所述開口具有寬度以及長度,所述長度以與每個子區域的擴展寬度對應的距離延伸。
  4. 如請求項3所述之薄膜沉積設備,其中,所述過渡區域還包括收縮地帶,所述收縮地帶是通過所述通道的側向表面傾斜到在所述距離處基本上恆定的寬度形成的。
  5. 如請求項3所述之薄膜沉積設備,其中,所述通道的至少一部分具有以一曲率傾斜的側向表面。
  6. 如請求項1或2之薄膜沉積設備,其中,所述擴展區域的所述子區域被設置在與所述薄膜沉積設備的縱向軸線基本上正交的截面平面處。
  7. 如請求項1或2之薄膜沉積設備,其中,傳播經過所述擴展區域的所述流體流的方向與傳播經過所述反應室的流體流動的方向基本上垂直。
  8. 如請求項1或2所述之薄膜沉積設備,其中,所述擴展區域的所述子區域分別被設置在截面平面處,並且其中,每個這樣的平面相對於截面平面傾斜一角度。
  9. 如請求項8所述之薄膜沉積設備,其中,所述截面平面是鏡像對稱的。
  10. 如請求項8所述之薄膜沉積設備,其中,在所述過渡區域處,每個流體流從所述截面平面朝向沿著所述薄膜沉積設備的縱向軸線的、被限定為所述子區域對稱平面的截面平面進行轉向。
  11. 如請求項1或2所述之薄膜沉積設備,其中,所述子區域具有在其內部上基本上恆定的高度。
  12. 如請求項1或2所述之薄膜沉積設備,所述流體分配裝置還包括流動成形元件,所述流動成形元件被配置成將進入所述過渡區域的流體流的流動方向調整成基本上朝向所述反應室。
  13. 如請求項1或2所述之薄膜沉積設備,所述流體分配裝置還包括位於所述過渡區域中的混合佈置結構。
  14. 如請求項1或2所述之薄膜沉積設備,還包括在所述流體分配裝置中的電漿產生佈置結構,所述電漿產生佈置結構可選地被設置在所述流體分配裝置的所述過渡區域中。
  15. 如請求項1或2所述之薄膜沉積設備,其中,所述反應室在其整個長度上具有恆定的截面。
  16. 如請求項1或2所述之薄膜沉積設備,其中,所述過渡區域由 具有入口開口和出口開口的通道建立,並且其中,所述入口開口和/或所述出口開口具有與所述反應室相同的截面。
  17. 如請求項1或2所述之薄膜沉積設備,其中,所述反應室的內部在尺寸上與被接收到所述反應室中的預定數量的所述基板相符。
  18. 如請求項1或2所述之薄膜沉積設備,所述薄膜沉積設備被配置成通過建立前體流體經過所述反應室的長度的層流流動來將材料沉積在基板表面上,其中,所述前體流體在前邊緣處以基本上均勻的速度在所述基板的側面之間傳播。
  19. 如請求項18所述之薄膜沉積設備,其中,被輸送到所述反應室中的所述前體流體包括至少一種前體。
  20. 如請求項18所述之薄膜沉積設備,其中,所述前體流體以若干連續脈衝的形式被輸送到所述反應室中。
  21. 如請求項1或2所述之薄膜沉積設備,其中,所述薄膜沉積設備被配置成將塗覆膜同時沉積在所有基板表面上。
  22. 如請求項1或2所述之薄膜沉積設備,其中,所述薄膜沉積設備還包括排放導管和罩殼,所述罩殼基本上被佈置在所述排放導管周圍並接收從所述反應室流動經過所述導管的流體,其中,所述排放導管和所述罩殼形成排放組件,所述排放組件被配置成改變離開所述反應室的排放流動的方向。
  23. 如請求項22所述之薄膜沉積設備,其中,所述排放導管和所述罩殼形成通路,在所述通路中,經由所述排放導管離開所述反應室的排放流動在形成所述導管的一個或多個壁周圍進行轉向,同時仍然保留在所述罩殼中,以便經由被佈置在所述罩殼的至少一個側壁上的孔被進一步引導到排放歧管中。
  24. 如請求項1或2所述之薄膜沉積設備,其中,所述薄膜沉積設備被配置為用於化學沉積反應的設備。
  25. 如請求項1或2所述之薄膜沉積設備,其中,所述薄膜沉積設備被配置為用於原子層沉積的設備。
  26. 一種用於薄膜沉積設備中在基板表面上沉積材料的方法,包括以下步驟:獲得薄膜沉積設備,所述薄膜沉積設備包括:反應室,所述反應室用於容納基板,所述基板以其側面彼此相鄰的方式進行佈置;以及流體分配裝置,所述流體分配裝置包括擴展區域和過渡區域,所述擴展區域包括子區域;經由被佈置在每個子區域上的至少一個進口建立進入所述子區域的流體流動,使得流體流在基本上朝向彼此的方向上傳播經過所述子區域,其中,至少一個流體流包括至少一種前體;使流體流在所述過渡區域中相結合,藉此形成包含至少一種前體的前體流體,並且進一步將所述前體流體引導到所述反應室中;以及通過在所述反應室的入口處建立前體流體的層流流動並且通過在所述前體流體於所述基板的側面之間傳播經過所述反應室的長度時保持所述層流流動,來使材料沉積在基板表面上,其中,每個所述子區域具有內部,所述內部具有的橫跨其的距離沿流體流動的方向、在每個進口與所述過渡區域之間的截面平面上增加至擴展寬度。
  27. 如請求項26所述之方法,其中,所述前體流體在前邊緣處以基本上均勻的速度在所述基板之間傳播。
  28. 一種如請求項1至25中任一項所限定的薄膜沉積設備用於將塗層材料的薄膜沉積在基板表面上的用途。
  29. 一種薄膜沉積系統,所述薄膜沉積系統包括若干如請求項1至25中任一項所限定的薄膜沉積設備,所述若干薄膜沉積設備順次和/或按陣列 彼此連接。
  30. 如請求項29所述之薄膜沉積系統,其中,所述薄膜沉積設備被佈置成將公共的前體化合物接收到至少兩個設備中。
  31. 一種用於薄膜沉積設備中均勻分配流體的方法,包括以下步驟:獲得薄膜沉積設備,所述薄膜沉積設備包括:反應室,所述反應室用於容納基板,所述基板以其側面彼此相鄰的方式進行佈置;以及流體分配裝置,所述流體分配裝置包括擴展區域和過渡區域,所述擴展區域包括子區域;經由被佈置在每個子區域上的至少一個進口建立進入子區域的流體流動,使得流體流在基本上朝向彼此的方向上傳播經過所述子區域;使流體流在所述過渡區域中相結合;以及將相結合的流體流引導到所述反應室中,使得在所述反應室的入口處被建立並且於所述基板的側面之間傳播經過所述反應室的長度的流動是層流的,其中,每個所述子區域具有內部,所述內部具有的橫跨其的距離沿流體流動的方向、在每個進口與所述過渡區域之間的截面平面上增加至擴展寬度;其中,所述反應室的內部在尺寸上與被接收到所述反應室中的預定數量的基板相符;並且其中,在所述過渡區域處,每個流體流從所述截面平面朝向沿著所述沉積設備的縱向軸線的被限定為所述子區域對稱平面的截面平面進行轉向。
TW109132955A 2019-09-24 2020-09-23 用於薄膜沉積設備的流體分配裝置、相關設備和方法 TWI724974B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FI20195809A FI128855B (en) 2019-09-24 2019-09-24 FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
FI20195809 2019-09-24

Publications (2)

Publication Number Publication Date
TW202113149A TW202113149A (zh) 2021-04-01
TWI724974B true TWI724974B (zh) 2021-04-11

Family

ID=74551371

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109132955A TWI724974B (zh) 2019-09-24 2020-09-23 用於薄膜沉積設備的流體分配裝置、相關設備和方法

Country Status (10)

Country Link
US (1) US10982325B2 (zh)
EP (1) EP4034690A4 (zh)
JP (1) JP2022549811A (zh)
KR (1) KR102225261B1 (zh)
CN (2) CN112626492A (zh)
CA (1) CA3154777C (zh)
FI (1) FI128855B (zh)
IL (2) IL304981A (zh)
TW (1) TWI724974B (zh)
WO (1) WO2021058870A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114599816A (zh) * 2019-09-09 2022-06-07 应用材料公司 输送反应物气体的处理系统和方法
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
US20220372622A1 (en) * 2021-05-18 2022-11-24 Mellanox Technologies, Ltd. Cvd system with flange assembly for facilitating uniform and laminar flow
CN115821215B (zh) * 2023-02-20 2023-04-28 成都富林达新材料有限公司 一种用于悬浮蒸镀氧化铝的喷射装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1420080A2 (en) * 2002-11-14 2004-05-19 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20100048032A1 (en) * 2008-08-22 2010-02-25 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
WO2010027669A2 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. In-situ chamber treatment and deposition process
CN106560007A (zh) * 2014-07-07 2017-04-05 铣益系统有限责任公司 具备多个坩埚的薄膜沉积装置
EP3396731A1 (en) * 2017-04-10 2018-10-31 Samsung Display Co., Ltd. Apparatus and method of manufacturing display apparatus

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03106039A (ja) * 1989-09-20 1991-05-02 Fujitsu Ltd ガス混合装置及びそれを用いた気相エピタキシャル成長装置
US5279670A (en) * 1990-03-31 1994-01-18 Tokyo Electron Sagami Limited Vertical type diffusion apparatus
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US6500734B2 (en) * 1993-07-30 2002-12-31 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
JP2000138168A (ja) * 1998-10-29 2000-05-16 Shin Etsu Handotai Co Ltd 半導体ウェーハ及び気相成長装置
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
WO2002009147A2 (en) * 2000-07-26 2002-01-31 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
WO2002084709A2 (en) * 2001-04-10 2002-10-24 Supercritical Systems Inc. High pressure processing chamber for semiconductor substrate including flow enhancing features
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
JP4157040B2 (ja) * 2001-12-03 2008-09-24 株式会社アルバック 混合器、薄膜製造装置及び薄膜製造方法
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
US7163587B2 (en) * 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
KR20060096445A (ko) * 2003-10-29 2006-09-11 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US20080092812A1 (en) * 2004-06-10 2008-04-24 Mcdiarmid James Methods and Apparatuses for Depositing Uniform Layers
US8282768B1 (en) * 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7709391B2 (en) * 2006-01-20 2010-05-04 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
KR101432257B1 (ko) * 2006-10-24 2014-08-21 어플라이드 머티어리얼스, 인코포레이티드 원자 층 증착을 위한 보텍스 챔버 리드
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US8329593B2 (en) * 2007-12-12 2012-12-11 Applied Materials, Inc. Method and apparatus for removing polymer from the wafer backside and edge
KR101677438B1 (ko) * 2007-12-20 2016-11-18 어플라이드 머티어리얼스, 인코포레이티드 향상된 가스 유동 분포를 가진 열 반응기
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
JP5060324B2 (ja) * 2008-01-31 2012-10-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び処理容器
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
US8741062B2 (en) 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20120035559A (ko) * 2010-10-06 2012-04-16 주식회사 유진테크 반원 형상의 안테나를 구비하는 기판 처리 장치
EP2465972B1 (en) * 2010-12-15 2017-05-03 NCD Co., Ltd. Method and system for thin film deposition
WO2012128783A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
HUP1100436A2 (en) * 2011-08-15 2013-02-28 Ecosolifer Ag Gas flow system for using in reaction chamber
US10046969B2 (en) * 2011-08-24 2018-08-14 Zeon Corporation Device for manufacturing and method for manufacturing oriented carbon nanotube aggregates
KR101364701B1 (ko) * 2011-11-17 2014-02-20 주식회사 유진테크 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
KR101371435B1 (ko) * 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
KR101338931B1 (ko) * 2012-05-21 2013-12-09 주식회사 유니텍스 유기 기상 증착 장치
JP5386046B1 (ja) * 2013-03-27 2014-01-15 エピクルー株式会社 サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
JP6811732B2 (ja) * 2015-06-17 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ中のガス制御
KR102350588B1 (ko) * 2015-07-07 2022-01-14 삼성전자 주식회사 인젝터를 갖는 박막 형성 장치
JP6707827B2 (ja) * 2015-09-28 2020-06-10 東京エレクトロン株式会社 成膜装置
US20190194809A1 (en) * 2016-09-16 2019-06-27 Picosun Oy Apparatus and methods for atomic layer deposition
US10752991B2 (en) * 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle
DE17908402T1 (de) 2017-05-02 2020-06-25 Picosun Oy Ald-vorrichtung, verfahren und ventil
EP3642386B1 (en) 2017-06-21 2024-04-03 Picosun Oy Substrate processing apparatus and method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1420080A2 (en) * 2002-11-14 2004-05-19 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20100048032A1 (en) * 2008-08-22 2010-02-25 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
WO2010027669A2 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. In-situ chamber treatment and deposition process
CN106560007A (zh) * 2014-07-07 2017-04-05 铣益系统有限责任公司 具备多个坩埚的薄膜沉积装置
EP3396731A1 (en) * 2017-04-10 2018-10-31 Samsung Display Co., Ltd. Apparatus and method of manufacturing display apparatus

Also Published As

Publication number Publication date
TW202113149A (zh) 2021-04-01
US20210087682A1 (en) 2021-03-25
FI128855B (en) 2021-01-29
KR102225261B1 (ko) 2021-03-09
JP2022549811A (ja) 2022-11-29
CA3154777C (en) 2024-03-19
EP4034690A4 (en) 2023-10-11
FI20195809A1 (en) 2021-01-29
EP4034690A1 (en) 2022-08-03
IL291444B1 (en) 2023-09-01
CN116555731A (zh) 2023-08-08
IL304981A (en) 2023-10-01
US10982325B2 (en) 2021-04-20
CN112626492A (zh) 2021-04-09
IL291444B2 (en) 2024-01-01
IL291444A (en) 2022-05-01
CA3154777A1 (en) 2021-04-01
WO2021058870A1 (en) 2021-04-01

Similar Documents

Publication Publication Date Title
TWI724974B (zh) 用於薄膜沉積設備的流體分配裝置、相關設備和方法
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
US11926894B2 (en) Reactant vaporizer and related systems and methods
TWI759879B (zh) 半導體處理裝置及沉積方法
CN110534456B (zh) 基板处理装置及半导体装置的制造方法
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP5779174B2 (ja) 半導体プロセス反応器及びその構成要素
TWI398547B (zh) 基於蒸氣之組合式處理
KR101584817B1 (ko) 성막 장치
CN105839077B (zh) 用于沉积iii-v主族半导体层的方法和装置
JP2017226863A (ja) ガス混合装置および基板処理装置
US20100272895A1 (en) Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
TWI537416B (zh) A CVD reactor with a strip inlet region and a method of depositing a layer on the substrate in such a CVD reactor
US20030194493A1 (en) Multi-station deposition apparatus and method
KR20060047153A (ko) 박막증착 장치
TWI606137B (zh) 基板處理設備
TW202129064A (zh) 半導體處理裝置以及沉積方法
TW201404921A (zh) 用於塗覆基體幅板之方法及裝置
JP2017055104A (ja) 基板処理装置
TWI744502B (zh) 基板處理設備及方法
TW202132618A (zh) 噴淋頭板、反應器總成以及組態反應器總成的方法
TWI829985B (zh) 基材處理設備及方法