TWI724073B - 半導體影像感測裝置及其形成方法 - Google Patents

半導體影像感測裝置及其形成方法 Download PDF

Info

Publication number
TWI724073B
TWI724073B TW105139890A TW105139890A TWI724073B TW I724073 B TWI724073 B TW I724073B TW 105139890 A TW105139890 A TW 105139890A TW 105139890 A TW105139890 A TW 105139890A TW I724073 B TWI724073 B TW I724073B
Authority
TW
Taiwan
Prior art keywords
substrate
isolation structure
pixel
radiation sensing
semiconductor image
Prior art date
Application number
TW105139890A
Other languages
English (en)
Other versions
TW201731085A (zh
Inventor
張朝欽
周佳興
吳正一
黃志輝
蔡建欣
林明輝
林藝民
李錦思
李昇展
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201731085A publication Critical patent/TW201731085A/zh
Application granted granted Critical
Publication of TWI724073B publication Critical patent/TWI724073B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

本發明實施例揭露半導體影像感測裝置及其製造方法。 所述半導體影像感測裝置包含基底、第一畫素及第二畫素以及隔離結構。所述第一畫素及所述第二畫素安置於所述基底中,其中所述第一畫素及所述第二畫素為相鄰畫素。所述隔離結構安置於所述基底中且在所述第一畫素與所述第二畫素之間,其中所述隔離結構包含介電層,且所述介電層包含氧碳氮化矽(SiOCN)。

Description

半導體影像感測裝置及其形成方法
本發明實施例是有關於一種半導體影像感測裝置。
半導體影像感測器用以感測諸如光的輻射。互補金屬氧化物半導體(complementary metal-oxide-semiconductor;CMOS)影像感測器(CIS)及電荷耦合裝置(charge-coupled device;CCD)廣泛地使用於諸如數位靜態相機或手機攝影機應用等各種應用中。此等裝置利用基底中的畫素陣列(包含光電二極體及電晶體),所述畫素可吸收向著基底投射的輻射且將感測輻射轉換成電信號。
近年來,半導體積體電路(integrated circuit;IC)工業快速成長。在IC材料及設計的技術進步下,已產生數代IC,其中每一代具有比前一代更小且更複雜的電路。輻射敏感畫素的尺寸為半導體影像感測器的IC演進的一部分且已穩定地減小。隨著畫素及鄰近畫素之間的間隔繼續縮減,諸如過多漏電等問題變得愈來愈難控制。此外,已知來自光敏(例如,光電二極體)區域的過多漏電會導致CMOS影像感測器中的白點問題(亦即,白色畫 素)。
本發明實施例的半導體影像感測裝置包含基底、第一畫素及第二畫素以及隔離結構。第一畫素及第二畫素安置於基底中,其中第一畫素及第二畫素為相鄰畫素。隔離結構安置於基底中且在第一畫素與第二畫素之間,其中隔離結構包含介電層,且介電層包含氧碳氮化矽(SiOCN)。
S10、S20、S30、S40、S50:步驟
100:半導體影像感測裝置
102:基底
102a:第一表面
102b:第二表面
104:初始厚度
104':厚度
110:開口
120:深度
122:寬度
132:襯層材料
134:介電材料
140:隔離結構
142:襯層
144:介電層
150:畫素
152:輻射感測摻雜區域
154:深度
156:寬度
160:互連結構
170:導線
172:接觸窗
180:緩衝層
190:承載基板
200:彩色濾光片層
210:微透鏡層
Li:光
當結合附圖研讀時,自以下實施方式最好地理解本發明的態樣。應注意,根據業界中之標準慣例,各種特徵未按比例繪製。事實上,為論述清楚起見,可任意增加或減小各種特徵的尺寸。
圖1為說明根據一些實施例的半導體影像感測裝置的製造方法的流程圖。
圖2A至圖2F為說明根據一些實施例的半導體影像感測裝置的製造方法的截面圖。
圖3為說明根據一些實施例的半導體影像感測裝置的截面圖。
以下揭露內容提供用於實施所提供標的物的不同特徵的許多不同實施例或實例。下文描述組件及配置的特定實例以簡化 本發明。當然,此等組件及配置僅為實例且不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或上的形成可包含第一特徵以及第二特徵直接接觸地形成的實施例,且亦可包含額外特徵可在第一特徵與第二特徵之間形成使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露內容可在各種實例中重複參考數字及/或字母。此重複是出於簡單性以及清晰性的目的,且本身並不規定所論述的各種實施例及/或組態之間的關係。
另外,諸如「在……下」、「在……下方」、「下部」、「在……之上」、「上部」及類似者的空間相對術語在本文中為易於描述而使用,以描述如圖中所說明的一個元件或特徵與另一元件或特徵的關係。除了諸圖中所描繪的定向以外,空間相對術語亦意欲涵蓋裝置在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解譯。
圖1為說明根據一些實施例的半導體影像感測裝置的製造方法的流程圖。圖2A至圖2F為說明根據一些實施例的半導體影像感測裝置的製造方法的截面圖。
參看圖1及圖2A,在步驟S10中,在基底102中形成多個畫素150。在一些實施例中,提供半導體影像感測裝置100,其中半導體影像感測裝置100包含基底102。在一些實施例中,基底102(例如)為經p型摻雜劑(諸如,硼)摻雜的矽基底,且因此為p型之導電型基底。替代地,基底102可為另一合適的半導體材料。舉例而言,基底102可為經n型摻雜劑(諸如,磷或砷)摻雜的矽基底,且因此為n型之導電型基底。在替代實施例中, 基底102可由以下各者製成:一些其他合適的元素半導體,諸如鑽石或鍺;合適的化合物半導體,諸如砷化鎵、碳化矽、砷化銦或磷化銦;或合適的合金半導體,諸如碳化矽鍺、磷化鎵砷或磷化鎵銦。此外,基底102可包含磊晶層(epi層),可應變以達成效能增強。在一些實施例中,基底102在其上具有一或多個鰭片(圖中未繪示)。
在一些實施例中,基底102具有第一表面102a及與第一表面102a相對設置的第二表面102b。在一些實施例中,第一表面102a(例如)為後表面,且第二表面102b(例如)為前表面。在一些實施例中,半導體影像感測裝置100為背面照明式(back side illuminated;BSI)影像感測裝置,其中輻射將自變薄處理後的後表面(例如,第一表面102a)投射,且穿過後表面進入後表面以外的磊晶層。顛倒的裝置將由載體晶圓來支撐。在一些實施例中,基底102的初始厚度104在約100微米(μm)至約3000μm的範圍內,例如,介於約500μm與約1000μm之間。在一些實施例中,多個淺溝渠隔離(shallow trench isolation;STI)結構(圖中未繪示)形成於基底102中。在一些實施例中,所述STI結構藉由以下製程步驟形成:自第二表面102b於基底102中蝕刻開口;用介電材料(諸如,氧化矽、氮化矽、氮氧化矽、低k材料或另一合適介電材料)填充所述開口;以及執行研磨製程(例如,化學機械研磨(chemical mechanical polishing;CMP)製程),以平坦化填充所述開口的介電材料的表面。
在一些實施例中,在基底102中安置主動裝置,諸如鰭型場效電晶體(fin-type field effect transistor;FinFET)、金屬氧 化物半導體場效電晶體(metal-oxide-semiconductor field-effect transistor;MOSFET)、互補金屬氧化物半導體(CMOS)電晶體、高電壓電晶體及/或高頻率電晶體;其他合適組件;及/或其組合。
在一些實施例中,畫素150含有輻射感測摻雜區域152。在一些實施例中,輻射感測摻雜區域152形成於諸如STI的隔離結構之間。在一些實施例中,輻射感測摻雜區域152藉由一或多個離子植入製程或擴散製程而形成,且經摻雜而具有與基底102的摻雜極性相反的摻雜極性。舉例而言,若基底102為p型基底,則輻射感測摻雜區域152為n型摻雜區域。在一些實施例中,畫素150各自包含光電二極體。在替代實施例中,深植入區域(deep implant region)可形成在每一光電二極體下方。在替代實施例中,畫素150可包含釘紮(pinned)層光電二極體、光閘(photogate)、重設電晶體、源極隨耦器電晶體或轉移電晶體。在一些實施例中,畫素150亦可稱作輻射偵測裝置或光感測器。
在一些實施例中,畫素150可因具有不同接面深度、厚度、寬度等而彼此不同。在一些實施例中,畫素150具有約1μm至約4μm範圍內的深度(垂直尺寸)154,及約0.5μm至約2μm範圍內的寬度(水平尺寸)156。
在一些實施例中,互連結構160形成於基底102的第二表面102b上方。互連結構160包含多個圖案化介電層及導電層,所述層提供半導體影像感測裝置100的各種摻雜特徵、電路以及輸入/輸出之間的互連(例如,佈線)。在一些實施例中,互連結構160包含層間介電(interlayer dielectric;ILD)結構及多層互連(multilayer interconnect;MLI)結構。在一些實施例中,MLI結 構包含接觸窗、穿孔以及金屬線。出於說明目的,在圖2A中繪示多個導線170及多個穿孔或接觸窗172,應理解,所說明的導線170及穿孔或接觸窗172僅為例示性的,且導線170及穿孔或接觸窗172的實際定位及組態可視設計需要及製造顧慮而改變。
在一些實施例中,MLI結構可包含導電材料,諸如鋁、鋁/矽/銅合金、鈦、氮化鈦、鎢、多晶矽、金屬矽化物或其組合,從而被稱為鋁互連件。在一些實施例中,鋁互連件可藉由沉積製程形成,沉積製程包含物理氣相沉積(physical vapor deposition;PVD)、化學氣相沉積(chemical vapor deposition;CVD)、原子層沉積(atomic layer deposition;ALD)、濺鍍或其他合適製程。在一些實施例中,MLI結構的形成方法可包含光微影處理及蝕刻以圖案化導電材料從而達成垂直連接(例如,穿孔或接觸窗172)及水平連接(例如,導線170)。在替代實施例中,MLI結構可包含銅多層互連件。銅互連結構可包含銅、銅合金、鈦、氮化鈦、鉭、氮化鉭、鎢、多晶矽、金屬矽化物或其組合。銅互連結構可藉由包含CVD、濺鍍、電鍍或其他合適製程的技術形成。
仍參看圖2A,緩衝層180形成於互連結構160上方。在一些實施例中,緩衝層180包含諸如氧化矽的介電材料。在替代實施例中,緩衝層180可視情況包含氮化矽。在一些實施例中,緩衝層180藉由CVD、PVD或其他合適技術而形成。在一些實施例中,藉由CMP製程對緩衝層180平坦化以形成平滑表面。
參看圖1及圖2B,在步驟S20中,接合基底102與承載基板190,使得可執行對基底102的第一表面102a的處理。在一些實施例中,經由緩衝層180來接合基底102與承載基板190。在 一些實施例中,承載基板190類似於基底102且包含(例如)矽材料。在替代實施例中,承載基板190可包含玻璃基底或另一合適材料。在一些實施例中,承載基板190可藉由分子力(亦即,稱為直接接合或光學融合接合的技術)或藉由此項技術中已知的其他接合技術(諸如,金屬擴散或陽極接合)而接合至基底102。
在一些實施例中,在接合承載基板190之後,接著執行薄化製程以自第一表面102a薄化基底102。在一些實施例中,薄化製程可包含機械研磨製程及化學薄化製程。在一些實施例中,於機械研磨製程期間,可先自基底102移除大量基底材料。之後,化學薄化製程可施加蝕刻化學品至基底102的第一表面102a,以將基底102進一步薄化至厚度104',所述厚度為約若干微米。在一些實施例中,厚度104'大於約1μm,但小於約5μm。亦應理解,一些實施例中所揭露的特定厚度僅為實例,且可取決於半導體影像感測裝置100的應用類型及設計要求而實施其他厚度。
參看圖1及圖2C,在步驟S30中,在基底102中形成多個開口110。在一些實施例中,開口110為用於DTI結構的開口。在一些實施例中,開口110形成於基底102中且自基底102的第一表面102a朝向基底102的內部延伸。在一些實施例中,開口110藉由諸如濕式蝕刻製程或乾式蝕刻製程的蝕刻製程而形成。舉例而言,濕式蝕刻製程可用氫氧化鉀(KOH)、四甲基銨氫氧化物(TMAH)或另一合適的蝕刻化學試劑來達成,且乾式蝕刻製程可用六氟化硫(SF6)或另一合適氣體來達成。在一些實施例中,可在執行蝕刻製程以界定開口110的大小及位置之前形成蝕刻罩幕(例如,硬罩幕,未繪示)。在一些實施例中,為了說明,在圖2C 中僅繪示三個開口110。在一些實施例中,開口110為用於DTI結構的溝渠,所述DTI結構將藉由填充開口110而稍後形成。在一些實施例中,開口110可經形成而具有梯形、某種矩形或另一合適形狀。在一些實施例中,開口110各自具有深度120,所述深度量是指自第一表面102a量測至基底102中的延伸量。在一些實施例中,由於開口110為用於深(與淺相比)溝渠隔離結構的溝渠,因此深度120大於STI結構的深度。在一些實施例中,開口110的深度120在約0.2μm至約3μm的範圍內,例如,0.5μm至1.5μm。在一些實施例中,開口110各自具有寬度122,且寬度122在約0.05μm至約0.2μm的範圍內。在一些實施例中,開口110的深寬比在2至20的範圍內。
參看圖1及圖2D以及圖2E,在步驟S40中,分別在開口110中填充介電層144以在基底102中形成隔離結構140。具體言之,如圖2D中所示,介電材料134形成於基底102的第一表面102a上方。介電材料134填充開口110。在一些實施例中,介電材料134包含氧碳氮化矽(silicon oxycarbonitride;SiOCN)。在一些實施例中,除包含SiOCN外,介電材料134更包含氧化矽(SiO2)、碳化矽(SiC)以及碳氮化矽(SiCN)中的至少一者。在一些實施例中,介電材料134藉由使矽及碳兩者的來源與包括氧氣及氫氣的氣體混合物反應而形成。在一些實施例中,雙(第三丁基胺基)矽烷(BTBAS)作為矽及碳兩者的來源,且氣體混合物包含例如N2O、N2以及O2。在一些實施例中,舉例而言,使用氬氣作為稀釋氣體或載氣。在一些實施例中,介電材料134藉由原子層沉積(ALD)方法(諸如,電漿增強原子層沉積(PEALD)方 法)而形成於開口110中。應注意,由於介電材料134是藉由ALD方法形成,因此開口110經介電材料134填充。在一些實施例中,在形成介電材料134之前,襯層材料132形成於開口110的側壁及在開口110之間的基底102的第一表面102a上。在一些實施例中,襯層材料132為高介電常數(高k)材料。在一些實施例中,高k材料包含金屬氧化物,諸如氧化鈦(TiO2)、氧化鋯(ZrO2)、氧化鉿(HfO2)、氧化鉭(Ta2O5)、鈦酸鍶鋇((Ba,Sr)TiO3),或其組合。在一些實施例中,襯層材料132包含單個層或多個層。
接著,如圖2E中所示,移除在開口110外的部分介電材料134。在一些實施例中,例如經由用於傳統間隙填充平坦化的CMP製程來移除部分介電材料134。在替代實施例中,可執行合適的回蝕製程。此後,剩餘的介電材料分別於開口110中形成介電層144。在一些實施例中,同時移除開口110外的部分襯層材料132與開口110外的部分介電材料134,且剩餘的襯層材料分別於開口110中形成襯層142。在一些實施例中,隔離結構140中的每一者包含介電層144及在介電層144旁邊的襯層142。
參看圖2F,在步驟S50中,可在基底102的第一表面102a上方形成彩色濾光片層200。在一些實施例中,彩色濾光片層200可含有多個彩色濾光片,所述彩色濾光片可經定位以使得將入射輻射導入並穿過彩色濾光片。在一些實施例中,彩色濾光片可包含以染料為主(或以顏料為主)的聚合物或樹脂以用於過濾特定波長帶的入射輻射,所述特定波長帶對應於彩色頻譜(例如,紅色、綠色以及藍色)。在一些實施例中,此後,含有多個微透鏡的微透鏡層210形成於彩色濾光片層200上方。在一些實施例中, 微透鏡將入射輻射導向基底102中的特定輻射感測區域(諸如,畫素150)及聚焦入射輻射。在一些實施例中,微透鏡可以各種配置方式定位且根據用於微透鏡的材料的折射率及與感測器表面的距離而具有各種形狀。在一些實施例中,在形成彩色濾光片層200或微透鏡層210之前,亦可對基底102進行可選的雷射退火製程。對於諸如半導體影像感測裝置100的BSI影像感測裝置,畫素150可操作以偵測自第一表面102a朝向基底102投射的輻射,諸如入射光Li。如圖2F所示,隔離結構140(諸如,DTI結構)的寬度隨著接近彩色濾光片層200而增加。
應理解,上述的製造製程的順序並不意欲為限制性的。層或裝置中的一些可根據其他實施例而不同於本文中所說明的處理順序的處理順序而形成。此外,可形成某些其他層,但為簡單起見,本文中未說明所述某些其他層。舉例而言,在形成彩色濾光片層200及/或微透鏡層210之前,可於基底102的第一表面102a上方形成抗反射塗層(anti-reflection coating;ARC)層。
亦應理解,以上論述主要是關於半導體影像感測裝置100的畫素區域。除畫素區域外,半導體影像感測裝置100亦包含周邊區域、接合墊區域以及切割道區域。周邊區域可包含需要保持暗光區的裝置。此等裝置可包含數位裝置,諸如特殊應用積體電路(application-specific integrated circuit;ASIC)裝置或系統單晶片(system-on-chip;SOC)裝置,或用以確定半導體影像感測裝置100的光強度基線的參考畫素。保留接合墊區域以用於形成接合墊,使得可建立半導體影像感測裝置100與外部裝置之間的電連接。切割道區域包含將一個半導體晶粒與鄰近半導體晶粒分開 的區域。在對晶粒進行包裝且作為積體電路晶片銷售之前,在製造製程中切割切割道區域以將鄰近晶粒分開。為簡單起見,本文中未說明或描述半導體影像感測裝置100的此等其他區域的細節。
以上論述是有關於BSI影像感測裝置。然而,預期本發明的各種態樣亦可適用於正面照明式(FSI)影像感測裝置。圖3為說明根據一些實施例的半導體影像感測裝置的截面圖。參看圖3,半導體影像感測裝置100為FSI影像感測裝置,且所述裝置亦使用類似於上文所論述的畫素150的畫素150來偵測光Li,但光Li是自正面(例如,第二表面102b)而非自背面(例如,第一表面102a)投射(且進入基底102)。在一些實施例中,包含彩色濾光片的彩色濾光片層200及微透鏡層210形成於正面(例如,第二表面102b)上方。互連結構160是以不阻礙或不防礙自正面(例如,第二表面102b)投射的入射光Li的路徑的方式實施。可以看出,隔離結構140亦可包含本文中所論述的氧碳氮化矽(SiOCN)。為簡單起見,本文中未論述FSI影像感測裝置的處理細節。
在一些實施例中,半導體影像感測裝置的隔離結構(諸如,DTI結構)包含氧碳氮化矽(SiOCN)。與由諸如鎢的金屬製成的習知隔離結構相比,包含氧碳氮化矽的隔離結構不會引起非所需電流,且因此顯著減少影像感測器中的白色畫素,諸如減少36%。在一些實施例中,藉由原子層沉積(ALD)方法,氧碳氮化矽材料完全填滿用於DTI結構的開口,且因此所形成的隔離結構可具有高的深寬比。相應地,相鄰畫素之間的DTI結構為相鄰畫素提供良好間隔,以防止入射至一個畫素的輻射感測摻雜區域中的光入射至相鄰畫素的輻射感測摻雜區域中。因此,所述半導體 影像感測裝置具有良好效能且防止相鄰畫素之間的串擾。
本發明實施例的半導體影像感測裝置包含基底、第一畫素及第二畫素以及隔離結構。所述第一畫素及所述第二畫素安置於所述基底中,其中所述第一畫素及所述第二畫素為相鄰畫素。所述隔離結構安置於所述基底中且在所述第一畫素與所述第二畫素之間,其中所述隔離結構包含介電層,且所述介電層包含氧碳氮化矽(SiOCN)。
在本發明一實施例中,其中所述隔離結構更包括SiO2、SiC以及SiCN中的至少一者。
在本發明一實施例中,其中所述隔離結構包含深溝渠隔離(DTI)結構。
在本發明一實施例中,其中所述隔離結構的深度在0.5μm至1.5μm的範圍內。
在本發明一實施例中,其中所述隔離結構的深寬比在2至20的範圍內。
在本發明一實施例中,其中所述第一畫素及所述第二畫素分別包括摻雜式輻射感測區域。
在本發明一實施例中,更包括在所述介電層旁邊的襯層。
在本發明一實施例中,其為背面照明式(BSI)影像感測裝置。
在本發明一實施例中,其為正面照明式(FSI)影像感測裝置。
本發明實施例的半導體影像感測裝置包含基底、多個輻射感測區域以及多個深溝渠隔離(deep trench isolation;DTI)結 構。所述輻射感測區域形成於所述基底中。所述DTI結構形成於所述基底中,其中每一對相鄰輻射感測區域藉由對應的所述DTI結構彼此隔開,所述DTI結構結構具有在2至20範圍內的深寬比,所述DTI結構包含介電層,且所述介電層包含氧碳氮化矽(SiOCN)。
在本發明一實施例中,其中所述DTI結構更包括SiO2、SiC以及SiCN中的至少一者。
在本發明一實施例中,其中所述DTI結構的深度在0.5μm至1.5μm的範圍內。
在本發明一實施例中,其中所述DTI結構的深度大於所述輻射感測區域的深度。
在本發明一實施例中,其更包括在所述介電層旁邊的襯層。
在本發明一實施例中,其中所述輻射感測區域為摻雜區域。
本發明實施例的半導體影像感測裝置製造方法至少包含以下步驟。在基底中形成多個溝渠。藉由原子層沉積(ALD)方法分別在所述溝渠中填充介電層,以在所述基底中形成深隔離結構。在所述基底中於相鄰深隔離結構之間形成輻射感測區域。
在本發明一實施例中,上述的所述介電層藉由電漿增強原子層沉積(PEALD)方法而形成。
在本發明一實施例中,上述的所述介電層藉由使雙(第三丁基胺基)矽烷(BTBAS)與包括N2O、N2以及O2的氣體混合物反應而形成。
在本發明一實施例中,上述的在所述溝渠中填充介電層包括:在所述溝渠中以及在所述基底上方於所述溝渠之間形成介電材料;以及移除所述溝渠外的所述介電材料。
在本發明一實施例中,更包括在所述溝渠中以及在所述介電層與所述基底之間形成襯層。
前文概述若干實施例的特徵,使得本領域的技術人員可較好地理解本發明的態樣。熟習此項技術者應理解,熟習此項技術者可容易使用本發明作為設計或修改用於實現本文中所引入的實施例或實例的相同目的及/或達成相同優點的其他製程及結構的基礎。熟習此項技術者亦應認識到,此類等效構造並不脫離本發明的精神及範疇,且熟習此項技術者可在不脫離本發明的精神及範疇的情況下在本文中進行作出改變、替代及更改。
S10、S20、S30、S40、S50:步驟

Claims (10)

  1. 一種半導體影像感測裝置,其包括:具有第一導電型的基底,具有正面與背面;安置於所述基底中的第一畫素及第二畫素,其中所述第一畫素及所述第二畫素為相鄰畫素,其中所述第一畫素包括輻射感測摻雜區域,所述輻射感測摻雜區域具有上表面及與所述上表面相對的下表面,以及所述輻射感測摻雜區域的整個所述下表面實質上齊平於所述基底的所述正面;安置於所述基底中且在所述第一畫素與所述第二畫素之間的隔離結構,其中所述隔離結構包括氧碳氮化矽(SiOCN);以及彩色濾光片,覆蓋所述基底與所述隔離結構,其中所述隔離結構的上表面實質上齊平於所述基底的所述背面,所述隔離結構的所述上表面與所述基底的所述背面直接接觸所述彩色濾光片,以及所述隔離結構的與所述上表面相對的下表面位於所述輻射感測摻雜區域的所述上表面及所述下表面之間。
  2. 如申請專利範圍第1項所述的半導體影像感測裝置,其中所述隔離結構更包括SiO2、SiC以及SiCN中的至少一者。
  3. 如申請專利範圍第1項所述的半導體影像感測裝置,其中所述隔離結構的深度在0.5μm至1.5μm的範圍內。
  4. 如申請專利範圍第1項所述的半導體影像感測裝置,其中所述隔離結構的深寬比在2至20的範圍內。
  5. 如申請專利範圍第1項所述的半導體影像感測裝置,其中所述隔離結構包括介電層與環繞所述介電層的襯層,且所述隔離結構未接觸所述輻射感測摻雜區域。
  6. 如申請專利範圍第1項所述的半導體影像感測裝置,其中所述隔離結構的寬度隨著接近所述彩色濾光片而增加。
  7. 一種半導體影像感測裝置,其包括:具有第一導電型的基底,具有第一表面及與所述第一表面相對的第二表面;形成於所述基底中的多個輻射感測摻雜區域,其中所述輻射感測摻雜區域中的每一個具有上表面及與所述上表面相對的下表面,以及所述輻射感測摻雜區域中的每一個的所述上表面實質上齊平於所述基底的所述第一表面;形成於所述基底中的多個深溝渠隔離結構,其中每一對相鄰輻射感測區域藉由對應的所述深溝渠隔離結構彼此隔開,所述深溝渠隔離結構包含氧碳氮化矽(SiOCN)的介電層,所述深溝渠隔離結構的下表面實質上齊平於所述基底的所述第二表面,以及所述深溝渠隔離結構的與所述下表面相對的上表面位於所述輻射感測摻雜區域的所述上表面及所述下表面之間;互連結構,位於所述基底的所述第一表面上;以及彩色濾光層,位於所述互連結構上,其中所述互連結構位於所述彩色濾光層與所述基底之間。
  8. 如申請專利範圍第7項所述的半導體影像感測裝置,其中所述深溝渠隔離結構的深度大於所述輻射感測摻雜區域的深度。
  9. 一種半導體影像感測裝置的製造方法,其包括:提供具有第一導電型的基底,所述基底具有正面與背面;形成由所述正面延伸至所述基底中的多個輻射感測摻雜區 域,其中所述輻射感測摻雜區域具有上表面及與所述上表面相對的下表面,以及所述輻射感測摻雜區域的整個所述下表面實質上齊平於所述基底的所述正面;形成由所述背面延伸至所述輻射感測摻雜區域之間的所述基底中的多個隔離結構,其中所述隔離結構的上表面實質上齊平於所述基底的所述背面,以及所述隔離結構的與所述上表面相對的下表面位於所述輻射感測摻雜區域的所述上表面及所述下表面之間;以及形成彩色濾光片,以覆蓋所述基底與所述隔離結構,其中所述隔離結構的所述上表面與所述基底的所述背面彼此接觸。
  10. 如申請專利範圍第9項所述的方法,其中所述隔離結構的形成方法包括在基底中形成多個溝渠,以及藉由使雙(第三丁基胺基)矽烷(BTBAS)與包括N2O、N2以及O2的氣體混合物反應而形成填充所述溝渠的介電層。
TW105139890A 2016-02-25 2016-12-02 半導體影像感測裝置及其形成方法 TWI724073B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/054,094 US20170250211A1 (en) 2016-02-25 2016-02-25 Semiconductor image sensor device and manufacturing method of the same
US15/054,094 2016-02-25

Publications (2)

Publication Number Publication Date
TW201731085A TW201731085A (zh) 2017-09-01
TWI724073B true TWI724073B (zh) 2021-04-11

Family

ID=59679757

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105139890A TWI724073B (zh) 2016-02-25 2016-12-02 半導體影像感測裝置及其形成方法

Country Status (3)

Country Link
US (2) US20170250211A1 (zh)
CN (2) CN107123658A (zh)
TW (1) TWI724073B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170250211A1 (en) * 2016-02-25 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor image sensor device and manufacturing method of the same
TWI645554B (zh) * 2017-10-06 2018-12-21 Powerchip Technology Corporation Cmos影像感測器的深溝渠隔離結構及其製造方法
JP6806719B2 (ja) * 2018-01-17 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN109285852B (zh) * 2018-09-18 2020-04-10 武汉新芯集成电路制造有限公司 沟槽形成方法、背照式图像传感器及其制作方法
US10741544B2 (en) * 2018-11-09 2020-08-11 International Business Machines Corporation Integration of electrostatic discharge protection into vertical fin technology
US11398512B2 (en) * 2019-12-19 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-sensing device and manufacturing method thereof
US20210265416A1 (en) * 2020-02-21 2021-08-26 Applied Materials, Inc. Structure & material engineering methods for optoelectronic devices signal to noise ratio enhancement
US11769780B2 (en) * 2020-02-26 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensors with stress adjusting layers
US11901387B2 (en) * 2021-02-26 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201015737A (en) * 2008-10-14 2010-04-16 Dongbu Hitek Co Ltd Image sensor and method for manufacturing the same
US20130175594A1 (en) * 2012-01-06 2013-07-11 International Business Machines Corporation Integrated circuit including dram and sram/logic
US20140110809A1 (en) * 2012-10-23 2014-04-24 Kabushiki Kaisha Toshiba Method of manufacturing solid-state imaging device and solid-state imaging device
US20140327051A1 (en) * 2013-05-02 2014-11-06 Samsung Electronics Co., Ltd. Image sensor and method of manufacturing the same

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7659595B2 (en) * 2007-07-16 2010-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded bonding pad for backside illuminated image sensor
US7800192B2 (en) * 2008-02-08 2010-09-21 Omnivision Technologies, Inc. Backside illuminated image sensor having deep light reflective trenches
KR101550067B1 (ko) * 2008-12-24 2015-09-03 인텔렉추얼디스커버리 주식회사 이미지 센서 및 이의 제조 방법
US7824948B2 (en) * 2009-01-21 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for reducing cross-talk in image sensor devices
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
KR101788124B1 (ko) * 2010-07-07 2017-10-20 삼성전자 주식회사 후면 조사형 이미지 센서 및 그 제조 방법
US8492241B2 (en) * 2010-10-14 2013-07-23 International Business Machines Corporation Method for simultaneously forming a through silicon via and a deep trench structure
FR2969384A1 (fr) * 2010-12-21 2012-06-22 St Microelectronics Sa Capteur d'image a intermodulation reduite
US20120193785A1 (en) * 2011-02-01 2012-08-02 Megica Corporation Multichip Packages
JP5708025B2 (ja) * 2011-02-24 2015-04-30 ソニー株式会社 固体撮像装置、および、その製造方法、電子機器
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8815723B2 (en) * 2011-09-30 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Process for enhancing image quality of backside illuminated image sensor
US8723236B2 (en) * 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US9893163B2 (en) * 2011-11-04 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3D capacitor and method of manufacturing same
US8853811B2 (en) * 2011-11-07 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor trench isolation with conformal doping
US9659981B2 (en) * 2012-04-25 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Backside illuminated image sensor with negatively charged layer
US8951876B2 (en) * 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US20140252521A1 (en) * 2013-03-11 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Image Sensor with Improved Dark Current Performance
KR20140147508A (ko) * 2013-06-20 2014-12-30 삼성전자주식회사 이미지 센서
US9917003B2 (en) * 2013-06-28 2018-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Trench liner passivation for dark current improvement
US9136298B2 (en) * 2013-09-03 2015-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming image-sensor device with deep-trench isolation structure
KR102154184B1 (ko) * 2014-03-10 2020-09-09 삼성전자 주식회사 이미지 센서 및 이를 제조하는 방법
US9281338B2 (en) * 2014-04-25 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US9553118B2 (en) * 2014-06-18 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of buried color filters in a back side illuminated image sensor using an etching-stop layer
JP6362449B2 (ja) * 2014-07-01 2018-07-25 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP6346826B2 (ja) * 2014-08-06 2018-06-20 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR102410088B1 (ko) * 2014-12-11 2022-06-20 삼성전자주식회사 이미지 센서
KR102410019B1 (ko) * 2015-01-08 2022-06-16 삼성전자주식회사 이미지 센서
US9728573B2 (en) * 2015-01-20 2017-08-08 Taiwan Semiconductor Manufacturing Company Ltd. Backside illuminated image sensor and method of manufacturing the same
US9595555B2 (en) * 2015-05-04 2017-03-14 Semiconductor Components Industries, Llc Pixel isolation regions formed with conductive layers
US10177187B2 (en) * 2015-05-28 2019-01-08 Taiwan Semiconductor Manufacturing Company Ltd. Implant damage free image sensor and method of the same
US20170250211A1 (en) * 2016-02-25 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor image sensor device and manufacturing method of the same
US10484590B2 (en) * 2016-03-04 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device
CN107710414B (zh) * 2016-04-25 2023-06-20 索尼公司 固态成像元件及其制造方法和电子设备
US10269844B2 (en) * 2017-06-27 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of light sensing device
US10522580B2 (en) * 2017-08-23 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of light-sensing device
US10304886B2 (en) * 2017-09-28 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Back-side deep trench isolation (BDTI) structure for pinned photodiode image sensor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201015737A (en) * 2008-10-14 2010-04-16 Dongbu Hitek Co Ltd Image sensor and method for manufacturing the same
US20130175594A1 (en) * 2012-01-06 2013-07-11 International Business Machines Corporation Integrated circuit including dram and sram/logic
US20140110809A1 (en) * 2012-10-23 2014-04-24 Kabushiki Kaisha Toshiba Method of manufacturing solid-state imaging device and solid-state imaging device
US20140327051A1 (en) * 2013-05-02 2014-11-06 Samsung Electronics Co., Ltd. Image sensor and method of manufacturing the same

Also Published As

Publication number Publication date
US20200312894A1 (en) 2020-10-01
US20170250211A1 (en) 2017-08-31
TW201731085A (zh) 2017-09-01
CN115663006A (zh) 2023-01-31
CN107123658A (zh) 2017-09-01
US11189654B2 (en) 2021-11-30

Similar Documents

Publication Publication Date Title
TWI724073B (zh) 半導體影像感測裝置及其形成方法
US11735619B2 (en) Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US11495632B2 (en) Back side illuminated image sensor with deep trench isolation structures and self-aligned color filters
US9543353B2 (en) Formation of buried color filters in a back side illuminated image sensor with an ONO-like structure
TWI693873B (zh) 半導體結構及其形成方法
US8853811B2 (en) Image sensor trench isolation with conformal doping
US9768214B2 (en) Structure of dielectric grid for a semiconductor device
KR102399338B1 (ko) 이미지 센서의 제조 방법
KR20210016272A (ko) 후면 정렬 마크가 있는 bsi 칩
US20180158850A1 (en) Image sensing device
US9601535B2 (en) Semiconducator image sensor having color filters formed over a high-K dielectric grid
US9040891B2 (en) Image device and methods of forming the same
TW201640612A (zh) 高介電係數介電層形成方法、影像感測裝置與其製造方法
TWI717795B (zh) 影像感測器及其形成方法
US9935147B2 (en) Deep trench isolation structure in image sensor device