TWI719264B - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TWI719264B
TWI719264B TW106137650A TW106137650A TWI719264B TW I719264 B TWI719264 B TW I719264B TW 106137650 A TW106137650 A TW 106137650A TW 106137650 A TW106137650 A TW 106137650A TW I719264 B TWI719264 B TW I719264B
Authority
TW
Taiwan
Prior art keywords
conductive
gate
wire
strip
strips
Prior art date
Application number
TW106137650A
Other languages
English (en)
Other versions
TW201830664A (zh
Inventor
林威呈
楊惠婷
彭士瑋
曾健庭
楊超源
賴志明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201830664A publication Critical patent/TW201830664A/zh
Application granted granted Critical
Publication of TWI719264B publication Critical patent/TWI719264B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一半導體元件包括至少一第一閘極帶、至少一第二閘極帶、至少一第一導線和至少一第一導電通孔。此至少一第一閘極帶的一端表面和此至少一第二閘極帶的一端表面彼此相對。此至少一第一導線在此至少一第一閘極帶和此至少一第二閘極帶上方並且跨此至少一第一閘極帶的此端表面和此至少一第二閘極帶的此端表面。此至少一第一導電通孔連接此至少一第一導線與此至少一第一閘極帶。

Description

半導體元件及其製造方法
本揭露係關於一種半導體元件及其製造方法,特別係關於具有導線圖案化的半導體元件。
對於積體電路佈局,存在尺寸限制,諸如最小節距或間距。在一些佈局中,以切割圖案切割諸如多晶矽線的導線。然而,隨著積體電路尺寸的縮小,一些佈局方法具有光微影製程限制。
在一些實施方式中,半導體元件包括多個第一閘極帶、至少一第二閘極帶、至少一第一導線和至少一第一導電通孔。此些第一閘極帶的多個端表面以一實質上直線對齊。此些第一閘極帶的一第一閘極帶的此端表面和此至少一第二閘極帶的端表面彼此相對。此至少一第一導線在此第一閘極帶和此至少一第二閘極帶上方並且跨此第一閘極帶的端表面和此至少一第二閘極帶的端表面。此至少一第一導電通孔連接此至少一第一導線與此第一閘極帶。
在一些實施方式中,半導體元件包括一至少一主動區、多個導電帶、一至少一第一導線和一至少一第一導電通孔。此至少一主動區包括一源極區和一汲極區。此些導電帶各與各別的此至少一主動區的此源極區和此汲極區的其中之一相交,其中此些導電帶具有以一實質上直線對齊的端表面。此至少一第一導線在此至少一導電帶上方並且實質上沿此些導電帶的一第一導電帶的一長度方向延伸。此至少一第一導電通孔連接此至少一第一導線與此第一導電帶。
在一些實施方式中,製造半導體元件的方法包括以下步驟。形成至少一第一主動區和至少一第二主動區。形成分別跨此至少一第一主動區和此至少一第二主動區的一至少一第一閘極帶和一至少一第二閘極帶,此至少一第一閘極帶的一端表面和此至少一第二閘極帶的一端表面彼此相對。形成分別在此至少一第一閘極帶和此至少一第二閘極帶上方的至少一第一導電通孔和至少一第二導電通孔。在此至少一第一導電通孔和此至少一第二導電通孔上方形成一至少一第一導線,其中此至少一第一導線實質上沿著此至少一第一閘極帶的一長度方向延伸。
3-3:線
5-5:線
10:基材
11:操作
12:操作
13:操作
14:操作
15:操作
16:操作
17:操作
100:單元
105:主動區
110:主動區
115:閘極帶
115':閘極帶
115A:閘極帶
115B:閘極帶
120:閘極帶
120':閘極帶
120A:閘極帶
120B:閘極帶
125:閘極帶
125':閘極帶
125A:閘極帶
125B:閘極帶
130:閘極帶
130':閘極帶
130A:閘極帶
130B:閘極帶
135:分割區段
140:分割區段
145:分割區段
150A:源/汲極區
150B:源/汲極區
150C:源/汲極區
150D:源/汲極區
150E:源/汲極區
150F:源/汲極區
160:導電帶
160':導電帶
160A:導電帶
160B:導電帶
165:導電帶
165':導電帶
165A:導電帶
165B:導電帶
170:導電帶
170':導電帶
170A:導電帶
170B:導電帶
180:分割區段
185:分割區段
190:分割區段
195:隔離特徵
500:單元
505A:閘極帶
505B:閘極帶
510A:閘極帶
510B:閘極帶
515A:閘極帶
515B:閘極帶
520A:閘極帶
520B:閘極帶
525A:導電帶
525B:導電帶
530A:導電帶
530B:導電帶
535A:導電帶
535B:導電帶
540:分割區段
545:分割區段
550:分割區段
600A:單元
600B:單元
600C:單元
600D:單元
600E:單元
C1:第一導線
C2:第二導線
D1:間隔
D2:間隔
D3:間隔
D4:間隔
ESL1:蝕刻停止層
ESL2:蝕刻停止層
ILD0:層間介電層
ILD1:層間介電層
ILD2:層間介電層
M0:第一金屬層圖案
M1:第二金屬層圖案
STI:淺溝槽隔離
V0:第三導電通孔
VD:第二導電通孔
VG:第一導電通孔
當結合附圖閱讀時,從以下詳細描述可以最好地理解本揭露的各態樣。值得注意的是,根據行業中的標準慣例,並未按比例繪製各個特徵件。事實上,為了論述的清楚性,可以任意地增大或縮小各個特徵件的尺寸。
第1圖描繪了根據本揭露的一些實施方式的製造半導體元件的方法的流程圖;第2圖是根據本揭露的各種實施方式的在製造期間的中間階段的半導體元件的單元的示意性平面圖;第3圖是沿第2圖的線3-3截取的剖視圖;第4圖是根據本揭露的各種實施方式的在製造期間的中間階段的半導體元件的單元的示意性平面圖;第5圖是沿第4圖的5-5線的剖視圖;第6圖是根據本揭露的各種實施方式的在製造期間的中間階段的半導體元件的單元的示意性平面圖;第7圖是沿第6圖的7-7線的剖視圖;第8圖是根據本揭露的部份實施方式的半導體元件的單元的製造方法的示意性平面圖;第9圖是沿第8圖的9-9線的剖視圖;第10圖是根據本揭露的部份實施方式的半導體元件的單元的製造方法的示意性平面圖;第11圖是沿第10圖的11-11線的剖面圖;第12圖是沿第10圖的12-12線的剖面圖;第13圖是根據本揭露的各種實施方式,第10圖中的單元的一部份的等效電路的示例性電路圖;第14圖是根據本揭露的一些實施方式的單元的製造方法的示意性平面圖;第15圖是根據本揭露的各種實施方式的第14圖中的單元的示例性立體圖; 第16圖是根據本揭露的各種實施方式的的半導體元件的單元的製造方法的示例性平面圖;以及第17圖是根據本揭露的各種實施方式的複數單元的示例性平面圖。
以下揭示內容提供了用於實施所提供標的的不同特徵的許多不同實施方式或實例。下文描述了部件和佈置的特定實例以簡化本揭露。此等當然僅僅是實例,而並非意欲為限制性的。例如,在接下來的描述中在第二特徵件上方或之上形成第一特徵件可以包括其中第一和第二特徵件形成為直接接觸的實施方式,並且亦可以包括其中可以在第一和第二特徵件之間形成有額外特徵件,使得第一和第二特徵件可以不是直接接觸的實施方式。此外,本揭露可以重複各種實例中的參考元件和/或字母。此重複是為了簡單和清楚的目的,並且本身並不規定所論述的各種實施方式和/或配置之間的關係。
本說明書中使用的術語通常具有它們在本領域中的普通含義以及使用每個術語的特定語境。在本說明書中使用的實例,包括本文所論述的任何術語的實例僅是說明性的,並且絕不限製本揭露或任何示例性術語的範疇和含義。同樣,本揭露不限於本說明書中給出的各種實施方式。
亦將理解的是,儘管詞彙「第一」、「第二」等可以在本文中用來描述各種元素,但是這些元素不應當被 此等詞彙限定。此等詞彙只是用來將一元素與另一元素區分開。例如,在不脫離實施方式的範疇的情況下,第一元素可被稱為第二元素,並且相似地,第二元素可被稱為第一元素。如本文所用,詞彙「及/或」包括一個或多個相關列出項目的的任意一者和所有組合。
如本文所用,詞彙「包括」、「包含」、「具有」、「含有」以及「涉及」等等應被理解為開放式的,亦即意味著包括但不限於。
在本說明書中對「一個實施方式」或「一實施方式」的提及意味著結合此實施方式描述的特定特徵、結構、實施或特性包括在本揭露的至少一個實施方案中。因此,在貫穿本說明書的各個地方中用語「在一個實施方式中」或「在一實施方式中」的使用不一定都代表相同的實施方式。此外,此等特定特徵、結構、實施或特性可以以任何合適的方式組合在一個或多個實施方式中。
第1圖描繪了根據本揭露的一些實施方式的製造半導體元件的方法的流程圖。方法被圖示為包括若干個列舉的步驟。此方法的各種實施方式可以包括在列舉的步驟之前、之後、之間和/或作為列舉的步驟的一部份的額外步驟。此方法從操作11開始,其中在基材上形成第一和第二主動區(如第2圖和第3圖所示)。此方法繼續到操作12,其中形成跨第一和第二主動區的複數閘極帶(如第2圖和第3圖所示)。此方法繼續到操作13,其中將閘極帶圖案化成分別跨第一和第二主動區的分離的閘極帶(如第4圖和第5圖 所示)。此方法繼續到操作14,其中形成跨第一和第二主動區的複數導電帶(如第6圖和第7圖所示)。此方法繼續到操作15,其中將導電帶圖案化成分別跨第一和第二主動區的分離的導電帶(如第8圖和第9圖所示)。此方法繼續到操作16,其中在閘極帶和導電帶上方形成第一和第二導電通孔,並且在第一和第二導電通孔上方形成第一金屬層圖案(如第10圖、第11圖和第12圖所示)。此方法繼續到操作17,其中在第一金屬層圖案上方形成第三導電通孔並且在第三導電通孔上方形成第二金屬層圖案(如第14圖所示)。
第2圖是根據本揭露的各種實施方式的半導體元件的單元100的製造方法的示意性平面圖。第3圖是沿第2圖的3-3線的剖面圖。提供了單元100的具有元件區域(例如,邏輯功能)的一部份。本文所用的術語元件區域是指與具有特定類型的通道的金屬氧化物半導體(MOS)元件相關聯的基材區域。通道的通道類型,n型或p型,基於在橫向電場下形成的通道的導電類型來辨識。此意味著NMOS元件區域例如在橫向電場下包括n型通道並且與n型摻雜的源極/汲極區以及閘電極相關聯。使用實線標記了單元100的四個邊界。在此四個邊界內,單元100包括基材10,此基材具有形成元件如電晶體的主動區105和110。主動區105和110可以包含半導體材料,諸如矽、矽鍺等。淺溝槽隔離(STI)區位於主動區105和110之間以用於電隔離,並且可以包括氧化矽或任何其他合適的介電材料。
閘極帶115、120、125和130形成在單元100中。閘極帶115、120、125和130間隔開並且沿著第一方向延伸。在一些實施方式中,第一方向是如第2圖所示的Y方向。閘極帶115、120、125和130可以包含多晶矽或其他導電材料如金屬。此等閘極帶115、120、125和130可以形成為使用合適的沉積和圖案化技術而跨主動區105和110。例如,可以在主動區105和110上沉積多晶矽層,並且使用光微影和蝕刻操作將此多晶矽層圖案化為閘極帶115、120、125和130。
在一些實施方式中,閘極帶115和130是偽閘極帶,並且分別設置在單元100的相對邊界處。更特定地,閘極帶115和130不用於形成任何電路元件。在描繪中,閘極帶115、130分別設置在單元100的左側邊界和右側邊界處。
在形成閘極帶115、120、125和130之後,將源/汲極區150A、150C和150E形成在主動區105的未被閘極帶115、120、125和130覆蓋的部份上,並且將源極/汲極區150B、150D和150F形成在主動區110的未被閘極帶115、120、125和130覆蓋的部份上。在一些實施方式中,可以使用一種或更多種磊晶製程來形成源/汲極區,並且源/汲極區可以在磊晶生長期間原位摻雜有n型雜質或p型雜質。在一些實施方式中,可以使用一種或更多種注入製程來形成源/汲極區,以便將n型雜質或p型雜質摻雜到源/汲極區中。
接著,如第4圖與第5圖所示,使用光微影和蝕刻操作將閘極帶115、120、125和130圖案化成分離的閘極帶115'、120'、125'和130'。分離的閘極帶115'包括分別在主動區105和110上方並且彼此分離的閘極帶115A和115B。分離的閘極帶120'包括分別在主動區105和110上方並且彼此分離的閘極帶120A和120B。分離的閘極帶125'包括分別在主動區105和110上方並且彼此分離的閘極帶125A和125B。分離的閘極帶130'包括分別在主動區105和110上方並且彼此分離的閘極帶130A和130B。分割區段140位於閘極帶115A與115B之間、閘極帶120A與120B之間、閘極帶125A與120B之間以及閘極帶130A與130B之間。分割區段140由在佈局中繪製的分割線製成。例如,使用由與閘極帶115、120、125和130相交的分割線圖案形成的蝕刻圖案來對閘極帶115、120、125和130進行圖案化,以使得可以在蝕刻操作期間移除閘極帶115、120、125和130的多個部份。
若在佈局中繪製的分割線短(例如與單條閘極帶相交),則由於光微影的性質,由短分割線製成的分割區段140將形成為圓形圖案,諸如橢圓形圖案或圓形圖案。此種圓形化圖案將導致分離的閘極帶的不想要的彎曲化端表面。因此,在一些實施方式中,在佈局中繪製長分割線(例如,與大於一百條閘極帶相交),使得分割區段140可以不含圓形化圖案。因此,分別面對閘極帶115B、120B、125B和130B的閘極帶115A、120A、125A和130A的端表面可 以沿基本上直線(例如沿X方向延伸的線)對準,並且分別面對閘極帶115A、120A、125A和130A的閘極帶115B、120B、125B和130B的端表面亦可以沿基本上直線(例如沿X方向延伸的線)對準。因此,可以防止閘極帶的不想要的彎曲化端表面。
在一些實施方式中,如第4圖所示意性圖示,分割區段135和145分別形成在單元100的彼此相對的邊界上,並且分割區段135和145在第二方向上延伸。第二方向是主動區105和110的實質上垂直於第一方向的長度方向。在一些實施方式中,第二方向是如第4圖所示的X方向。在描繪中,分割區段135和145分別設置在單元100的上側邊界和下側邊界處。在一些實施方式中,分割區段135和145由在佈局中繪製的長分割線(例如與大於一百條閘極帶相交)製成,使得分割區段135和145可以不含圓形化圖案。因此,靠近單元100的上側邊界的閘極帶115A、120A、125A和130A的端表面可以沿基本上直線(例如在X方向上延伸的線)對齊。類似地,靠近單元100的下側邊界的閘極帶115B、120B、125B和130B的端表面可以沿基本上直線(例如在X方向上延伸的線)對齊。
第6圖是根據本揭露的一些實施方式的單元100的製造方法的示意性平面圖。第7圖是沿第6圖的7-7線的剖視圖。如第6圖和第7圖所示,導電帶160、165和170形成在單元100中。導電帶160、165和170間隔開並且沿著Y方向延伸。導電帶160、165和170可以包含鎢、鋁、銅或 其他合適的金屬。導電帶160與主動區105和110相交,並與源/汲極區150A和150B接觸。導電帶165與主動區105和110相交,並與源/汲極區150C和150D接觸。導電帶170與主動區105和110相交,並與源/汲極區150E和150F接觸。導電帶160、165和170可以使用合適的沉積和圖案化技術形成。例如,導電帶160、165和170的形成可以包括在閘極帶中形成層間介電(ILD)層,在層間介電層層中蝕刻溝槽,將金屬形成到溝槽中,以及使用化學機械研磨(CMP)將金屬與層間介電層的頂表面平坦化。
接著,如第8圖與第9圖所示,使用光微影和蝕刻操作將導電帶160、165和170圖案化成分離的導電帶160'、165'和170'分離的導電帶160'包括分別在源/汲極區150A和150B上方並且彼此分離的導電帶160A和160B。分離的導電帶165'包括分別在源/汲極區150C和150D上方並且彼此分離的導電帶165A和165B。分離的導電帶170'包括分別在源/汲極區150E和150F上方並且彼此分離的導電帶170A和170B。分割區段180位於導電帶160A與160B之間、導電帶165A與165B之間、導電帶170A與170B之間。分割區段180由以佈局繪製的分割線製成。例如,使用由與導電帶160、165和170相交的分割線圖案形成的蝕刻圖案來對導電帶160、165和170進行圖案化,以使得可以在蝕刻操作期間移除導電帶160、165和170的多個部份。
若在佈局中繪製的分割線短(例如與單條導電帶相交),則由於光微影的性質,由短分割線製成的分割區 段180將形成為圓形圖案,諸如橢圓形圖案或圓形圖案。此種圓形化圖案將導致分離的導電帶的不希望的彎曲化端表面。因此,在一些實施方式中,在佈局中繪製長分割線(例如,與大於一百條導電帶相交),使得分割區段180可以不含圓形化圖案。因此,分別面對導電帶160B、165B和170B的導電帶160A、165A和170A的端表面可以沿基本上直線(例如沿X方向延伸的線)對齊,並且分別面對導電帶160A、165A和170A的導電帶160B、165B和170B的端表面亦可以沿基本上直線(例如沿X方向延伸的線)對齊。因此,可以防止導電帶的不想要的彎曲化端表面。
在一些實施方式中,如第8圖所示意性圖示,分割區段185和190分別形成在單元100的彼此相對的邊界上並且沿著X方向延伸。在描繪中,分割區段185和190分別設置在單元100的上側邊界和下側邊界處。在一些實施方式中,分割區段185和190由在佈局中繪製的長分割線(例如與大於一百條導電帶相交)製成,使得分割區段185和190可以不含圓形化圖案。因此,靠近單元100的上側邊界的導電帶160A、165A和170A的端表面可以沿基本上直線(例如在X方向上延伸的線)對齊。類似地,靠近單元100的下側邊界的導電帶160B、165B和170B的端表面可以沿基本上直線(例如在X方向上延伸的線)對齊。
第10圖是根據本揭露的一些實施方式的單元100的製造方法的示意性平面圖。如第10圖所示,導電通孔VG和VD以及第一金屬層圖案M0形成在單元100中。第一 導電通孔VG被圖示為灰色圖案。如第10圖所說明性圖示的,第一導電通孔VG分別形成在閘極帶115A、115B、120A、120B、125A、125B、130A和130B上。
第一導電通孔VG允許閘極帶115A、115B、120A、120B、125A、125B、130A與第一金屬層圖案M0之間的電連接。用於形成第一導電通孔VG的各種導電材料在本揭露的預期範疇內。
第二導電通孔VD被圖示為各自具有十字交叉的灰色圖案。如第10圖所示意性圖示的,第二導電通孔VD分別形成在導電帶160A、160B、165A、170A和170B上。
第二導電通孔VD允許導電帶160A、160B、165A、170A和170B與第一金屬層圖案M0之間的電連接。用於形成第二導電通孔VD的各種導電材料在本揭露的預期範疇內。
第一金屬層圖案M0被圖示為填充有斜線的圖案,其中第一金屬層圖案M0沿著第一方向,亦即Y方向形成。例如,第一金屬層圖案M0包括分別疊加在閘極帶115A、115B、120A、120B、125A、125B、130A和130B上方的第一導線C1。第一導線C1沿著Y方向延伸,因此閘極帶115A、115B、120A、120B、125A、125B、130A和130B的長度方向基本上平行於第一導線C1的長度方向。閘極帶115A、115B、120A、120B、125A、125B、130A和130B以及第一導線C1的取向基本上相同,並且閘極帶115A、115B、120A、120B、125A、125B、130A 和130B位於第一導線C1的下方。此種佈置允許閘極帶115A、115B、120A、120B、125A、125B、130A和130B與第一導線C1之間的大的重疊區域。用於電連接閘極帶115A、115B、120A、120B、125A、125B、130A和130B與第一導線C1的第一導電通孔VG能夠沿著此重疊區域定位以進行電連接。例如,第一導線C1設置在極極帶115A上方,並且第一導電通孔VG可以沿著Y方向設置在任何地方,其中閘極帶115A和第一導線C1相互重疊。第一導電通孔VG的位置可以是相對任意的,因為閘極帶115A、115B、120A、120B、125A、125B、130A和130B以及第一導線C1沿著相同的方向(例如,Y方向)對準。以不同的方式說明,第一導電通孔VG具有完整的第一導線C1作為連接節點。
由於第一導電通孔VG的Y方向位置是靈活的,所以如第4圖所示的第一導電通孔VG可以形成於不在分割區段140上方的位置處,並且因此分割區段140可以形成作為長分割區段(例如與大於一百條閘極帶相交),而無需考慮第一導電通孔VG的位置。換句話說,第一導線C1和閘極帶115A、115B、120A、120B、125A、125B、130A和130B的基本上平行的佈置有利於形成長分割區段,使得可以防止閘極帶115A、115B、120A、120B、125A、125B、130A和130B的不期望的彎曲化端表面。
在一些實施方式中,第一導線C1形成在第一導電通孔VG上方。第一導線C1經由相應的第一導電通孔VG 分別耦合至閘極帶115A、115B、120A、120B、125A、125B、130A與130B。
一根第一導線C1跨閘極帶120A和120B的彼此相對的端表面。第一導線C1經由相應的第一導電通孔VG電耦合至閘極帶120A和120B。一根第一導線C1跨閘極帶125A和125B的彼此相對的端表面。第一導線C1經由相應的第一導電通孔VG電耦合至閘極帶125A和125B。
在一些實施方式中,第一金屬層圖案M0亦包括疊加在導電帶160A、160B、165A、165B、170A和170B上方的第二導線C2。第二導線C2沿著Y方向延伸,因此導電帶160A、160B、165A、165B、170A和170B的長度方向基本上平行於第二導線C2的長度方向。導電帶160A、160B、165A、165B、170A和170B以及第二導線C2的取向基本上相同,並且導電帶160A、160B、165A、165B、170A和170B位於第二導線C2的下方。此種佈置允許導電帶160A、160B、165A、165B、170A和170B與第二導線C2之間的大的重疊區域。用於電連接導電帶160A、160B、165A、170A和170B與第二導線C2的第二導電通孔VD能夠沿著此重疊區域定位以進行電連接。例如,第二導線C2設置在導電帶160A上方,並且第二導電通孔VD可以沿著Y方向設置在任何地方,其中導電帶160A和第二導線C2相互重疊。第二導電通孔VD的位置可以是相對任意的,因為導電帶160A、160B、165A、165B、170A和170B以及第二導線C2沿著相同的方向(例如,Y方向)對齊。以不同的方 式說明,第二導電通孔VD具有完整的第二導線C2作為連接節點。
由於第二導電通孔VD的Y方向位置是靈活的,所以如第8圖所示的第二導電通孔VD可以形成於不在分割區段180上方的位置處,並且因此分割區段180可以形成作為長分割區段(例如與大於一百條導電帶相交),而無需考慮第二導電通孔VD的位置。換句話說,第二導線C2和導電帶160A、160B、165A、165B、170A和170B的基本上平行的佈置有利於形成長分割區段,使得可以防止導電帶160A、160B、165A、165B、170A和170B的不期望的彎曲化端表面。
在一些實施方式中,第二導線C2形成在第二導電通孔VD上方。第二導線C2經由相應的第二導電通孔VD分別耦合至導電帶160A、160B、165A、170A和170B。
在一些實施方式中,導電通孔VG和VD以及第一和第二導線C1和C2可以使用雙鑲嵌製程形成。例如,如第11圖和第12圖所示,層間介電(ILD)層ILD0形成在閘極帶之間,隔離特徵195形成在導電帶之間,蝕刻停止層ESL1、ILD層ILD1、蝕刻停止層ESL2和另一層間介電層ILD2可以形成在基材10上,並且執行一個或更多個圖案化製程以在層間介電層ILD1中形成通孔開口並在層間介電層ILD2中形成溝槽開口,並且將導體(例如金屬)沉積到通孔和溝槽開口內,隨後執行平坦化製程如化學機械研磨(CMP)以使導體與層間介電層ILD2的頂表面平面化。作 為雙鑲嵌製程的結果,層間介電層ILD1中的通孔開口中的導體可以充當導電通孔VG和VD,並且層間介電層ILD2中的溝槽開口中的導體可以充當包括導線C1和C2的第一金屬層圖案M0。
在一些實施方式中,第一導電通孔VG沿著第二方向,亦即X方向以多列形成。如第10圖所示意性圖示的,對應於閘極帶115A、120A、125A和130A的第一導電通孔VG沿著列R1形成。例如,對應於閘極帶115A、120A、125A和130A的第一導電通孔VG佈置成行。對應於閘極帶115B、120B、125B和130B的第一導電通孔VG沿著列R2佈置。例如,對應於閘極帶115B、120B、125B和130B的第一導電通孔VG佈置成行。
在一些實施方式中,第二導電通孔VD沿著第二方向,亦即X方向以多列形成。如第10圖所示意性圖示的,對應於導電帶160A、165A和170A的第二導電通孔VD沿著列R3形成。例如,對應於導電帶160A、165A和170A的第二導電通孔VD佈置成行。對應於導電帶160B和170B的第二導電通孔VD沿著列R4形成。例如,對應於導電帶160B、165B和170B的第二導電通孔VD佈置成行。
如上所述,由於使用長切割線(例如與大於一百條閘極帶相交)對閘極帶115、120、125和130進行圖案化,所以所得閘極帶115A、115B、120A、120B、125A、125B、130A和130B可以具有沒有彎曲化或圓形化輪廓的端表面。因此,在閘極帶和主動區之間的間隔,例如第10 圖中在靠近上側邊界的閘極帶130A的端表面與主動區105之間的間隔D1以及在面對閘極帶130B的閘極帶130的端表面與主動區105之間的間隔D2可以保持較大。獲得了間隔的更好隔離效率。
此外,由於第一導電通孔VG和第二導電通孔VD的規則佈置,可以將第一導電通孔VG中的一個與相鄰的第二導電孔650中的一個之間的間隔D3保持為較大。亦可以獲得更大的製程窗口。
如第10圖所示,兩條相鄰閘極帶,例如閘極帶120A和125A之間的第一節距為CPP。兩個相鄰第一金屬層圖案M0之間的第二節距是MP0。
在一些實施方式中,第一節距CPP是第二節距MP0的實質上兩倍。第一導電通孔VG中的一個與相鄰的第二導電通孔VD中的一個之間的間隔D3的範圍是從0到CPP。此外,兩個最接近的第一導電通孔VG之間的間隔D4的範圍為從CPP到3×CPP,此間隔大於間隔D3。
第13圖是根據本揭露的各種實施方式,第10圖中的單元100的一部份的等效電路200的示例性電路圖。
如第13圖所示意性圖示,等效電路200包括一對電阻器Rc1和Rc2、一對電阻器Rg1和Rg2、對應於閘極帶120A和120B的一對電晶體T1和T2以及信號線L。
信號線L等效於在閘極帶120A和120B上形成的第一金屬層圖案M0。電阻器Rc1和Rc2是由兩個第一導 電通孔VG形成的等效電阻器。電阻器Rg1和Rg2是由閘極帶120A和120B形成的等效電阻器。
電阻器Rc1和電阻器Rg1串聯電耦合以具有電阻Rb1,此電阻等效於電阻器Rc1和電阻器Rg1的電阻之和。電阻器Rc2和電阻器Rg2串聯電耦合以具有電阻Rb2,此電阻等效於電阻器Rc2和電阻器Rg2的電阻之和。
在一些實施方式中,信號線L被配置為用於將輸入信號(未圖示)從外部電路傳輸到成對的電晶體T1和T2。因此,相對於信號線L,兩個電晶體T1和T2的輸入電阻分別為Rb1和Rb2。
在一些方法中,電晶體的閘極由經由單個互連插塞電耦合到信號線的單條閘極帶形成。由於從信號線到兩個電晶體的總傳輸距離長,所以輸入電阻高。
與此些方法相比,由於形成電晶體T1和T2的閘極的閘極帶被分割成兩條閘極帶120A和120B,並且兩個第一導電通孔VG相應地形成在此兩條閘極帶上,所以從信號線與到兩個電晶體T1和T2的總傳輸距離要短得多。輸入電阻降低,以產生更好的元件效能。
第14圖是根據本揭露的一些實施方式的在製造期間的中間階段的單元100的示例性平面圖。第15圖是根據本揭露的各種實施方式的第14圖中的單元的示例性透視圖。為了簡單起見,透視圖圖示了單元100中的一部份元件,而未圖示每個元件。如第14圖和第15圖所示,第三導電通孔V0形成在第一金屬層圖案M0上方,並且第二金屬層 圖案M1形成在第三導電通孔V0上方。如第14圖所示,第三導電通孔V0被圖示為具有白色十字交叉的黑色圖案,其中在第15圖中,第三導電通孔V0被圖示為黑色立方體。第三導電通孔V0分別形成在第一金屬層圖案M0上。
第三導電通孔V0允許第一金屬層圖案M0與第二金屬層圖案M1之間的電連接。用於形成第三導電通孔V0的各種導電材料在本揭露的預期範疇內。
第二金屬層圖案M1被圖示為填充有點的圖案,其中第二金屬層圖案M1沿著第二方向,即X方向形成。例如,第一金屬層圖案M0與第二金屬層圖案M1交叉。因此,第二金屬層圖案M1與第一金屬層圖案M0以垂直方式佈置以形成複數個交點。第三導電通孔V0形成在交點處以與第二金屬層圖案M1和第一金屬層圖案M0電耦合。如上所述,第三導電通孔V0和第二金屬層圖案M1的形成包括例如與形成第一和第二導電通孔VG和VD以及第一金屬層圖案M0的製程類似的雙鑲嵌製程。
在一些實施方式中,第二金屬層圖案M1包括一對電力軌VDD和VSS。因此,電力軌VDD和VSS經由第三導電通孔V0將電力供應到第一金屬層圖案M0,並經由第一導電通孔VG和第二導電通孔VD進一步供應到相應的閘極帶和導電帶,如第10圖所示。
第16圖是根據本揭露的各種實施方式的在製造期間的中間階段的半導體元件的單元500的示例性平面圖。在描繪中,使用實線標記了單元500的四個邊界。在四 個邊界內,單元500包括閘極帶505A、505B、510A、510B、515A、515B、520A和520B,導電帶525A、525B、530A、530B、535A和535B,以及分割區段540、545和550。
閘極帶505A、505B、510A、510B、515A、515B、520A和520B間隔開並且沿著第一方向延伸。在一些實施方式中,第一方向是Y方向。類似地,導電帶525A、525B、530A、530B、535A和535B間隔開並沿第一方向延伸。閘極帶和導電帶以交替的方式佈置。分割區段540和550分別形成在單元500的沿著第二方向彼此相對的邊界上,此第二方向基本上垂直於第一方向,其中此第二方向為X方向。
在描繪中,分割區段540和550分別設置在單元500的上側邊界和下側邊界處。圖案化的閘極帶505A、505B、510A、510B、515A、515B、520A和520B是使用由對應於分割區段545的分割線圖案形成的蝕刻圖案形成的。圖案化的導電帶525A、525B、530A、530B、535A和535B亦是使用由對應於分割區段545的分割線圖案形成的蝕刻圖案形成的。由於圖案化的閘極帶和圖案化的導電帶是使用由相同分割線圖案形成的相同蝕刻圖案形成的,所以若分割線圖案足夠長(例如與大於一百根閘極帶相交),則閘極帶505A、510A、515A和520A以及導電帶525A、530A和535A的分別面對閘極帶505B、510B、515B和520B以及導電帶525B、530B和535B的端表面可以以基本上直線 (例如,在X方向上延伸的線)對齊。類似地,分別面對閘極帶505A、510A、515A和520A以及導電帶525A、530A和535A的閘極帶505B、510B、515B和520B以及導電帶525B、530B和535B的端表面可以以基本上直線(例如,在X方向上延伸的線)對齊。
第17圖是根據本揭露的各種實施方式的複數個單元600A-600E的示例性平面圖。作為說明,使用實線標記了單元600A至600E的四個邊界。在各個單元600A至600E中,圖示了第一導電通孔VG、第二導電通孔VD和分割區段605A至605E,而未圖示其他元件。
在一些實施方式中,相鄰單元600A和600B中的第二導電通孔VD彼此對準,並且相鄰單元600A和600B中的第一導電通孔VG彼此對準。類似地,相鄰單元600C、600D和600E中的第二導電通孔VD彼此對準,並且相鄰單元600C、600D和600E中的第一導電通孔VG彼此對準。
在一些實施方式中,分割區段605A橫穿相鄰單元600A和600B的邊界。分割區段605D橫穿相鄰單元600C、600D和600E的邊界。在一些實施方式中,兩個分割區段605B橫穿相鄰單元600A和600B的中心區域。兩個分割區段605C橫穿相鄰單元600A和600B的邊界。三個分割區段605D橫穿相鄰單元600C、600D和600E的中心區域。
出於說明目的,給出了上述導電帶、導電通孔和金屬層圖案的數目。各種數量的導電帶、導電通孔和金屬層圖案都在本揭露的預期範疇內。
出於說明目的,給出了上述元件中的兩個元件之間的距離的範圍。元件中的每兩個元件之間的距離的各種範圍都在本揭露的預期範疇內。
於部分實施方式中,一種半導體元件,包括一至少一第一閘極帶、一至少一第二閘極帶,一至少一第一導線以及一至少一第一導電通孔。此至少一第一閘極帶的一端表面與此至少一第二閘極帶的一端表面彼此相對。此至少一第一導線在此至少一第一閘極帶和此至少一第二閘極帶上方並且跨此至少一第一閘極帶的此端表面和此至少一第二閘極帶的此端表面。此至少一第一導電通孔連接此至少一第一導線與此至少一第一閘極帶。
於部分實施方式中,此半導體元件更包括一至少一第二導電通孔,此至少一第二導電通孔連接此至少一第一導線與此至少一第二閘極帶。
於部分實施方式中,此至少一第一導線的一長度方向實質上平行於此至少一第一閘極帶的一長度方向。
於部分實施方式中,此至少一第一導線的一長度方向實質上平行於此至少一第二閘極帶的一長度方向。
於部分實施方式中,此半導體元件更包括一至少一第二導線,此至少一第二導線在此至少一第一導線上方,此至少一第二導線和此至少一第一導線交叉。
於部分實施方式中,此半導體元件更包括一至少一第三導電通孔,此至少一第三導電通孔連接此至少一第一導線與此至少一第二導電帶。
於部分實施方式中,此些第一閘極帶的此些端表面以一實質上直線對齊。
於部分實施方式中,此些第二閘極帶的此些端表面以一實質上直線對齊。
於部分實施方式中,此些第一導電通孔係直線排列。
於部分實施方式中,此第一導線疊加在此至少一第一閘極帶上方。
於部分實施方式中,此第一導線疊加在此至少一第二閘極帶上方。
於部分實施方式中,一種半導體元件包括一至少一主動區、一至少一導電帶、一至少一第一導線以及一至少一第一導電通孔。此至少一主動區包括一源極區和一汲極區。此至少一導電帶與此至少一主動區的此源極區和此汲極區的其中之一相交。此至少一第一導線在此至少一導電帶上方並且實質上沿此至少一導電帶的一長度方向延伸。此至少一第一導電通孔連接此至少一第一導線與此至少一導電帶。
於部分實施方式中,複數此導電帶具有以一實質上直線對齊的端表面。
於部分實施方式中,複數此第一導電通孔係沿一直線排列。
於部分實施方式中,此半導體元件更包括一至少一第二導線,此至少一第二導線在此至少一第一導線上方,此至少一第二導線和此至少一第一導線交叉。
於部分實施方式中,此半導體元件更包括一至少一第二導電通孔,此至少一第二導電通孔連接此至少一第一導線與此至少一第二導線。
於部分實施方式中,此至少一第一導線疊加在此至少一導電帶上方。
於部分實施方式中,一種製造半導體元件的方法包括形成至少一第一主動區和至少一第二主動區;形成分別跨此至少一第一主動區和此至少一第二主動區的一至少一第一閘極帶和一至少一第二閘極帶,此至少一第一閘極帶的一端表面和此至少一第二閘極帶的一端表面彼此相對;形成分別在此至少一第一閘極帶和此至少一第二閘極帶上方的至少一第一導電通孔和至少一第二導電通孔;以及在此至少一第一導電通孔和此至少一第二導電通孔上方形成一至少一第一導線,其中此至少一第一導線實質上沿著此至少一第一閘極帶的一長度方向延伸。
於部分實施方式中,此方法更包括形成分別跨此至少一第一主動區和此至少一第二主動區的一至少一第一導電帶和一至少一第二導電帶,其中此至少一第一導電帶的一端表面和此至少一第二導電帶的一端表面彼此相對。
於部分實施方式中,此方法更包括形成分別在該至少一第一導電帶和該至少一第二導電帶上方的一至少 一第三導電通孔和一至少一第四導電通孔;以及形成分別在此至少一第三導電通孔和此至少一第四導電通孔上方的至少一第三導線和至少一第四導線,其中此至少一第三導線基本上沿著此至少一第一導電帶的一長度方向延伸,並且此至少一第四導線基本上沿著此至少一第二導電帶的一長度方向延伸。
上文概述了若干實施方式的特徵,使得本領域的技藝人士可以更好地理解本揭示內容的各態樣。本領域的技藝人士應當理解,他們可以容易地將本揭示內容用作設計或修改用於執行本文介紹的實施方式的相同目的和/或實現相同優點的其他製程和結構的基礎。本領域的技藝人士亦應此意識到,此類等效的結構不脫離本揭露的精神和範疇,並且在不脫離本揭露的精神和範疇的情況下,本領域的技藝人士可以在此進行各種改變、替換和變更。
11:操作
12:操作
13:操作
14:操作
15:操作
16:操作
17:操作

Claims (9)

  1. 一種半導體元件,包括:多個一第一閘極帶,其中該些第一閘極帶的多個端表面以一實質上直線對齊;一至少一第二閘極帶,其中該些第一閘極帶的一第一閘極帶的該端表面與該至少一第二閘極帶的一端表面彼此相對;一至少一第一導線,在該第一閘極帶和該至少一第二閘極帶上方並且跨該第一閘極帶的該端表面和該至少一第二閘極帶的該端表面;以及一至少一第一導電通孔,連接該至少一第一導線與該第一閘極帶。
  2. 如請求項1所述之半導體元件,還包含:一至少一第二導電通孔,連接該至少一第一導線與該至少一第二閘極帶。
  3. 如請求項1所述之半導體元件,其中該些第二閘極帶的該些端表面以一實質上直線對齊。
  4. 如請求項1所述之半導體元件,其中該些第一導電通孔係直線排列。
  5. 一種半導體元件,包含:一至少一主動區,包括一源極區和一汲極區; 多個導電帶,各與各別的該至少一主動區的該源極區和該汲極區的其中之一相交,其中該些導電帶具有以一實質上直線對齊的端表面;一至少一第一導線,在該至少一導電帶上方並且實質上沿該些導電帶的一第一導電帶的一長度方向延伸;一至少一第二導線,在該至少一第一導線上方,該至少一第二導線和該至少一第一導線交叉;以及一至少一第一導電通孔,連接該至少一第一導線與該第一導電帶。
  6. 如請求項5所述之半導體元件,更包含:一至少一第二導電通孔,連接該至少一第一導線與該至少一第二導線。
  7. 一種半導體元件的製造方法,包含:形成至少一第一主動區和至少一第二主動區;形成分別跨該至少一第一主動區和該至少一第二主動區的一至少一第一閘極帶和一至少一第二閘極帶,該至少一第一閘極帶的一端表面和該至少一第二閘極帶的一端表面彼此相對;形成分別在該至少一第一閘極帶和該至少一第二閘極帶上方的至少一第一導電通孔和至少一第二導電通孔;以及 在該至少一第一導電通孔和該至少一第二導電通孔上方形成一至少一第一導線,其中該至少一第一導線實質上沿著該至少一第一閘極帶的一長度方向延伸。
  8. 如請求項7所述之方法,更包含:形成分別跨該至少一第一主動區和該至少一第二主動區的一至少一第一導電帶和一至少一第二導電帶,其中該至少一第一導電帶的一端表面和該至少一第二導電帶的一端表面彼此相對。
  9. 如請求項8所述之方法,更包含:形成分別在該至少一第一導電帶和該至少一第二導電帶上方的一至少一第三導電通孔和一至少一第四導電通孔;以及形成分別在該至少一第三導電通孔和該至少一第四導電通孔上方的至少一第三導線和至少一第四導線,其中該至少一第三導線基本上沿著該至少一第一導電帶的一長度方向延伸,並且該至少一第四導線基本上沿著該至少一第二導電帶的一長度方向延伸。
TW106137650A 2016-12-14 2017-10-31 半導體元件及其製造方法 TWI719264B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662434362P 2016-12-14 2016-12-14
US62/434,362 2016-12-14
US15/699,990 US10297588B2 (en) 2016-12-14 2017-09-08 Semiconductor device and fabrication method of the same
US15/699,990 2017-09-08

Publications (2)

Publication Number Publication Date
TW201830664A TW201830664A (zh) 2018-08-16
TWI719264B true TWI719264B (zh) 2021-02-21

Family

ID=62489658

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106137650A TWI719264B (zh) 2016-12-14 2017-10-31 半導體元件及其製造方法

Country Status (3)

Country Link
US (1) US10297588B2 (zh)
CN (1) CN108231733B (zh)
TW (1) TWI719264B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289497B2 (en) 2019-12-27 2022-03-29 Kepler Computing Inc. Integration method of ferroelectric memory array
US11482528B2 (en) 2019-12-27 2022-10-25 Kepler Computing Inc. Pillar capacitor and method of fabricating such
US11430861B2 (en) 2019-12-27 2022-08-30 Kepler Computing Inc. Ferroelectric capacitor and method of patterning such
US11785782B1 (en) 2021-06-11 2023-10-10 Kepler Computing Inc. Embedded memory with encapsulation layer adjacent to a memory stack
US12014982B2 (en) * 2021-08-31 2024-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device and method
CN115985885A (zh) * 2021-10-13 2023-04-18 长鑫存储技术有限公司 一种半导体结构及其制造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201405558A (zh) * 2012-07-31 2014-02-01 Taiwan Semiconductor Mfg 靜態隨機存取記憶體胞及記憶裝置
US20150302917A1 (en) * 2014-04-17 2015-10-22 Stmicroelectronics International N.V. SRAM Cell and Cell Layout Method
US20160071907A1 (en) * 2014-09-04 2016-03-10 Satoshi Inaba Magnetoresistive memory device and manufacturing method of the same
TW201640655A (zh) * 2015-05-07 2016-11-16 聯華電子股份有限公司 半導體積體電路佈局結構

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8658542B2 (en) * 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7939384B2 (en) * 2008-12-19 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Eliminating poly uni-direction line-end shortening using second cut
US9589955B2 (en) * 2014-10-01 2017-03-07 Samsung Electronics Co., Ltd. System on chip
US9368443B1 (en) * 2015-01-20 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Memory metal scheme
KR102371315B1 (ko) * 2015-06-24 2022-03-07 인텔 코포레이션 교대하는 전도성 라인들을 갖는 라이브러리 셀들을 이용한 집적 회로 레이아웃
US9515077B1 (en) * 2015-12-18 2016-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Layout of static random access memory cell
US9865605B2 (en) * 2016-01-14 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Memory circuit having resistive device coupled with supply voltage line
US20170358565A1 (en) * 2016-06-09 2017-12-14 Globalfoundries Inc. Standard cell layout and method of arranging a plurality of standard cells

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201405558A (zh) * 2012-07-31 2014-02-01 Taiwan Semiconductor Mfg 靜態隨機存取記憶體胞及記憶裝置
US20150302917A1 (en) * 2014-04-17 2015-10-22 Stmicroelectronics International N.V. SRAM Cell and Cell Layout Method
US20160071907A1 (en) * 2014-09-04 2016-03-10 Satoshi Inaba Magnetoresistive memory device and manufacturing method of the same
TW201640655A (zh) * 2015-05-07 2016-11-16 聯華電子股份有限公司 半導體積體電路佈局結構

Also Published As

Publication number Publication date
CN108231733A (zh) 2018-06-29
TW201830664A (zh) 2018-08-16
CN108231733B (zh) 2021-06-22
US20180166431A1 (en) 2018-06-14
US10297588B2 (en) 2019-05-21

Similar Documents

Publication Publication Date Title
TWI719264B (zh) 半導體元件及其製造方法
CN107452732B (zh) 集成芯片及其形成方法
US10923426B2 (en) Standard-cell layout structure with horn power and smart metal cut
TWI615937B (zh) 積體晶片及其製造方法
US20170141098A1 (en) FinFET-Based ESD Devices and Methods for Forming the Same
KR102460076B1 (ko) 반도체 장치
US11362110B2 (en) Semiconductor structure and method for manufacturing the same
KR101666617B1 (ko) 고밀도를 위한 로컬 인터커넥트 구조체들
KR102517568B1 (ko) 반도체 장치
US10784168B2 (en) Dummy MOL removal for performance enhancement
KR102630392B1 (ko) 반도체 장치, 반도체 장치의 레이아웃 설계 방법, 및 반도체 장치의 제조 방법
TW201941388A (zh) 半導體元件
TW201733025A (zh) 靜態隨機存取記憶體單元
CN110610987A (zh) 基于多栅极竖直场效应晶体管的单元架构
KR102514097B1 (ko) 반도체 장치 및 이의 제조 방법
US20240145481A1 (en) Semiconductor structure and method for manufacturing the same
US10497804B2 (en) Vertical semiconductor device
TW201935616A (zh) 具有鰭狀結構的半導體裝置
CN106601732B (zh) 元件格布局结构与形成元件格的方法
KR102650199B1 (ko) 반도체 소자
TWI700833B (zh) 元件格佈局結構與形成元件格的方法