TWI702637B - 製造半導體裝置的方法 - Google Patents
製造半導體裝置的方法 Download PDFInfo
- Publication number
- TWI702637B TWI702637B TW106113323A TW106113323A TWI702637B TW I702637 B TWI702637 B TW I702637B TW 106113323 A TW106113323 A TW 106113323A TW 106113323 A TW106113323 A TW 106113323A TW I702637 B TWI702637 B TW I702637B
- Authority
- TW
- Taiwan
- Prior art keywords
- metal layer
- layer
- semiconductor substrate
- source
- metal
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 146
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 27
- 229910052751 metal Inorganic materials 0.000 claims abstract description 252
- 239000002184 metal Substances 0.000 claims abstract description 252
- 239000000758 substrate Substances 0.000 claims abstract description 95
- 230000005855 radiation Effects 0.000 claims abstract description 45
- 229910045601 alloy Inorganic materials 0.000 claims abstract description 30
- 239000000956 alloy Substances 0.000 claims abstract description 30
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 39
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 37
- 230000005669 field effect Effects 0.000 claims description 34
- 229910002058 ternary alloy Inorganic materials 0.000 claims description 24
- 238000000151 deposition Methods 0.000 claims description 22
- 238000000034 method Methods 0.000 claims description 21
- 229910052759 nickel Inorganic materials 0.000 claims description 17
- 229910052697 platinum Inorganic materials 0.000 claims description 17
- 238000005240 physical vapour deposition Methods 0.000 claims description 10
- 238000004544 sputter deposition Methods 0.000 claims description 6
- 150000002739 metals Chemical class 0.000 claims description 3
- 239000000203 mixture Substances 0.000 claims 1
- 239000010410 layer Substances 0.000 description 329
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 21
- 239000010936 titanium Substances 0.000 description 21
- 229910052732 germanium Inorganic materials 0.000 description 20
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 17
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 17
- 229920002120 photoresistant polymer Polymers 0.000 description 17
- 229910052710 silicon Inorganic materials 0.000 description 17
- 239000010703 silicon Substances 0.000 description 17
- 229910052719 titanium Inorganic materials 0.000 description 17
- 239000000463 material Substances 0.000 description 16
- 238000000137 annealing Methods 0.000 description 14
- 238000005229 chemical vapour deposition Methods 0.000 description 14
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 13
- 238000000206 photolithography Methods 0.000 description 12
- 239000002019 doping agent Substances 0.000 description 11
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 11
- 229910052715 tantalum Inorganic materials 0.000 description 11
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 11
- 229910052782 aluminium Inorganic materials 0.000 description 10
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 10
- 238000005530 etching Methods 0.000 description 10
- 239000011229 interlayer Substances 0.000 description 10
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 9
- 239000010949 copper Substances 0.000 description 9
- 230000008021 deposition Effects 0.000 description 9
- 239000003989 dielectric material Substances 0.000 description 9
- -1 gamma Chemical compound 0.000 description 9
- 239000010931 gold Substances 0.000 description 9
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 8
- 229910052737 gold Inorganic materials 0.000 description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 229910003468 tantalcarbide Inorganic materials 0.000 description 8
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 239000004020 conductor Substances 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 7
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 7
- 229910052721 tungsten Inorganic materials 0.000 description 7
- 239000010937 tungsten Substances 0.000 description 7
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 6
- OQPDWFJSZHWILH-UHFFFAOYSA-N [Al].[Al].[Al].[Ti] Chemical compound [Al].[Al].[Al].[Ti] OQPDWFJSZHWILH-UHFFFAOYSA-N 0.000 description 6
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 6
- 125000004429 atom Chemical group 0.000 description 6
- 229910052802 copper Inorganic materials 0.000 description 6
- 230000009969 flowable effect Effects 0.000 description 6
- 150000004767 nitrides Chemical class 0.000 description 6
- 229910052814 silicon oxide Inorganic materials 0.000 description 6
- 229910021324 titanium aluminide Inorganic materials 0.000 description 6
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 6
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 5
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 5
- 230000004913 activation Effects 0.000 description 5
- 229910017052 cobalt Inorganic materials 0.000 description 5
- 239000010941 cobalt Substances 0.000 description 5
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 5
- 229910052733 gallium Inorganic materials 0.000 description 5
- 229910052735 hafnium Inorganic materials 0.000 description 5
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 5
- 229910052698 phosphorus Inorganic materials 0.000 description 5
- 239000011574 phosphorus Substances 0.000 description 5
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 4
- 239000013078 crystal Substances 0.000 description 4
- 229910001873 dinitrogen Inorganic materials 0.000 description 4
- YBMRDBCBODYGJE-UHFFFAOYSA-N germanium oxide Inorganic materials O=[Ge]=O YBMRDBCBODYGJE-UHFFFAOYSA-N 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- 230000008569 process Effects 0.000 description 4
- 238000004151 rapid thermal annealing Methods 0.000 description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 4
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 3
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 3
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- 229910001260 Pt alloy Inorganic materials 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- VQYPKWOGIPDGPN-UHFFFAOYSA-N [C].[Ta] Chemical compound [C].[Ta] VQYPKWOGIPDGPN-UHFFFAOYSA-N 0.000 description 2
- KXNLCSXBJCPWGL-UHFFFAOYSA-N [Ga].[As].[In] Chemical compound [Ga].[As].[In] KXNLCSXBJCPWGL-UHFFFAOYSA-N 0.000 description 2
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 2
- VOSJXMPCFODQAR-UHFFFAOYSA-N ac1l3fa4 Chemical compound [SiH3]N([SiH3])[SiH3] VOSJXMPCFODQAR-UHFFFAOYSA-N 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- LNGCCWNRTBPYAG-UHFFFAOYSA-N aluminum tantalum Chemical compound [Al].[Ta] LNGCCWNRTBPYAG-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000005566 electron beam evaporation Methods 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 239000007789 gas Substances 0.000 description 2
- ZQXQADNTSSMHJI-UHFFFAOYSA-N hafnium(4+) oxygen(2-) tantalum(5+) Chemical compound [O-2].[Ta+5].[Hf+4] ZQXQADNTSSMHJI-UHFFFAOYSA-N 0.000 description 2
- KQHQLIAOAVMAOW-UHFFFAOYSA-N hafnium(4+) oxygen(2-) zirconium(4+) Chemical compound [O--].[O--].[O--].[O--].[Zr+4].[Hf+4] KQHQLIAOAVMAOW-UHFFFAOYSA-N 0.000 description 2
- KUVFGOLWQIXGBP-UHFFFAOYSA-N hafnium(4+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Hf+4] KUVFGOLWQIXGBP-UHFFFAOYSA-N 0.000 description 2
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 229910052738 indium Inorganic materials 0.000 description 2
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- PEUPIGGLJVUNEU-UHFFFAOYSA-N nickel silicon Chemical compound [Si].[Ni] PEUPIGGLJVUNEU-UHFFFAOYSA-N 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- PVADDRMAFCOOPC-UHFFFAOYSA-N oxogermanium Chemical compound [Ge]=O PVADDRMAFCOOPC-UHFFFAOYSA-N 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229920001709 polysilazane Polymers 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 2
- 239000002904 solvent Substances 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- 229910001928 zirconium oxide Inorganic materials 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910005542 GaSb Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000927 Ge alloy Inorganic materials 0.000 description 1
- 229910005793 GeO 2 Inorganic materials 0.000 description 1
- 229910005898 GeSn Inorganic materials 0.000 description 1
- 229910000990 Ni alloy Inorganic materials 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- HLFWJMSINGUMDQ-UHFFFAOYSA-N [Ge].[Pt].[Ni] Chemical compound [Ge].[Pt].[Ni] HLFWJMSINGUMDQ-UHFFFAOYSA-N 0.000 description 1
- TWRSDLOICOIGRH-UHFFFAOYSA-N [Si].[Si].[Hf] Chemical compound [Si].[Si].[Hf] TWRSDLOICOIGRH-UHFFFAOYSA-N 0.000 description 1
- 238000005054 agglomeration Methods 0.000 description 1
- 230000002776 aggregation Effects 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- OKZIUSOJQLYFSE-UHFFFAOYSA-N difluoroboron Chemical compound F[B]F OKZIUSOJQLYFSE-UHFFFAOYSA-N 0.000 description 1
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 description 1
- 229910001325 element alloy Inorganic materials 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 229940119177 germanium dioxide Drugs 0.000 description 1
- IWTIUUVUEKAHRM-UHFFFAOYSA-N germanium tin Chemical compound [Ge].[Sn] IWTIUUVUEKAHRM-UHFFFAOYSA-N 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/24—Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body
- H01L21/244—Alloying of electrode materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28518—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28525—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28537—Deposition of Schottky electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/2855—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28568—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/401—Multistep manufacturing processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/4175—Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/45—Ohmic electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/45—Ohmic electrodes
- H01L29/456—Ohmic electrodes on silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/47—Schottky barrier electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66083—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
- H01L29/6609—Diodes
- H01L29/66143—Schottky diodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/665—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66515—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned selective metal deposition simultaneously on the gate and on source or drain
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66575—Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
- H01L29/6659—Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66606—Lateral single gate silicon transistors with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/7851—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/86—Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
- H01L29/861—Diodes
- H01L29/872—Schottky diodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Electrodes Of Semiconductors (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
一種製造半導體裝置的方法,包含下列步驟。在半導體基板上形成第一金屬層,並在第一金屬層上形成第二金屬層。第二金屬層由與第一金屬層不同的金屬形成。施加微波輻射於半導體基板、第一金屬層和第二金屬層,以形成包含第一金屬層、第二金屬層和半導體基板的成分的合金。
Description
本揭露是關於製造半導體積體電路的方法,更具體地涉及製造具有場效電晶體(field effect transistor,FET)結構的半導體裝置。
隨著半導體工業引入具有更高性能和更大功能性的新一代積體電路(integrated circuits,ICs),導致積體電路的元件的密度增加,並且金屬觸點和佈線的尺寸減小,進而增加電路的電阻。因此,半導體業界皆期望減小接觸區域處的電阻以在積體電路中提供進一步的改進。
根據本揭露之一態樣係提供一種製造半導體裝置的方法,包含下列步驟。先形成第一金屬層於半導體基板上,再形成第二金屬層於第一金屬層上,其中第二金屬層由與第一金屬層不同的金屬形成。接著,施加微波輻射於半導體基板、第一金屬層以及第二金屬層,以形成合金,其包含第一金屬層、第二金屬層以及半導體基板的成分。微波輻射以0.6kW至4.4kW的功率施加40秒至240秒,合金是NiGePt2三元合金。
根據本揭露之另一態樣係提供一種製造場效應電晶體的方法,包含下列步驟。先形成閘極結構於半導體基板的第一區域,接著,形成源極/汲極區域於半導體基板的第二區域,其中第二區域位於第一區域的相對側上。然後,形成第一金屬層於源極/汲極區域,之後,形成第二金屬層於第一金屬層上,其中第二金屬層由與第一金屬層不同的金屬形成。最後,施加微波輻射於半導體基板、第一金屬層以及第二金屬層,以形成合金,其包含第一金屬層、第二金屬層以及半導體基板的成分。微波輻射以1.2kW至4.4kW的功率施加40秒至240秒,合金是NiGePt2三元合金。
根據本揭露之另一態樣係提供一種製造半導體裝置的方法,包含下列步驟。首先,在半導體基板中形成一對間隔開的源極/汲極區域。接著,在源極/汲極區域上形成第一金屬層,之後,在第一金屬層上形成第二金屬層,其中,第二金屬層由與第一金屬層不同的金屬形成。施加微波輻射於半導體基板、第一金屬層和第二金屬層,以在源極/汲極區域上形成包含第一金屬層、第二金屬層和半導體基板的成分的合金。之後,在半導體基板上方形成高介電絕緣層,並且在間隔開的源極/汲極區域之間的區域中的高介電絕緣層上方形成導電金屬層。接著,在源極/汲極區域上形成導電接觸。合金是NiGePt2三元合金。
S201、S202、S203、S301、S302、S303、S304、S305、S401、S402、S403、S404、S405、S406、S407:步驟
10、100、200:半導體裝置
300:鰭式場效電晶體裝置
12、40、92:半導體基板
14:遮罩層
15:光阻層
16、26:開口
18、50、94:第一金屬層
20、52、96:第二金屬層
22、54:合金層
24:絕緣層
28:第二光阻層
30、34:第一接觸層
32、36:第二接觸層
33:上接觸層
37:下接觸層
41:閘極電極結構
42、88:閘極介電層
44:閘極電極層
45、60、84:閘極電極
46、82:源極/汲極區域
48:閘極絕緣側壁
56、90:層間介電質
58:源極/汲極接觸
60:閘極接觸
70:遮罩
72:微波輻射
80:鰭狀結構
83:通道區域
85:閘極電極結構
86:絕緣閘極側壁
400:半導體晶片
410:微波系統
420:微波發生器
430:製成室
440:感受板
450:石英板
A-A’、B-B’、C-C’:剖線
當以下詳細描述與附圖一起閱讀時,可以最好地理解本揭露。要強調的是,根據工業中的標準實踐,各種特徵不是按比例繪製的,並且僅用於說明目的。實際上,為了清楚地討論,可以任意地增加或減少各種特徵的尺寸。
第1圖繪示根據本揭露部分實施方式中,製造半導體裝置的方法的流程圖。
第2-12圖繪示根據本揭露部分實施方式中,製造半導體裝置的方法。
第13圖繪示根據本揭露部分實施方式中,製造半導體裝置的方法的流程圖。
第14圖繪示根據本揭露部分實施方式中,製造半導體裝置的方法的流程圖。
第15-23圖繪示根據本揭露部分實施方式中,製造場效電晶體半導體裝置的方法。
第24-31圖繪示根據本揭露部分實施方式中,製造場效電晶體半導體裝置的方法。
第32A-34B圖繪示根據本揭露部分實施方式中,製造場效電晶體半導體裝置的方法。
第35圖繪示根據本揭露部分實施方式中,將微波輻射施加到半導體裝置的微波系統。
應當理解,以下提供了用於實現本揭露不同特徵的許多實施例或示例。以下描述之元件和實施例或示例係
用以簡化本揭露。當然,這些僅是示例並且不旨在限制。例如,元件的尺寸不限於所揭露的範圍或值,而是可以取決於製成條件和/或裝置的期望特性。此外,在以下描述中在第一特徵之上形成第二特徵,可以包含第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包含形成介於第一特徵和第二特徵之間的額外特徵的實施例,使得第一特徵和第二特徵可以不直接接觸。為了簡單和清楚,可以不同的比例任意地繪製各種特徵。
此外,在本文中使用空間相對術語,諸如『下』或『底部』與『上』或『頂部』等,以便於描述如圖中所示的一個元件或特徵與另一元件或特徵的關係。空間相對術語旨在涵蓋除了圖中所示的方位之外,其它使用或操作中,裝置的不同方位。裝置可以以其他方式定向(旋轉90度或在其它方向),並且本文使用的空間相對描述可以相應地解釋。此外,術語『由...構成』可以表示『包含』或『由...組成』。
可受益於本揭露的一個或一個以上實施例的裝置的實例為肖特基能障二極體(Schottky barrier diodes)和具有場效電晶體(FET)的半導體裝置。示例性場效電晶體包含金屬氧化物半導體(metal-oxide-semiconductor,MOS)場效電晶體,例如具有摻雜有p型摻雜劑(例如硼或二氟化硼)的p型場效電晶體(PFET)或具有摻雜有n型摻雜劑(例如磷或砷)的n型場效電晶體(NFET)。場效電晶體可以是平面裝置或三維(3D)鰭式場效電晶體(fin-type field effect transistors,finFET),本文中稱為鰭式場效電晶體
裝置。平面裝置是指非鰭式場效電晶體裝置。鰭式場效電晶體可以是多閘極電晶體,例如雙閘極裝置,三閘極裝置和/或其他配置。所述裝置可包含於例如微處理器、存儲器裝置和/或其它積體電路中。本領域通常知識者可了解到其它實施例的半導體裝置可受益於本揭露。
本文提供一種或一種以上用於形成半導體裝置的技術及由此形成的所得結構。
在第1圖中繪示根據本揭露部分實施例之製造半導體裝置的方法的流程圖。在操作S201中,在半導體基板上形成包含第一金屬的第一金屬層。在操作S202中,在第一金屬層上形成包含不同於第一金屬之第二金屬的第二金屬層。在操作S203中,對半導體基板、第一金屬層和第二金屬層施加微波輻射以形成包含第一金屬層、第二金屬層和半導體基板的成分的合金。
第2-12圖繪示根據本揭露的部分實施例中,用於製造半導體裝置10的方法。在一些實施例中,透過本方法形成的半導體裝置10是肖特基能障二極體。
如第2圖所示,在半導體基板12上形成遮罩層14。半導體基板12可以包含單晶半導體材料,例如但不限於矽(Si)、鍺(Ge)、矽化鍺(SiGe)。在部分實施例中,半導體基板12係由鍺製成。在部分實施例中,對半導體基板12進行清潔操作,例如暴露即將沉積遮罩的半導體基板12的表面於稀釋氫氟酸(dilute hydrofluoric acid,DHF)。
遮罩層14可以是任何合適的遮罩材料,包含光
阻(photoresist)或硬遮罩材料,例如氧化物或氮化物。氧化物或氮化物硬遮罩材料可以透過合適的沉積技術沉積,例如化學氣相沉積(chemical vapor deposition,CVD),包含低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)、等離子體增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、物理氣相沉積(physical vapor deposition,PVD)和原子層沉積(atomic layer deposition,ALD)。如第3圖所示,隨後在遮罩層14中形成開口16,透過合適的光刻和蝕刻操作暴露半導體基板12的一部分。
參考第4圖,在遮罩層14和半導體基板12上沉積光阻層15,並且使用光刻操作對光阻層15進行圖案化,以暴露開口16中的半導體基板12。在一些實施例中,光阻層15是正性光阻,在其他實施例中為負性光阻。
如第5圖所示,透過合適的沉積技術,例如濺射(sputtering)或物理氣相沉積,在半導體基板12和光阻層15的暴露部分上形成第一金屬層18。在一些實施例中,第一金屬層18形成為約3奈米(nm)至約30奈米(nm)的厚度。在部分實施例中,第一金屬層18的厚度在約6奈米至約14奈米的範圍內。在一些實施例中,第一金屬層18是選自由鎳(Ni)、鉑(Pt)、金(Au)、鉭(Ta)、釓(Gd)、鈦(Ti)和鍺(Ge)組成的群組中之至少一種。在另一實施例中,第一金屬層18係由矽組成。
如第6圖所示,透過合適的沉積技術,例如濺射
或物理氣相沉積,在第一金屬層18上形成第二金屬層20。在一些實施例中,第二金屬層20形成為約3奈至約30奈米的厚度。在部分實施例中,第二金屬層20的厚度為約5奈米至約15奈米。在一些實施例中,第二金屬層20是選自由鎳、鉑、金、鉭、釓、鈦和鍺組成的群組中之至少一種。在另一實施例中,第二金屬層20係由矽組成。第二金屬是與第一金屬不同的金屬。
參考第7圖,在一些實施例中,在沉積第二金屬層之後,使用適當的光阻溶劑(例如丙酮)透過剝離操作(lift-off operation)去除光阻層15以及覆蓋於光阻層15上的第一金屬層18和第二金屬層20。遮罩層14也一併去除,並且執行微波激活退火操作(microwave-actived annealing operation)以形成與半導體基板12接觸的合金。如第7圖所示,微波激活退火操作包含將微波輻射72施加到半導體基板12、第一金屬層18和第二金屬層20。在一些實施例中,微波輻射在約1GHz至約30GHz的頻率範圍和約0.6kW至約4.4kW的功率下施加約40秒至約240秒。在其它實施例中,微波輻射以約1.2kW至約2.2kW的功率施加約80秒至約120秒。在部分實施例中,半導體裝置10在惰性氣體(例如氮氣氣體)中暴露於微波輻射。
將微波輻射施加到半導體裝置10導致半導體裝置10的局部加熱,其包含第一金屬層18和第二金屬層20。在一些實施例中,第一金屬層18和第二金屬層20的溫度從環境溫度升高至暴露於微波輻射期間約200℃至約600
℃的溫度。在其它實施例中,在暴露於微波輻射期間,第一金屬層18和第二金屬層20的溫度增加至約300℃至約500℃的溫度範圍。如第8圖所示,第一金屬層18和第二金屬層20的加熱使得第一金屬層18的金屬和第二金屬層20的金屬擴散到半導體基板12中,並且與半導體基板12形成合金層22。在特定實施例中,形成三元合金層22。
在部分實施例中,在鍺基板12上,第一金屬層18是6奈米至14奈米厚的鎳濺射沉積層,第二金屬層20是5奈米至15奈米厚的鉑物理氣相沉積層。在部分實施例中,第一金屬層18和第二金屬層20各自具有約10奈米的厚度。在一些實施例中,合金層22包含鎳、鍺和鉑的三元合金(NiGePt)。在部分實施例中,三元合金層包含NiGePt2。
取決於金屬材料,第一金屬層18的厚度將影響當第一金屬層18與第二金屬層20暴露於微波輻射時,三元合金是否會形成。例如,當第一金屬層18是鉑並且第二金屬層20是鎳時,如果第一金屬層18的厚度小於6奈米或大於14奈米,則不會形成三元合金層22。如果第一金屬層18是鉑並且厚度小於6奈米,第二金屬層20是鎳,則鎳將容易透過鉑進入半導體基板12,並且不會形成三元合金層22。另一方面,如果第一金屬層是鉑且厚度大於14奈米,則鎳將不能充分地擴散到半導體基板12中以形成三元合金層22。當第一金屬層18是鉑並且厚度在5奈米和14奈米之間,在部分實施例中,鉑和鎳都充分地擴散到半導體基板12中,並且有足夠的熱在半導體基板12中積累以形成包含鉑、鎳和
鍺的三元合金層22。
在一些實施例中,三元合金層22的厚度為約15奈米至約70奈米。在其他實施例中,三元合金層22的厚度為約25奈米至約60奈米。
在一些實施例中,鎳鍺鉑(NiGePt)三元合金層22中的鎳/鉑的原子比為約3.5至約1.1。
如第9圖所示,之後,在一些實施例中形成具有暴露三元合金層22的第二開口26的絕緣層24。絕緣層24是氧化物或氮化物,例如在一些實施例中是氧化矽或氮化矽。絕緣層24可以透過任何合適的沉積操作形成,包含化學氣相沉積、低壓化學氣相沉積、等離子體增強化學氣相沉積和原子層沉積。透過光刻和蝕刻操作圖案化絕緣層24以形成開口26。
參考第10圖,在絕緣層24上形成第二光阻層28。如第11圖所示,使用光刻操作對第二光阻層28進行圖案化以暴露三元合金層22的一部分,並且形成上接觸層33與三元合金層22接觸。上接觸層33由例如金屬的導電材料所形成。在一些實施例中,金屬是選自由鋁(Al)、銅(Cu)、鈦(Ti)和鎢(W)組成的群組中之至少一種。如第11圖所示,在一些實施例中,上接觸層33包含多個接觸層(第一接觸層30與第二接觸層32)。在一些實施例中,上接觸層33包含雙層,其包含由鈦組成的第一接觸層30和由鋁組成的第二接觸層32。在一些實施例中,第一接觸層30的厚度為約10奈米至約50奈米,第二接觸層32的厚度為約20奈米至約60奈
米。在部分實施例中,整個上接觸層33的厚度為約30奈米至約110奈米。
參考第12圖,在一些實施例中,在沉積上接觸層33之後,使用適當的光阻溶劑透過剝離操作去除第二光阻層28和覆蓋在第二光阻層28上的第一接觸層30和第二接觸層32。如第12圖所示,在部分實施例中,下接觸層37形成在與半導體基板12上接觸層33相對的表面上。下接觸層37由導電材料形成,例如金屬。在一些實施例中,金屬選自由鋁、銅、鈦和鎢組成的群組。如第12圖所示,在一些實施例中,下接觸37包含多個接觸層(第一接觸層34和第二接觸層36)。在一些實施例中,下接觸37包含雙層,其包含由鈦組成的第一接觸層34和由鋁組成的第二接觸層36。金屬接觸層(第一接觸層30、34和第二接觸層32、36)可以透過任何合適的技術形成,包含化學氣相沉積、低壓化學氣相沉積、等離子體增強化學氣相沉積、物理氣相沉積和原子層沉積、濺射和電鍍。在一些實施例中,第一接觸層30的厚度為約10奈米至約50奈米,第二接觸層32的厚度為約20奈米至約60奈米。在某些實施例中,整個上接觸層33的厚度為約30奈米至約110奈米。在一些實施例中,第一接觸層34的厚度為約10奈米至約50奈米,第二接觸層36的厚度為約20奈米至約60奈米。在某些實施例中,整個下接觸層37的厚度為約30奈米至約110奈米。
如第13圖所示,根據本揭露部分實施例之製造半導體裝置的另一種方法,包含,在半導體基板上形成閘極
結構的操作S301,和在半導體基板上形成源極/汲極區域的操作S302。在本揭露部分實施例中,源極和汲極可互換地使用,並且其結構基本上相同。在操作S303中,在源極/汲極區域上形成第一金屬層,並且在操作S304中,在第一金屬層上形成第二金屬層。隨後,在操作S305中,將微波輻射施加到半導體基板、第一金屬層和第二金屬層。
如第14圖所示,根據本揭露的部分實施例之製造半導體裝置的另一種方法,包含,在半導體基板上形成源極/汲極區域的操作S401和在源極/汲極區域上形成第一金屬層的操作S402。在操作S403中,在源極/汲極區域上形成第二金屬層,隨後在操作S404中,將微波輻射施加到半導體基板、第一金屬層和第二金屬層。在操作S405中,在半導體基板上形成高介電絕緣層(high-k insulating layer),並且在操作S406中,在源極/汲極區域之間的區域中,形成導電金屬層於高介電絕緣層上。在操作S407中,在源極/汲極區域上形成導電接觸。
第15-23圖繪示根據本揭露的部分實施例之製造場效電晶體半導體裝置100的方法。如第15圖所示,在半導體基板40上形成閘極介電層42,並且在閘極介電層42上形成閘極電極層44。
在一些實施例中,半導體基板40可以包含單晶半導體材料,例如但不限於矽、鍺和矽鍺。在部分實施例中,半導體基板40由鍺製成。在部分實施例中,對半導體基板40進行清潔操作,例如暴露即將沉積遮罩的半導體基板40
的表面於稀釋氫氟酸。
半導體基板40可以在其表面區域中包含一個或多個緩衝層(未繪示)。緩衝層可用於將晶格常數從基板的晶格常數逐漸改變為源極/汲極區域的晶格常數。緩衝層可以由外延生長的單晶半導體材料形成,例如但不限於矽(Si)、鍺(Ge)、鍺錫(GeSn)、矽鍺(SiGe)、鎵砷(GaAs)、銦鍗(InSb)、鎵磷(GaP)、鎵鍗(GaSb)、銦鋁砷(InAlAs)、銦鎵砷(InGaAs)、鎵鍗磷(GaSbP)、鎵砷鍗(GaAsSb)、鎵氮(GaN)、鎵磷(GaP)和銦磷(InP)。在特定實施例中,半導體基板40的最上層由鍺製成,並且緩衝層是在矽基層上外延生長的矽鍺。矽鍺緩衝層的鍺濃度可以從最底部緩衝層的30原子百分比增加到最頂層緩衝層的70原子百分比。
閘極介電層42由半導體基板材料的氧化物或氮化物形成,例如在一些實施例中為氧化矽或氧化鍺。在一些實施例中,透過熱氧化半導體基板的上表面形成氧化物,而在其他實施例中,透過包含化學氣相沉積、低壓化學氣相沉積、等離子體增強化學氣相沉積、物理氣相沉積和原子層沉積的沉積操作形成氧化物。在一些實施例中,閘極介電層42包含一層或多層高介電材料(high-k dielectric material)。高介電材料包含二氧化鉿(HfO2)、矽氧化鉿(HfSiO)、氮氧矽化鉿(HfSiON)、鉭氧化鉿(HfTaO)、鈦氧化鉿(HfTiO)、鋯氧化鉿(HfZrO)、氧化鋯(zirconium oxide)、氧化鋁(aluminum oxide)、氧化鈦(titanium oxide)、二氧化鉿-氧化鋁(HfO2-Al2O3)合金,其它合適
的高介電材料和/或透過沉積操作形成的其組合,其中,沉積操作包含化學氣相沉積(chemical vapor deposition,CVD)、低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)和等離子體增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)。在一些實施例中,閘極介電層42包含形成在半導體基板40和介電材料之間的界面層(未繪示)。
在部分實施例中,源極/汲極區域包含含有摻雜劑濃度大於1020原子.公分-3(1020atoms.cm-3)的重摻雜區。在部分實施例中,源極/汲極區域係透過外延形成。
在一些實施例中,閘極電極層44包含一個或多個導電材料層,例如多晶矽(polysilicon)、鋁(Al)、銅(Cu)、鈦(Ti)、鉭(Ta)、鎢(W)、鈷(Co)、鉬(Mo)、氮化鉭(TaN)、矽化鎳(NiSi)、矽化鈷(CoSi)、氮化鈦(TiN)、WN(氮化鎢)、TiAl(鋁化鈦)、TiAlN(氮化鋁鈦)、TaCN(氮化碳鉭)、TaC(碳化鉭)、TaSiN(氮化矽鉭)、金屬合金或其它合適的材料和/或其組合。
閘極電極層44可以透過化學氣相沉積、低壓化學氣相沉積、等離子體增強化學氣相沉積、物理氣相沉積、原子層沉積、電子束蒸發、電鍍或其他合適的方法形成。
在本揭露的某些實施例中,一個或多個功函數調整層(未繪示)位於閘極介電層42和閘極電極層44之間。功函數調整層由導電材料製成,例如單層氮化鈦(TiN)、氮化鉭(TaN)、氮化鋁鉭(TaAlN)、碳化鋁鉭(TaAlC)、碳化
鈦(TiC)、碳化鉭(TaC)、鈷(Co)、鋁(Al)、鋁化鈦(TiAl)、鈦化鉿(HfTi)、矽化鉿(TiSi)、矽化鉭(TaSi)或碳化鋁鈦(TiAlC),或這些材料中的兩種或更多種的多層。功函數調整層可以透過化學氣相沉積、物理氣相沉積、原子層沉積和電子束蒸發或其他合適的製程形成。
在一些實施例中,半導體基板40由鍺製成,閘極介電層42是雙層,其包含設置在半導體基板40上由二氧化鍺(GeO2)形成的第一層和設置在第一層上由三氧化二鋁(Al2O3)形成的第二層,且閘極電極層44是鋁。
如第16圖所示,使用光刻和蝕刻操作來圖案化閘極介電層42和閘極電極層44,以形成包含閘極介電層42和閘極電極45的閘電極結構41。如第17圖所示,使用圖案化的閘極介電層42和閘極電極45作為遮罩,摻雜劑注入到半導體基板40中以形成源極/汲極區域46。如第18圖所示,在閘極介電層42和閘極電極45的側壁上形成的閘極絕緣側壁48隨後被添加到閘極電極結構41中,並且將額外的摻雜劑注入到源極/汲極區域46中以增加摻雜劑濃度並且擴展源極/汲極區域46。在一些實施例中,閘極絕緣側壁48包含一個或多個絕緣氧化物和/或氮化物層。在一些實施例中,源極/汲極區域46包含摻雜劑,其濃度大於1020原子.公分-3(1020atoms.cm-3)。
參考第19圖,透過合適的沉積技術,例如濺射或物理氣相沉積,在源極/汲極區域46上形成第一金屬層50。在一些實施例中,第一金屬層50形成為約3奈米至約30
奈米的厚度。在某些實施例中,第一金屬層50的厚度在約6奈米至約14奈米的範圍內。在一些實施例中,第一金屬層50是選自由鎳、鉑、金、鉭、釓、鈦、鍺和矽所組成的群組中的至少一種。
透過合適的沉積技術,例如濺射或物理氣相沉積,在第一金屬層50上形成第二金屬層52。在一些實施例中,第二金屬層52形成為約3奈米至約30奈米的厚度。在某些實施例中,第二金屬層52的厚度範圍為約5奈米至約15奈米。在一些實施例中,第二金屬層52是選自由鎳、鉑、金、鉭、釓、鈦、鍺和矽組成的群組中的至少一種。第二金屬是與第一金屬不同的金屬。
透過光刻和蝕刻操作去除沉積在閘極電極45上以及沉積在閘極絕緣側壁48上表面的部分第一金屬層50和部分第二金屬層52,從而將第一金屬層50和第二金屬層52限制為覆蓋源極/汲極區域46上。
如第20圖所示,在沉積第二金屬層之後,執行微波激活退火操作以形成與源極/汲極區域46接觸的合金。微波激活退火操作包含將微波輻射72施加到半導體基板40、第一金屬層50和第二金屬層52。在一些實施例中,微波輻射在約1GHz至約30GHz的頻率範圍和約0.6kW至約4.4kW的功率下施加約40秒至約240秒。在其它實施例中,以約1.2kW至約2.2kW的功率施加約80秒至約120秒。在部分實施例中,半導體裝置40在惰性氣體(例如氮氣氣體)中暴露於微波輻射。
如第21圖所示,將微波輻射施加到半導體裝置100引起半導體裝置100的局部加熱,包含第一金屬層50和第二金屬層52,如本文關於第7-8圖所述,以產生三元合金層54。
在一些實施例中,在暴露於微波輻射期間,第一金屬層50、第二金屬層52和源極/汲極區域46的溫度從環境溫度增加到範圍從約200℃至約600℃的溫度。在其它實施例中,在暴露於微波輻射期間,溫度增加至約300℃至約500℃。當局部加熱達到小於或等於約600℃的溫度時,源極/汲極區域46中的摻雜劑不容易擴散。
在一些實施例中,在閘極電極45和第三合金層54上形成層間介電質56(interlayer dielectric,ILD),如第22圖所示。用於形成層間介電質56的材料包含矽(Si)、氧(O)、碳(C)和/或氫(H)的化合物,例如碳矽氧化物(SiCOH)和碳氧化矽(SiOC)。有機材料,例如聚合物,可用於形成層間介電質56。層間介電質56可以透過低壓化學氣相沉積、等離子體增強化學氣相沉積或可流動化學氣相沉積(flowable chemical vapor deposition,FCVD)形成。在可流動化學氣相沉積中,在基板上沉積可流動介電材料而非氧化矽。可流動介電質前驅物,特別是可流動氧化矽前驅物的例子包含矽酸鹽、矽氧烷、甲基倍半矽氧烷(methyl silsesquioxane,MSQ)、氫倍半矽氧烷(hydrogen silsesquioxane,HSQ)、甲基倍半矽氧烷/氫倍半矽氧烷(MSQ/HSQ)、全氫矽氮烷(perhydrosilazane,TCPS)、
全氫聚矽氮烷(perhydro-polysilazane,PSZ)、正矽酸乙酯(tetraethyl orthosilicate,TEOS)或甲矽烷基胺(silyl-amine),例如三甲矽烷基胺(trisilylamine,TSA)。這些前驅物可以與氣體例如氧(O2)、臭氧(O3)、氮(N2)、氬(Ar)、氫(H2)、氦(He)和/或(NH3)組合。等離子體化學氣相沉積(plasma-CVD)可用於可流動化學氣相沉積。
如第23圖所示,在一些實施例中,使用光刻和蝕刻操作,在層間介電質56中且在三元合金層54和閘極電極45上方,形成開口,並且用導電材料(例如金屬)填充開口以形成源極/汲極接觸58和閘極電極60接觸。在一些實施例中,源極/汲極接觸58和閘極電極接觸60包含選自鋁、銅、鈦和鎢的一種或多種金屬。在一些實施例中,在沉積接觸金屬前形成阻擋層於開口中。
第24-31圖繪示根據本揭露之部分實施例的製造場效電晶體半導體裝置200的另一種方法。用於形成根據本實施例的場效電晶體的許多操作與第15-23圖中描述的方法相同或類似。主要區別在於,第15-23圖繪示先形成閘極的方法,第24-31圖繪示後形成閘極的方法。
如第24圖所示,使用沉積、光刻和蝕刻操作在半導體基板40上形成遮罩70。可以透過沉積遮罩材料層(例如氧化矽和/或氮化矽)並且圖案化沉積的遮罩材料層來形成遮罩。半導體基板40可以由本文揭露的任何材料形成。
如第25圖所示,透過將摻雜劑注入到半導體基
板40中,分別在遮罩70相對兩側上形成間隔開的源極/汲極區域46。在一些實施例中,源極/汲極區域46包含濃度大於1020原子.公分(1020atoms.cm-3)的摻雜劑。
參考第26圖,在源極/汲極區域46上形成厚度為約3奈米至約30奈米的第一金屬層50。在部分實施例中,第一金屬層50的厚度在約6奈米至約14奈米的範圍內。在一些實施例中,第一金屬層50是選自由鎳、鉑、金、鉭、釓、鈦、鍺和矽組成的群組中的至少一種。
在第一金屬層50上形成第二金屬層52,其厚度約為3奈米至約30奈米。在部分實施例中,第二金屬層52的厚度範圍為約5奈米至約15奈米。在一些實施例中,第二金屬層52是選自由鎳、鉑、金、鉭、釓、鈦、鍺和矽組成的群組中的至少一種。第二金屬是與第一金屬不同的金屬。
在一些實施例中,透過光刻和蝕刻操作去除沉積在遮罩70上表面上之部分的第一金屬層50和部分的第二金屬層52,從而將第一金屬層50和第二金屬層52限制為覆蓋於源極/汲極區域46之上。
如第27圖所示,在一些實施例中,在沉積第二金屬層之後,執行微波激活退火操作以形成與源極/汲極區域46接觸的合金。微波激活退火操作包含將微波輻射72施加到半導體基板40、第一金屬層50和第二金屬層52。在一些實施例中,微波輻射在約1GHz至約30GHz的頻率範圍和約0.6kW至約4.4kW的功率下施加約40秒至約240秒。在其它實施例中,微波輻射以約1.2kW至約2.2kW的功率施加
約80秒至約120秒。在部分實施例中,半導體裝置200在惰性氣體(例如氮氣氣體)中暴露於微波輻射。
如第28圖所示,將微波輻射施加到半導體裝置200導致半導體裝置200(包含第一金屬層50和第二金屬層52)的局部加熱,如本文關於第7圖、第8圖、第20圖和第21圖所示,以產生三元合金層54。
如第29圖所示,去除遮罩70,並且在半導體基板40上形成閘極介電層42和閘極電極45。透過適當的圖案化操作對閘極電極45進行圖案化,包含光刻和蝕刻操作以形成閘極電極。
閘極介電層42由半導體基板材料的氧化物或氮化物形成,例如在一些實施例中為氧化矽或氧化鍺。在一些實施例中,透過熱氧化半導體基板的上表面形成氧化物,而在其他實施例中,透過包含化學氣相沉積、低壓化學氣相沉積、等離子體增強化學氣相沉積的沉積操作形成氧化物。在一些實施例中,閘極介電層42包含一層或多層高介電材料。高介電材料,例如,包含二氧化鉿(HfO2)、矽氧化鉿(HfSiO)、氮氧矽化鉿(HfSiON)、鉭氧化鉿(HfTaO)、鈦氧化鉿(HfTiO)、鋯氧化鉿(HfZrO)、氧化鋯(zirconium oxide)、氧化鋁(aluminum oxide)、氧化鈦(titanium oxide)、二氧化鉿-氧化鋁(HfO2-Al2O3)合金,其它合適的高介電材料和/或透過沉積操作形成的其組合,其中,沉積操作包含化學氣相沉積、低壓化學氣相沉積、等離子體增強化學氣相沉積。在一些實施例中,閘極介電層42包含在
通道層和介電材料之間形成的界面層(未繪示)。
在一些實施例中,閘極電極45包含一個或多個導電材料層,例如多晶矽(polysilicon)、鋁(Al)、銅(Cu)、鈦(Ti)、鉭(Ta)、鎢(W)、鈷(Co)、鉬(Mo)、氮化鉭(TaN)、矽化鎳(NiSi)、矽化鈷(CoSi)、氮化鈦(TiN)、氮化鎢(WN)、鋁化鈦(TiAl)、氮化鋁鈦(TiAlN)、氮化碳鉭(TaCN)、碳化鉭(TaC)、氮化矽鉭(TaSiN)、金屬合金或其它合適的材料和/或其組合。
在本揭露的部分實施例中,一個或多個功函數調整層(未繪示)位於閘極介電層42和閘極電極45之間。
如第30圖所示,在一些實施例中,在閘極電極45和源極/汲極區域46上形成層間介電質56。
如第31圖所示,在一些實施例中,使用光刻和蝕刻操作形成開口於層間介電質56和閘極介電層42中,並於三元合金層54上方,且形成開口在閘極電極45上方,其中,開口係用導電材料填滿,使用例如形成源極/汲極接觸58和閘極電極60接觸的金屬。在一些實施例中,源極/汲極接觸58和閘極電極60包含從由鋁、銅、鈦和鎢組成的群組中的一種或多種金屬。在一些實施例中,在沉積接觸金屬之前,於開口中形成阻擋層。
本揭露部分實施例的方法適用於平面電晶體裝置和鰭式場效電晶體裝置。第32A-34B繪式根據本揭露部分實施例之製造鰭式場效電晶體裝置300的方法。
在第32A圖中繪示鰭式場效電晶體裝置300的
平面圖(俯視圖)。鰭式場效電晶體裝置300包含設置在鰭狀結構80上的閘極電極結構85。第32B圖是對應於第32A圖中A-A'線的剖視圖。第32C圖是對應於第32圖中B-B'線的剖視圖。第32D圖是對應於第32圖中C-C'線的剖視圖。
如第32A-32D圖所示,閘極電極結構85包含閘極電極84、絕緣閘極側壁86和閘極介電層88。鰭狀結構80從層間介電質90中突出。在部分實施例中,鰭狀結構80係透過圖案化半導體基板92而形成。鰭狀結構80包含在閘極電極結構85的相對側上的源極/汲極區域82和在閘極電極結構85下面的通道區域83。透過光刻和蝕刻操作去除沉積在閘極電極84上和沉積在閘極絕緣側壁86上表面的部分第一金屬層94和部分第二金屬層96,從而將第一金屬層94和第二金屬層96限制為覆蓋在源極/汲極區域82上。通道區域83是從層間介電層90中突出的鰭狀結構80的一部分。
在源極/汲極區域82上形成第一金屬層94,在第一金屬層94上形成第二金屬層96。如第19圖和第26圖所描述,在部分實施例中,第一金屬層94形成為約3奈米至約30奈米的厚度,並且在其他實施例中,第一金屬層94的厚度在約6奈米至約14奈米的範圍內。在一些實施例中,第一金屬層94是選自由鎳、鉑、金、鉭、釓、鈦、鍺和矽組成的群組中的至少一種。第二金屬層96在第一金屬層94上形成為約3奈米至約30奈米的厚度。在某些實施例中,第二金屬層96的厚度在約5奈米至約15奈米的範圍內。在一些實施例中,第二金屬層96是選自由鎳、鉑、金、鉭、釓、鈦、
鍺和矽組成的群組中的至少一種。第二金屬是與第一金屬不同的金屬。
第33A圖是對應於第32A圖中B-B'線的剖面圖。第33B圖是對應於第32A圖中的C-C'線的剖視圖,執行微波激活退火操作以形成與源極/汲極區域82接觸的合金。微波激活退火操作包含將微波輻射72施加到鰭狀結構80、第一金屬層94和第二金屬層96。在一些實施例中,微波輻射在約1GHz至約30GHz的頻率範圍以及約0.6kW至約4.4kW的功率下施加約40秒至約240秒。在其它實施例中,以約1.2kW至約2.2kW的功率施加約80秒至約120秒。在某些實施例中,鰭式場效電晶體裝置300在惰性氣體(例如氮氣氣體)中暴露於微波輻射。
如第34A圖第34B圖所示,將微波輻射施加到鰭式場效電晶體裝置300會引起鰭式場效電晶體裝置300(包含第一金屬層94和第二金屬層96)的局部加熱,如本文關於第20圖、第21圖、第27圖和第28圖所述,以產生三元合金層98。第34A圖對應於第32A圖的B-B'線。第34B圖對應於第32A圖的C-C'線。
應當理解,可以在本文描述的過程之前,期間和之後提供附加操作,並且對於該方法的附加實施例,可以替換或消除上述操作中的一些操作。操作/過程的順序可以是可互換的。還應當理解,所示的裝置經歷進一步處理以形成各種特徵,例如互連金屬層,介電質層,鈍化層等。
在一些實施例中,當半導體裝置10、100、200
和鰭式場效電晶體裝置300暴露於微波激活退火時,半導體裝置10、100、200和鰭式場效電晶體裝置300係設置在半導體晶片400上。如第35圖所示,在部分實施例中,半導體晶片400設置在微波系統410中。微波系統410可以包含微波發生器420,微波發生器420以約0.6kW至約4.4kW的功率產生在約1GHz至約30GHz範圍內的頻率的微波460,以及處理室430,其使半導體晶片400暴露於微波輻射。處理室430包含設置在半導體晶片400的相對側上的多個感受板440和石英板450。在一些實施例中,感受板440由諸如摻雜矽或摻雜碳化矽的半導體材料形成。
快速熱退火可以降解金屬表面以及合金接觸和半導體基板之間的界面。依據本揭露部分實施例的微波活化退火可以防止由快速熱退火引起的界面粗糙度和嚴重的附聚作用(agglomeration)。根據本揭露部分實施例的微波激活退火提供比快速熱退火在更低的溫度下均勻加熱。微波激活退火可以提供具有改進的接面界面、表面品質和降低的接觸電阻的淺接面。與快速熱退火相比,微波激活退火的相對低的溫度還抑制從源極/汲極區域的摻雜劑擴散。
在某些實施例中,根據本揭露的微波激活退火可以改善p型場效電晶體裝置中的矽、鍺、矽鍺半導體基板上的肖特基接觸,並且可以改善n型場效電晶體中的矽、鍺、矽鍺半導體基板上的歐姆接觸。
根據本揭露之一態樣係提供一種製造半導體裝置的方法,包含下列步驟。先形成第一金屬層於半導體基板
上,再形成第二金屬層於第一金屬層上,其中第二金屬層由與第一金屬層不同的金屬形成。之後,施加微波輻射於半導體基板、第一金屬層以及第二金屬層,以形成合金,其包含第一金屬層、第二金屬層以及半導體基板的成分。
根據本揭露之另一態樣係提供一種製造半導體裝置的方法,包含下列步驟。先形成閘極結構於半導體基板的第一區域,接著,形成源極/汲極區域於半導體基板的第二區域,其中第二區域位於第一區域的相對側上。然後,形成第一金屬層於源極/汲極區域,之後,形成第二金屬層於第一金屬層上,其中第二金屬層由與第一金屬層不同的金屬形成。最後,施加微波輻射於半導體基板、第一金屬層以及第二金屬層,以形成合金,其包含第一金屬層、第二金屬層以及半導體基板的成分。
根據本揭露之另一態樣係提供一種製造半導體裝置的方法,包含下列步驟。首先,在半導體基板中形成一對間隔開的源極/汲極區域。接著,在源極/汲極區域上形成第一金屬層,之後,在第一金屬層上形成第二金屬層,其中,第二金屬層由與第一金屬層不同的金屬形成。施加微波輻射於半導體基板、第一金屬層和第二金屬層,以在源極/汲極區域上形成包含第一金屬層、第二金屬層和半導體基板的成分的合金。之後,在半導體基板上方形成高介電絕緣層,並且在間隔開的源極/汲極區域之間的區域中的高介電絕緣層上方形成導電金屬層。接著,在源極/汲極區域上形成導電接觸。
前述概述了幾個實施例或示例的特徵,使得本領域具通常知識者可以更好地理解本揭露的方面。本領域具通常知識者應當理解,他們可以容易地使用本揭露作為設計或修改,以用於實現與本文介紹的實施例或示例的相同目和/或實現相同優點的其他過程和結構的基礎。本領域具通常知識者還應當認識到,這樣的等同結構不脫離本揭露的精神和範圍,並且在不脫離本揭露的精神和範圍的情況下,它們可以進行各種改變,替換和更改。
10‧‧‧半導體裝置
12‧‧‧半導體基板
26‧‧‧開口
22‧‧‧合金層
24‧‧‧絕緣層
30、34‧‧‧第一接觸層
32、36‧‧‧第二接觸層
33‧‧‧上接觸層
37‧‧‧下接觸層
Claims (10)
- 一種製造半導體裝置的方法,包含:形成一第一金屬層於一半導體基板上;形成一第二金屬層於該第一金屬層上,其中該第二金屬層由與該第一金屬層不同的金屬形成;以及施加微波輻射於該半導體基板、該第一金屬層以及該第二金屬層,以形成一合金,其包含該第一金屬層、該第二金屬層以及該半導體基板的成分,其中該微波輻射以0.6kW至4.4kW的功率施加40秒至240秒,該合金是NiGePt2三元合金。
- 如請求項1所述的方法,其中該半導體基板更包含彼此間隔開的一對源極/汲極區域,並且在形成該第一金屬層時,該第一金屬層是設置在該對源極/汲極區域上。
- 如請求項1所述的方法,其中該微波輻射施加80秒至120秒。
- 如請求項1所述的方法,其中該微波輻射以1.2kW至2.2kW的功率施加。
- 一種製造場效應電晶體的方法,包含:形成一閘極結構於一半導體基板的一第一區域;形成多個源極/汲極區域於該半導體基板的一第二區 域,其中該第二區域位於該第一區域的相對側上;形成一第一金屬層於該些源極/汲極區域;形成一第二金屬層於該第一金屬層上,其中該第二金屬層由與該第一金屬層不同的金屬形成;以及施加微波輻射於該半導體基板、該第一金屬層以及該第二金屬層,以形成合金,該合金包含該第一金屬層、該第二金屬層以及該半導體基板的成分,其中該微波輻射以1.2kW至4.4kW的功率施加40秒至240秒,該合金是NiGePt2三元合金。
- 如請求項5所述的方法,其中該場效應電晶體是平面場效應電晶體。
- 如請求項5所述的方法,其中該半導體基板包含形成在其上的至少一個鰭,該些源極/汲極區域形成在至少一個鰭上,並且該閘極結構覆蓋該至少一個鰭。
- 一種製造半導體裝置的方法,包含:在一半導體基板中形成一對間隔開的源極/汲極區域;在該對源極/汲極區域上形成一第一金屬層;在該第一金屬層上形成一第二金屬層,其中,該第二金屬層由與該第一金屬層不同的金屬形成;施加微波輻射於該半導體基板、該第一金屬層和該第二金屬層,以在該對源極/汲極區域上形成包含該第一金屬層、該第二金屬層和該半導體基板的成分的一合金; 在該半導體基板上方形成一高介電絕緣層,並且在間隔開的該對源極/汲極區域之間的區域中的該高介電絕緣層上方形成一導電金屬層;以及在該對源極/汲極區域上形成一導電接觸,其中該合金是NiGePt2三元合金。
- 如請求項8所述的方法,其中透過濺射沉積鉑至6奈米至14奈米的厚度來形成該第一金屬層。
- 如請求項8所述的方法,其中該第二金屬層透過物理氣相沉積沉積鎳至5奈米至15奈米的厚度形成。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/253,074 US10453688B2 (en) | 2016-08-31 | 2016-08-31 | Method of manufacturing a semiconductor device including a ternary alloy layer formed by a microwafe anneal process |
US15/253,074 | 2016-08-31 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201824362A TW201824362A (zh) | 2018-07-01 |
TWI702637B true TWI702637B (zh) | 2020-08-21 |
Family
ID=61240747
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106113323A TWI702637B (zh) | 2016-08-31 | 2017-04-20 | 製造半導體裝置的方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US10453688B2 (zh) |
CN (1) | CN107785249B (zh) |
TW (1) | TWI702637B (zh) |
Families Citing this family (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10985076B2 (en) | 2018-08-24 | 2021-04-20 | International Business Machines Corporation | Single metallization scheme for gate, source, and drain contact integration |
CN109712877A (zh) * | 2018-12-28 | 2019-05-03 | 张家港意发功率半导体有限公司 | 欧姆接触电极、hemt器件及制备方法 |
CN109904227B (zh) * | 2019-03-14 | 2021-01-19 | 西安交通大学 | 低功函数导电栅极的金刚石基场效应晶体管及其制备方法 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20120220106A1 (en) * | 2011-02-25 | 2012-08-30 | Tokyo Electron Limited | Carbon nanotube forming method and pre-treatment method therefor |
CN102832243A (zh) * | 2011-06-14 | 2012-12-19 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US20150155366A1 (en) * | 2012-03-23 | 2015-06-04 | International Business Machines Corporation | Techniques to Form Uniform and Stable Silicide |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
SG97821A1 (en) * | 1999-11-17 | 2003-08-20 | Inst Materials Research & Eng | A method of fabricating semiconductor structures and a semiconductor structure formed thereby |
US7749877B2 (en) * | 2006-03-07 | 2010-07-06 | Siliconix Technology C. V. | Process for forming Schottky rectifier with PtNi silicide Schottky barrier |
TWI547999B (zh) * | 2007-09-17 | 2016-09-01 | Dsgi公司 | 微波退火半導體材料的系統及方法 |
US20090127594A1 (en) * | 2007-11-19 | 2009-05-21 | Advanced Micro Devices, Inc. | MOS TRANSISTORS HAVING NiPtSi CONTACT LAYERS AND METHODS FOR FABRICATING THE SAME |
JP5663278B2 (ja) * | 2010-11-19 | 2015-02-04 | ルネサスエレクトロニクス株式会社 | 半導体装置 |
US8487378B2 (en) | 2011-01-21 | 2013-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Non-uniform channel junction-less transistor |
CN102446730A (zh) * | 2011-10-12 | 2012-05-09 | 上海华力微电子有限公司 | 一种微波退火形成镍硅化物的方法 |
US8887106B2 (en) | 2011-12-28 | 2014-11-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process |
US8729634B2 (en) | 2012-06-15 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with high mobility and strain channel |
TWI492285B (zh) * | 2012-07-26 | 2015-07-11 | Nat Applied Res Laboratories | 金屬半導體化合物形成方法 |
CN102969276B (zh) * | 2012-12-14 | 2015-10-21 | 复旦大学 | 半导体器件及其制备方法 |
CN103000579B (zh) * | 2012-12-14 | 2016-12-21 | 复旦大学 | 一种半导体器件及其制备方法 |
US8826213B1 (en) | 2013-03-11 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Parasitic capacitance extraction for FinFETs |
US8943455B2 (en) | 2013-03-12 | 2015-01-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for layout verification for polysilicon cell edge structures in FinFET standard cells |
CN103943495A (zh) * | 2014-03-24 | 2014-07-23 | 吉林麦吉柯半导体有限公司 | 金属与n型硅肖特基接触势垒高度的调节方法 |
US9236345B2 (en) * | 2014-03-24 | 2016-01-12 | Globalfoundries Inc. | Oxide mediated epitaxial nickel disilicide alloy contact formation |
US9590105B2 (en) | 2014-04-07 | 2017-03-07 | National Chiao-Tung University | Semiconductor device with metal alloy over fin, conductive layer over channel region of fin, and semiconductive layer over conductive layer and formation thereof |
US9299587B2 (en) | 2014-04-10 | 2016-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Microwave anneal (MWA) for defect recovery |
US9543438B2 (en) * | 2014-10-15 | 2017-01-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact resistance reduction technique |
-
2016
- 2016-08-31 US US15/253,074 patent/US10453688B2/en active Active
-
2017
- 2017-04-20 TW TW106113323A patent/TWI702637B/zh active
- 2017-05-26 CN CN201710385165.9A patent/CN107785249B/zh active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20120220106A1 (en) * | 2011-02-25 | 2012-08-30 | Tokyo Electron Limited | Carbon nanotube forming method and pre-treatment method therefor |
CN102832243A (zh) * | 2011-06-14 | 2012-12-19 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US20150155366A1 (en) * | 2012-03-23 | 2015-06-04 | International Business Machines Corporation | Techniques to Form Uniform and Stable Silicide |
Also Published As
Publication number | Publication date |
---|---|
US10453688B2 (en) | 2019-10-22 |
CN107785249B (zh) | 2022-01-18 |
US20180061642A1 (en) | 2018-03-01 |
TW201824362A (zh) | 2018-07-01 |
CN107785249A (zh) | 2018-03-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR20200033738A (ko) | 마스크 없는 제조 공정 흐름을 사용한 선택적 듀얼 실리사이드 형성 | |
US9472620B1 (en) | Semiconductor device including fin structures and manufacturing method thereof | |
US10651042B2 (en) | Salicide bottom contacts | |
TW201709342A (zh) | 具有源極/汲極結構的鰭式場效電晶體及其 製造方法 | |
US11545562B2 (en) | Source and drain structure with reduced contact resistance and enhanced mobility | |
TWI725539B (zh) | 半導體裝置和製造半導體裝置的方法 | |
TW201642352A (zh) | 半導體裝置與其形成方法 | |
TWI702637B (zh) | 製造半導體裝置的方法 | |
US9887100B2 (en) | Methods of forming semiconductor devices and structures thereof | |
US20240145581A1 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
TW202303685A (zh) | 半導體結構的形成方法 | |
KR20200008534A (ko) | 핀 버클링이 감소된 FinFET 구조물 및 방법 | |
US20230361202A1 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
US20220367612A1 (en) | Semiconductor device having nanosheet transistor and methods of fabrication thereof | |
US11677015B2 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
US11476342B1 (en) | Semiconductor device with improved source and drain contact area and methods of fabrication thereof | |
TWI764255B (zh) | 半導體元件及其製造方法 | |
TW202207360A (zh) | 半導體裝置 | |
KR20200037726A (ko) | 반도체 디바이스의 제조 방법 및 반도체 디바이스 | |
CN111653623A (zh) | 鳍式晶体管结构 |