CN107785249A - 制造半导体装置的方法 - Google Patents

制造半导体装置的方法 Download PDF

Info

Publication number
CN107785249A
CN107785249A CN201710385165.9A CN201710385165A CN107785249A CN 107785249 A CN107785249 A CN 107785249A CN 201710385165 A CN201710385165 A CN 201710385165A CN 107785249 A CN107785249 A CN 107785249A
Authority
CN
China
Prior art keywords
metal layer
layer
semiconductor substrate
metal
nanometers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710385165.9A
Other languages
English (en)
Other versions
CN107785249B (zh
Inventor
简昭欣
徐崇浚
季维均
刘继文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN107785249A publication Critical patent/CN107785249A/zh
Application granted granted Critical
Publication of CN107785249B publication Critical patent/CN107785249B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/24Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body
    • H01L21/244Alloying of electrode materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28537Deposition of Schottky electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/47Schottky barrier electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • H01L29/66143Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66515Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned selective metal deposition simultaneously on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66606Lateral single gate silicon transistors with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种制造半导体装置的方法,包含下列步骤。在半导体基板上形成第一金属层,并在第一金属层上形成第二金属层。第二金属层由与第一金属层不同的金属形成。施加微波辐射于半导体基板、第一金属层和第二金属层,以形成包含第一金属层、第二金属层和半导体基板的成分的合金。

Description

制造半导体装置的方法
技术领域
本揭露是关于制造半导体集成电路的方法,更具体地涉及制造具有场效晶 体管(field effect transistor,FET)结构的半导体装置。
背景技术
随着半导体工业引入具有更高性能和更大功能性的新一代集成电路(integrated circuits,ICs),导致集成电路的元件的密度增加,并且金属触点和布 线的尺寸减小,进而增加电路的电阻。因此,半导体业界皆期望减小接触区域 处的电阻以在集成电路中提供进一步的改进。
发明内容
根据本揭露的一方面是提供一种制造半导体装置的方法,包含下列步骤。 先形成第一金属层于半导体基板上,再形成第二金属层于第一金属层上,其中 第二金属层由与第一金属层不同的金属形成。接着,施加微波辐射于半导体基 板、第一金属层以及第二金属层,以形成合金,其包含第一金属层、第二金属 层以及半导体基板的成分。
附图说明
当以下详细描述与附图一起阅读时,可以最好地理解本揭露。要强调的是, 根据工业中的标准实践,各种特征不是按比例绘制的,并且仅用于说明目的。 实际上,为了清楚地讨论,可以任意地增加或减少各种特征的尺寸。
图1绘示根据本揭露部分实施方式中,制造半导体装置的方法的流程图;
图2-图12绘示根据本揭露部分实施方式中,制造半导体装置的方法;
图13绘示根据本揭露部分实施方式中,制造半导体装置的方法的流程图;
图14绘示根据本揭露部分实施方式中,制造半导体装置的方法的流程图;
图15-图23绘示根据本揭露部分实施方式中,制造场效晶体管半导体装置 的方法;
图24-图31绘示根据本揭露部分实施方式中,制造场效晶体管半导体装置 的方法;
图32A-图34B绘示根据本揭露部分实施方式中,制造场效晶体管半导体 装置的方法;
图35绘示根据本揭露部分实施方式中,将微波辐射施加到半导体装置的 微波系统。
具体实施方式
应当理解,以下提供了用于实现本揭露不同特征的许多实施例或示例。以 下描述的元件和实施例或示例是用以简化本揭露。当然,这些仅是示例并且不 旨在限制。例如,元件的尺寸不限于所揭露的范围或值,而是可以取决于制成 条件和/或装置的期望特性。此外,在以下描述中在第一特征之上形成第二特 征,可以包含第一特征和第二特征以直接接触形成的实施例,并且还可以包含 形成介于第一特征和第二特征之间的额外特征的实施例,使得第一特征和第二 特征可以不直接接触。为了简单和清楚,可以不同的比例任意地绘制各种特征。
此外,在本文中使用空间相对术语,诸如“下”或“底部”与“上”或“顶 部”等,以便于描述如图中所示的一个元件或特征与另一元件或特征的关系。 空间相对术语旨在涵盖除了图中所示的方位之外,其它使用或操作中,装置的 不同方位。装置可以以其他方式定向(旋转90度或在其它方向),并且本文使 用的空间相对描述可以相应地解释。此外,术语“由...构成”可以表示“包含” 或“由...组成”。
可受益于本揭露的一个或一个以上实施例的装置的实例为肖特基势垒二 极管(Schottky barrier diodes)和具有场效晶体管(FET)的半导体装置。示例性场 效晶体管包含金属氧化物半导体(metal-oxide-semiconductor,MOS)场效晶体 管,例如具有掺杂有p型掺杂剂(例如硼或二氟化硼)的p型场效晶体管(PFET) 或具有掺杂有n型掺杂剂(例如磷或砷)的n型场效晶体管(NFET)。场效晶体管 可以是平面装置或三维(3D)鳍式场效晶体管(fin-type field effect transistors, finFET),本文中称为鳍式场效晶体管装置。平面装置是指非鳍式场效晶体管 装置。鳍式场效晶体管可以是多栅极晶体管,例如双栅极装置,三栅极装置和/或其他配置。所述装置可包含于例如微处理器、存储器装置和/或其它集成电 路中。本领域通常知识者可了解到其它实施例的半导体装置可受益于本揭露。
本文提供一种或一种以上用于形成半导体装置的技术及由此形成的所得 结构。
在图1中绘示根据本揭露部分实施例的制造半导体装置的方法的流程图。 在操作S201中,在半导体基板上形成包含第一金属的第一金属层。在操作S202 中,在第一金属层上形成包含不同于第一金属的第二金属的第二金属层。在操 作S203中,对半导体基板、第一金属层和第二金属层施加微波辐射以形成包 含第一金属层、第二金属层和半导体基板的成分的合金。
图2-图12绘示根据本揭露的部分实施例中,用于制造半导体装置10的方 法。在一些实施例中,透过本方法形成的半导体装置10是肖特基势垒二极管。
如图2所示,在半导体基板12上形成遮罩层14。半导体基板12可以包 含单晶半导体材料,例如但不限于硅(Si)、锗(Ge)、硅化锗(SiGe)。在部分实施 例中,半导体基板12是由锗制成。在部分实施例中,对半导体基板12进行清 洁操作,例如暴露即将沉积遮罩的半导体基板12的表面于稀释氢氟酸(dilute hydrofluoric acid,DHF)。
遮罩层14可以是任何合适的遮罩材料,包含光阻(photoresist)或硬遮罩材 料,例如氧化物或氮化物。氧化物或氮化物硬遮罩材料可以透过合适的沉积技 术沉积,例如化学气相沉积(chemical vapor deposition,CVD),包含低压化学气 相沉积(low pressurechemical vapor deposition,LPCVD)、等离子体增强化学气 相沉积(plasma enhancedchemical vapor deposition,PECVD)、物理气相沉积 (physical vapor deposition,PVD)和原子层沉积(atomic layer deposition,ALD)。如 图3所示,随后在遮罩层14中形成开口16,透过合适的光刻和蚀刻操作暴露 半导体基板12的一部分。
参考图4,在遮罩层14和半导体基板12上沉积光阻层15,并且使用光刻 操作对光阻层15进行图案化,以暴露开口16中的半导体基板12。在一些实 施例中,光阻层15是正性光阻,在其他实施例中为负性光阻。
如图5所示,透过合适的沉积技术,例如溅射(sputtering)或物理气相沉积, 在半导体基板12和光阻层15的暴露部分上形成第一金属层18。在一些实施 例中,第一金属层18形成为约3纳米(nm)至约30纳米(nm)的厚度。在部分实 施例中,第一金属层18的厚度在约6纳米至约14纳米的范围内。在一些实施 例中,第一金属层18是选自由镍(Ni)、铂(Pt)、金(Au)、钽(Ta)、钆(Gd)、钛(Ti) 和锗(Ge)组成的群组中的至少一种。在另一实施例中,第一金属层18是由硅 组成。
如图6所示,透过合适的沉积技术,例如溅射或物理气相沉积,在第一金 属层18上形成第二金属层20。在一些实施例中,第二金属层20形成为约3 奈至约30纳米的厚度。在部分实施例中,第二金属层20的厚度为约5纳米至 约15纳米。在一些实施例中,第二金属层20是选自由镍、铂、金、钽、钆、 钛和锗组成的群组中的至少一种。在另一实施例中,第二金属层20是由硅组 成。第二金属是与第一金属不同的金属。
参考图7,在一些实施例中,在沉积第二金属层之后,使用适当的光阻溶 剂(例如丙酮)透过剥离操作(lift-off operation)去除光阻层15以及覆盖于光阻层 15上的第一金属层18和第二金属层20。遮罩层14也一并去除,并且执行微 波激活退火操作(microwave-actived annealing operation)以形成与半导体基板 12接触的合金。如图7所示,微波激活退火操作包含将微波辐射72施加到半 导体基板12、第一金属层18和第二金属层20。在一些实施例中,微波辐射在 约1GHz至约30GHz的频率范围和约0.6kW至约4.4kW的功率下施加约40 秒至约240秒。在其它实施例中,微波辐射以约1.2kW至约2.2kW的功率施 加约80秒至约120秒。在部分实施例中,半导体装置10在惰性气体(例如氮 气气体)中暴露于微波辐射。
将微波辐射施加到半导体装置10导致半导体装置10的局部加热,其包含 第一金属层18和第二金属层20。在一些实施例中,第一金属层18和第二金 属层20的温度从环境温度升高至暴露于微波辐射期间约200℃至约600℃的温 度。在其它实施例中,在暴露于微波辐射期间,第一金属层18和第二金属层 20的温度增加至约300℃至约500℃的温度范围。如图8所示,第一金属层18 和第二金属层20的加热使得第一金属层18的金属和第二金属层20的金属扩 散到半导体基板12中,并且与半导体基板12形成合金层22。在特定实施例 中,形成三元合金层22。
在部分实施例中,在锗基板12上,第一金属层18是6纳米至14纳米厚 的镍溅射沉积层,第二金属层20是5纳米至15纳米厚的铂物理气相沉积层。 在部分实施例中,第一金属层18和第二金属层20各自具有约10纳米的厚度。 在一些实施例中,合金层22包含镍、锗和铂的三元合金(NiGePt)。在部分实 施例中,三元合金层包含NiGePt2
取决于金属材料,第一金属层18的厚度将影响当第一金属层18与第二金 属层20暴露于微波辐射时,三元合金是否会形成。例如,当第一金属层18 是铂并且第二金属层20是镍时,如果第一金属层18的厚度小于6纳米或大于 14纳米,则不会形成三元合金层22。如果第一金属层18是铂并且厚度小于6 纳米,第二金属层20是镍,则镍将容易透过铂进入半导体基板12,并且不会 形成三元合金层22。另一方面,如果第一金属层是铂且厚度大于14纳米,则 镍将不能充分地扩散到半导体基板12中以形成三元合金层22。当第一金属层 18是铂并且厚度在5纳米和14纳米之间,在部分实施例中,铂和镍都充分地 扩散到半导体基板12中,并且有足够的热在半导体基板12中积累以形成包含 铂、镍和锗的三元合金层22。
在一些实施例中,三元合金层22的厚度为约15纳米至约70纳米。在其 他实施例中,三元合金层22的厚度为约25纳米至约60纳米。
在一些实施例中,镍锗铂(NiGePt)三元合金层22中的镍/铂的原子比为约 3.5至约1.1。
如图9所示,之后,在一些实施例中形成具有暴露三元合金层22的第二 开口26的绝缘层24。绝缘层24是氧化物或氮化物,例如在一些实施例中是 氧化硅或氮化硅。绝缘层24可以透过任何合适的沉积操作形成,包含化学气 相沉积、低压化学气相沉积、等离子体增强化学气相沉积和原子层沉积。透过 光刻和蚀刻操作图案化绝缘层24以形成开口26。
参考图10,在绝缘层24上形成第二光阻层28。如图11所示,使用光刻 操作对第二光阻层28进行图案化以暴露三元合金层22的一部分,并且形成上 接触层33与三元合金层22接触。上接触层33由例如金属的导电材料所形成。 在一些实施例中,金属是选自由铝(Al)、铜(Cu)、钛(Ti)和钨(W)组成的群组中 的至少一种。如图11所示,在一些实施例中,上接触层33包含多个接触层(第 一接触层30与第二接触层32)。在一些实施例中,上接触层33包含双层,其 包含由钛组成的第一接触层30和由铝组成的第二接触层32。在一些实施例中, 第一接触层30的厚度为约10纳米至约50纳米,第二接触层32的厚度为约20纳米至约60纳米。在部分实施例中,整个上接触层33的厚度为约30纳米 至约110纳米。
参考图12,在一些实施例中,在沉积上接触层33之后,使用适当的光阻 溶剂透过剥离操作去除第二光阻层28和覆盖在第二光阻层28上的第一接触层 30和第二接触层32。如图12所示,在部分实施例中,下接触层37形成在与 半导体基板12上接触层33相对的表面上。下接触层37由导电材料形成,例 如金属。在一些实施例中,金属选自由铝、铜、钛和钨组成的群组。如图12 所示,在一些实施例中,下接触37包含多个接触层(第一接触层34和第二接 触层36)。在一些实施例中,下接触37包含双层,其包含由钛组成的第一接触 层34和由铝组成的第二接触层36。金属接触层(第一接触层30、34和第二接 触层32、36)可以透过任何合适的技术形成,包含化学气相沉积、低压化学气 相沉积、等离子体增强化学气相沉积、物理气相沉积和原子层沉积、溅射和电 镀。在一些实施例中,第一接触层30的厚度为约10纳米至约50纳米,第二 接触层32的厚度为约20纳米至约60纳米。在某些实施例中,整个上接触层 33的厚度为约30纳米至约110纳米。在一些实施例中,第一接触层34的厚 度为约10纳米至约50纳米,第二接触层36的厚度为约20纳米至约60纳米。 在某些实施例中,整个下接触层37的厚度为约30纳米至约110纳米。
如图13所示,根据本揭露部分实施例的制造半导体装置的另一种方法, 包含,在半导体基板上形成栅极结构的操作S301,和在半导体基板上形成源 极/漏极区域的操作S302。在本揭露部分实施例中,源极和漏极可互换地使用, 并且其结构基本上相同。在操作S303中,在源极/漏极区域上形成第一金属层, 并且在操作S304中,在第一金属层上形成第二金属层。随后,在操作S305 中,将微波辐射施加到半导体基板、第一金属层和第二金属层。
如图14所示,根据本揭露的部分实施例的制造半导体装置的另一种方法, 包含,在半导体基板上形成源极/漏极区域的操作S401和在源极/漏极区域上形 成第一金属层的操作S402。在操作S403中,在源极/漏极区域上形成第二金 属层,随后在操作S404中,将微波辐射施加到半导体基板、第一金属层和第 二金属层。在操作S405中,在半导体基板上形成高介电绝缘层(high-k insulating layer),并且在操作S406中,在源极/漏极区域之间的区域中,形成导电金属 层于高介电绝缘层上。在操作S407中,在源极/漏极区域上形成导电接触。
图15-图23绘示根据本揭露的部分实施例的制造场效晶体管半导体装置 100的方法。如图15所示,在半导体基板40上形成栅极介电层42,并且在栅 极介电层42上形成栅极电极层44。
在一些实施例中,半导体基板40可以包含单晶半导体材料,例如但不限 于硅、锗和硅锗。在部分实施例中,半导体基板40由锗制成。在部分实施例 中,对半导体基板40进行清洁操作,例如暴露即将沉积遮罩的半导体基板40 的表面于稀释氢氟酸。
半导体基板40可以在其表面区域中包含一个或多个缓冲层(未绘示)。缓 冲层可用于将晶格常数从基板的晶格常数逐渐改变为源极/漏极区域的晶格常 数。缓冲层可以由外延生长的单晶半导体材料形成,例如但不限于硅(Si)、锗 (Ge)、锗锡(GeSn)、硅锗(SiGe)、镓砷(GaAs)、铟鍗(InSb)、镓磷(GaP)、镓鍗 (GaSb)、铟铝砷(InAlAs)、铟镓砷(InGaAs)、镓鍗磷(GaSbP)、镓砷鍗(GaAsSb)、 镓氮(GaN)、镓磷(GaP)和铟磷(InP)。在特定实施例中,半导体基板40的最上 层由锗制成,并且缓冲层是在硅基层上外延生长的硅锗。硅锗缓冲层的锗浓度 可以从最底部缓冲层的30原子百分比增加到最顶层缓冲层的70原子百分比。
栅极介电层42由半导体基板材料的氧化物或氮化物形成,例如在一些实 施例中为氧化硅或氧化锗。在一些实施例中,透过热氧化半导体基板的上表面 形成氧化物,而在其他实施例中,透过包含化学气相沉积、低压化学气相沉积、 等离子体增强化学气相沉积、物理气相沉积和原子层沉积的沉积操作形成氧化 物。在一些实施例中,栅极介电层42包含一层或多层高介电材料(high-k dielectric material)。高介电材料包含二氧化铪(HfO2)、硅氧化铪(HfSiO)、氮氧 硅化铪(HfSiON)、钽氧化铪(HfTaO)、钛氧化铪(HfTiO)、锆氧化铪(HfZrO)、 氧化锆(zirconium oxide)、氧化铝(aluminum oxide)、氧化钛(titaniumoxide)、二 氧化铪-氧化铝(HfO2-Al2O3)合金,其它合适的高介电材料和/或透过沉积操作形成的其组合,其中,沉积操作包含化学气相沉积、低压化学气相沉积和等离 子体增强化学气相沉积。在一些实施例中,栅极介电层42包含形成在半导体 基板40和介电材料之间的界面层(未绘示)。
在部分实施例中,源极/漏极区域包含含有掺杂剂浓度大于1020原子·厘米 -3(1020atoms·cm-3)的重掺杂区。在部分实施例中,源极/漏极区域是透过外延形 成。
在一些实施例中,栅极电极层44包含一个或多个导电材料层,例如多晶 硅(polysilicon)、铝(Al)、铜(Cu)、钛(Ti)、钽(Ta)、钨(W)、钴(Co)、钼(Mo)、 氮化钽(TaN)、硅化镍(NiSi)、硅化钴(CoSi)、氮化钛(TiN)、WN(氮化钨)、TiAl(铝 化钛)、TiAlN(氮化铝钛)、TaCN(氮化碳钽)、TaC(碳化钽)、TaSiN(氮化硅钽)、 金属合金或其它合适的材料和/或其组合。
栅极电极层44可以透过化学气相沉积、低压化学气相沉积、等离子体增 强化学气相沉积、物理气相沉积、原子层沉积、电子束蒸发、电镀或其他合适 的方法形成。
在本揭露的某些实施例中,一个或多个功函数调整层(未绘示)位于栅极介 电层42和栅极电极层44之间。功函数调整层由导电材料制成,例如单层氮化 钛(TiN)、氮化钽(TaN)、氮化铝钽(TaAlN)、碳化铝钽(TaAlC)、碳化钛(TiC)、 碳化钽(TaC)、钴(Co)、铝(Al)、铝化钛(TiAl)、钛化铪(HfTi)、硅化铪(TiSi)、 硅化钽(TaSi)或碳化铝钛(TiAlC),或这些材料中的两种或更多种的多层。功函 数调整层可以透过化学气相沉积、物理气相沉积、原子层沉积和电子束蒸发或 其他合适的制程形成。
在一些实施例中,半导体基板40由锗制成,栅极介电层42是双层,其包 含设置在半导体基板40上由二氧化锗(GeO2)形成的第一层和设置在第一层上 由三氧化二铝(Al2O3)形成的第二层,且栅极电极层44是铝。
如图16所示,使用光刻和蚀刻操作来图案化栅极介电层42和栅极电极层 44,以形成包含栅极介电层42和栅极电极45的闸电极结构41。如图17所示, 使用图案化的栅极介电层42和栅极电极45作为遮罩,掺杂剂注入到半导体基 板40中以形成源极/漏极区域46。如图18所示,在栅极介电层42和栅极电极 45的侧壁上形成的栅极绝缘侧壁48随后被添加到栅极电极结构41中,并且 将额外的掺杂剂注入到源极/漏极区域46中以增加掺杂剂浓度并且扩展源极/ 漏极区域46。在一些实施例中,栅极绝缘侧壁48包含一个或多个绝缘氧化物和/或氮化物层。在一些实施例中,源极/漏极区域46包含掺杂剂,其浓度大于 1020原子·厘米-3(1020atoms·cm-3)。
参考图19,透过合适的沉积技术,例如溅射或物理气相沉积,在源极/漏 极区域46上形成第一金属层50。在一些实施例中,第一金属层50形成为约3 纳米至约30纳米的厚度。在某些实施例中,第一金属层50的厚度在约6纳米 至约14纳米的范围内。在一些实施例中,第一金属层50是选自由镍、铂、金、 钽、钆、钛、锗和硅所组成的群组中的至少一种。
透过合适的沉积技术,例如溅射或物理气相沉积,在第一金属层50上形 成第二金属层52。在一些实施例中,第二金属层52形成为约3纳米至约30 纳米的厚度。在某些实施例中,第二金属层52的厚度范围为约5纳米至约15 纳米。在一些实施例中,第二金属层52是选自由镍、铂、金、钽、钆、钛、 锗和硅组成的群组中的至少一种。第二金属是与第一金属不同的金属。
透过光刻和蚀刻操作去除沉积在栅极电极45上以及沉积在栅极绝缘侧壁 48上表面的部分第一金属层50和部分第二金属层52,从而将第一金属层50 和第二金属层52限制为覆盖源极/漏极区域46上。
如图20所示,在沉积第二金属层之后,执行微波激活退火操作以形成与 源极/漏极区域46接触的合金。微波激活退火操作包含将微波辐射72施加到 半导体基板40、第一金属层50和第二金属层52。在一些实施例中,微波辐射 在约1GHz至约30GHz的频率范围和约0.6kW至约4.4kW的功率下施加约40 秒至约240秒。在其它实施例中,以约1.2kW至约2.2kW的功率施加约80秒 至约120秒。在部分实施例中,半导体装置40在惰性气体(例如氮气气体)中 暴露于微波辐射。
如图21所示,将微波辐射施加到半导体装置100引起半导体装置100的 局部加热,包含第一金属层50和第二金属层52,如本文关于第7-8图所述, 以产生三元合金层54。
在一些实施例中,在暴露于微波辐射期间,第一金属层50、第二金属层 52和源极/漏极区域46的温度从环境温度增加到范围从约200℃至约600℃的 温度。在其它实施例中,在暴露于微波辐射期间,温度增加至约300℃至约 500℃。当局部加热达到小于或等于约600℃的温度时,源极/漏极区域46中的 掺杂剂不容易扩散。
在一些实施例中,在栅极电极45和第三合金层54上形成层间介电质56(interlayer dielectric,ILD),如图22所示。用于形成层间介电质56的材料包含 硅(Si)、氧(O)、碳(C)和/或氢(H)的化合物,例如碳硅氧化物(SiCOH)和碳氧化 硅(SiOC)。有机材料,例如聚合物,可用于形成层间介电质56。层间介电质 56可以透过低压化学气相沉积、等离子体增强化学气相沉积或可流动化学气 相沉积(flowable chemical vapordeposition,FCVD)形成。在可流动化学气相沉 积中,在基板上沉积可流动介电材料而非氧化硅。可流动介电质前驱物,特别 是可流动氧化硅前驱物的例子包含硅酸盐、硅氧烷、甲基倍半硅氧烷(methyl silsesquioxane,MSQ)、氢倍半硅氧烷(hydrogen silsesquioxane,HSQ)、甲基倍半 硅氧烷/氢倍半硅氧烷(MSQ/HSQ)、全氢硅氮烷(perhydrosilazane,TCPS)、全氢 聚硅氮烷(perhydro-polysilazane,PSZ)、正硅酸乙酯(tetraethyl orthosilicate,TEOS)或甲硅烷基胺(silyl-amine),例如三甲硅烷基胺(trisilylamine,TSA)。这些 前驱物可以与气体例如氧(O2)、臭氧(O3)、氮(N2)、氩(Ar)、氢(H2)、氦(He)和/ 或(NH3)组合。等离子体化学气相沉积(plasma-CVD)可用于可流动化学气相沉 积。
如图23所示,在一些实施例中,使用光刻和蚀刻操作,在层间介电质56 中且在三元合金层54和栅极电极45上方,形成开口,并且用导电材料(例如 金属)填充开口以形成源极/漏极接触58和栅极电极60接触。在一些实施例中, 源极/漏极接触58和栅极电极接触60包含选自铝、铜、钛和钨的一种或多种 金属。在一些实施例中,在沉积接触金属前形成阻挡层于开口中。
图24-图31绘示根据本揭露的部分实施例的制造场效晶体管半导体装置 200的另一种方法。用于形成根据本实施例的场效晶体管的许多操作与图15- 图23中描述的方法相同或类似。主要区别在于,图15-图23绘示先形成栅极 的方法,图24-图31绘示后形成栅极的方法。
如图24所示,使用沉积、光刻和蚀刻操作在半导体基板40上形成遮罩 70。可以透过沉积遮罩材料层(例如氧化硅和/或氮化硅)并且图案化沉积的遮罩 材料层来形成遮罩。半导体基板40可以由本文揭露的任何材料形成。
如图25所示,透过将掺杂剂注入到半导体基板40中,分别在遮罩70相 对两侧上形成间隔开的源极/漏极区域46。在一些实施例中,源极/漏极区域46 包含浓度大于1020原子·厘米-3(1020atoms·cm-3)的掺杂剂。
参考图26,在源极/漏极区域46上形成厚度为约3纳米至约30纳米的第 一金属层50。在部分实施例中,第一金属层50的厚度在约6纳米至约14纳 米的范围内。在一些实施例中,第一金属层50是选自由镍、铂、金、钽、钆、 钛、锗和硅组成的群组中的至少一种。
在第一金属层50上形成第二金属层52,其厚度约为3纳米至约30纳米。 在部分实施例中,第二金属层52的厚度范围为约5纳米至约15纳米。在一些 实施例中,第二金属层52是选自由镍、铂、金、钽、钆、钛、锗和硅组成的 群组中的至少一种。第二金属是与第一金属不同的金属。
在一些实施例中,透过光刻和蚀刻操作去除沉积在遮罩70上表面上的部 分的第一金属层50和部分的第二金属层52,从而将第一金属层50和第二金 属层52限制为覆盖于源极/漏极区域46之上。
如图27所示,在一些实施例中,在沉积第二金属层之后,执行微波激活 退火操作以形成与源极/漏极区域46接触的合金。微波激活退火操作包含将微 波辐射72施加到半导体基板40、第一金属层50和第二金属层52。在一些实 施例中,微波辐射在约1GHz至约30GHz的频率范围和约0.6kW至约4.4kW 的功率下施加约40秒至约240秒。在其它实施例中,微波辐射以约1.2kW至 约2.2kW的功率施加约80秒至约120秒。在部分实施例中,半导体装置200在惰性气体(例如氮气气体)中暴露于微波辐射。
如图28所示,将微波辐射施加到半导体装置200导致半导体装置200(包 含第一金属层50和第二金属层52)的局部加热,如本文关于图7、图8、图20 和图21所示,以产生三元合金层54。
如图29所示,去除遮罩70,并且在半导体基板40上形成栅极介电层42 和栅极电极45。透过适当的图案化操作对栅极电极45进行图案化,包含光刻 和蚀刻操作以形成栅极电极。
栅极介电层42由半导体基板材料的氧化物或氮化物形成,例如在一些实 施例中为氧化硅或氧化锗。在一些实施例中,透过热氧化半导体基板的上表面 形成氧化物,而在其他实施例中,透过包含化学气相沉积、低压化学气相沉积、 等离子体增强化学气相沉积的沉积操作形成氧化物。在一些实施例中,栅极介 电层42包含一层或多层高介电材料。高介电材料,例如,包含二氧化铪(HfO2)、 硅氧化铪(HfSiO)、氮氧硅化铪(HfSiON)、钽氧化铪(HfTaO)、钛氧化铪(HfTiO)、 锆氧化铪(HfZrO)、氧化锆(zirconium oxide)、氧化铝(aluminum oxide)、氧化钛 (titanium oxide)、二氧化铪-氧化铝(HfO2-Al2O3)合金,其它合适的高介电材料 和/或透过沉积操作形成的其组合,其中,沉积操作包含化学气相沉积、低压 化学气相沉积、等离子体增强化学气相沉积。在一些实施例中,栅极介电层 42包含在通道层和介电材料之间形成的界面层(未绘示)。
在一些实施例中,栅极电极45包含一个或多个导电材料层,例如多晶硅(polysilicon)、铝(Al)、铜(Cu)、钛(Ti)、钽(Ta)、钨(W)、钴(Co)、钼(Mo)、氮 化钽(TaN)、硅化镍(NiSi)、硅化钴(CoSi)、氮化钛(TiN)、氮化钨(WN)、铝化 钛(TiAl)、氮化铝钛(TiAlN)、氮化碳钽(TaCN)、碳化钽(TaC)、氮化硅钽(TaSiN)、 金属合金或其它合适的材料和/或其组合。
在本揭露的部分实施例中,一个或多个功函数调整层(未绘示)位于栅极介 电层42和栅极电极45之间。
如图30所示,在一些实施例中,在栅极电极45和源极/漏极区域46上形 成层间介电质56。
如图31所示,在一些实施例中,使用光刻和蚀刻操作形成开口于层间介 电质56和栅极介电层42中,并于三元合金层54上方,且形成开口在栅极电 极45上方,其中,开口是用导电材料填满,使用例如形成源极/漏极接触58 和栅极电极60接触的金属。在一些实施例中,源极/漏极接触58和栅极电极 60包含从由铝、铜、钛和钨组成的群组中的一种或多种金属。在一些实施例 中,在沉积接触金属之前,于开口中形成阻挡层。
本揭露部分实施例的方法适用于平面晶体管装置和鳍式场效晶体管装置。 图32A-图34B绘示根据本揭露部分实施例的制造鳍式场效晶体管装置300的 方法。
在图32A中绘示鳍式场效晶体管装置300的平面图(俯视图)。鳍式场效晶 体管装置300包含设置在鳍状结构80上的栅极电极结构85。图32B是对应于 图32A中A-A'线的剖视图。图32C是对应于图32中B-B'线的剖视图。图32D 是对应于图32中C-C'线的剖视图。
如图32A-图32D所示,栅极电极结构85包含栅极电极84、绝缘栅极侧 壁86和栅极介电层88。鳍状结构80从层间介电质90中突出。在部分实施例 中,鳍状结构80是透过图案化半导体基板92而形成。鳍状结构80包含在栅 极电极结构85的相对侧上的源极/漏极区域82和在栅极电极结构85下面的通 道区域83。透过光刻和蚀刻操作去除沉积在栅极电极84上和沉积在栅极绝缘 侧壁86上表面的部分第一金属层94和部分第二金属层96,从而将第一金属 层94和第二金属层96限制为覆盖在源极/漏极区域82上。通道区域83是从 层间介电层90中突出的鳍状结构80的一部分。
在源极/漏极区域82上形成第一金属层94,在第一金属层94上形成第二 金属层96。如图19和图26所描述,在部分实施例中,第一金属层94形成为 约3纳米至约30纳米的厚度,并且在其他实施例中,第一金属层94的厚度在 约6纳米至约14纳米的范围内。在一些实施例中,第一金属层94是选自由镍、 铂、金、钽、钆、钛、锗和硅组成的群组中的至少一种。第二金属层96在第 一金属层94上形成为约3纳米至约30纳米的厚度。在某些实施例中,第二金 属层96的厚度在约5纳米至约15纳米的范围内。在一些实施例中,第二金属 层96是选自由镍、铂、金、钽、钆、钛、锗和硅组成的群组中的至少一种。 第二金属是与第一金属不同的金属。
图33A是对应于图32A中B-B'线的剖面图。图33B是对应于图32A中的 C-C'线的剖视图,执行微波激活退火操作以形成与源极/漏极区域82接触的合 金。微波激活退火操作包含将微波辐射72施加到鳍状结构80、第一金属层94 和第二金属层96。在一些实施例中,微波辐射在约1GHz至约30GHz的频率 范围以及约0.6kW至约4.4kW的功率下施加约40秒至约240秒。在其它实施 例中,以约1.2kW至约2.2kW的功率施加约80秒至约120秒。在某些实施例 中,鳍式场效晶体管装置300在惰性气体(例如氮气气体)中暴露于微波辐射。
如图34A、图34B所示,将微波辐射施加到鳍式场效晶体管装置300会 引起鳍式场效晶体管装置300(包含第一金属层94和第二金属层96)的局部加 热,如本文关于图20、图21、图27和图28所述,以产生三元合金层98。图 34A对应于图32A的B-B'线。图34B对应于图32A的C-C'线。
应当理解,可以在本文描述的过程之前,期间和之后提供附加操作,并且 对于该方法的附加实施例,可以替换或消除上述操作中的一些操作。操作/过 程的顺序可以是可互换的。还应当理解,所示的装置经历进一步处理以形成各 种特征,例如互连金属层,介电质层,钝化层等。
在一些实施例中,当半导体装置10、100、200和鳍式场效晶体管装置300 暴露于微波激活退火时,半导体装置10、100、200和鳍式场效晶体管装置300 是设置在半导体晶片400上。如图35所示,在部分实施例中,半导体晶片400 设置在微波系统410中。微波系统410可以包含微波发生器420,微波发生器 420以约0.6kW至约4.4kW的功率产生在约1GHz至约30GHz范围内的频率 的微波460,以及处理室430,其使半导体晶片400暴露于微波辐射。处理室430包含设置在半导体晶片400的相对侧上的多个感受板440和石英板450。 在一些实施例中,感受板440由诸如掺杂硅或掺杂碳化硅的半导体材料形成。
快速热退火可以降解金属表面以及合金接触和半导体基板之间的界面。依 据本揭露部分实施例的微波活化退火可以防止由快速热退火引起的界面粗糙 度和严重的附聚作用(agglomeration)。根据本揭露部分实施例的微波激活退火 提供比快速热退火在更低的温度下均匀加热。微波激活退火可以提供具有改进 的接面界面、表面品质和降低的接触电阻的浅接面。与快速热退火相比,微波 激活退火的相对低的温度还抑制从源极/漏极区域的掺杂剂扩散。
在某些实施例中,根据本揭露的微波激活退火可以改善p型场效晶体管装 置中的硅、锗、硅锗半导体基板上的肖特基接触,并且可以改善n型场效晶体 管(NFET)中的硅、锗、硅锗半导体基板上的欧姆接触。
本揭露的一方面是提供一种制造半导体装置的方法,包含下列步骤。先形 成第一金属层于半导体基板上,再形成第二金属层于第一金属层上,其中第二 金属层由与第一金属层不同的金属形成。之后,施加微波辐射于半导体基板、 第一金属层以及第二金属层,以形成合金,其包含第一金属层、第二金属层以 及半导体基板的成分。
在本揭露制造方法的一实施例中,其中半导体基板更包含彼此间隔开的一 对源极/漏极区域,并且在形成第一金属层时,第一金属层是设置在源极/漏极 区域上。
在本揭露制造方法的一实施例中,其中微波辐射以约0.6kW至约4.4kW 的功率施加约40秒至约240秒。
在本揭露制造方法的一实施例中,其中微波辐射以约1.2kW至约2.2kW 的功率施加约80秒至约120秒。
在本揭露制造方法的一实施例中,其中半导体基板包括硅、锗和硅锗。
在本揭露制造方法的一实施例中,其中第一金属层和第二金属层选自镍、 铂、金、钽、钆、钛、锗和硅及其组合。
在本揭露制造方法的一实施例中,其中第一金属层包含铂,第二金属层包 括镍。
本揭露的另一方面是提供一种制造半导体装置的方法,包含下列步骤。先 形成栅极结构于半导体基板的第一区域,接着,形成源极/漏极区域于半导体 基板的第二区域,其中第二区域位于第一区域的相对侧上。然后,形成第一金 属层于源极/漏极区域,之后,形成第二金属层于第一金属层上,其中第二金 属层由与第一金属层不同的金属形成。最后,施加微波辐射于半导体基板、第 一金属层以及第二金属层,以形成合金,其包含第一金属层、第二金属层以及 半导体基板的成分。
在本揭露制造方法的一实施例中,其中第一金属层透过溅射操作形成。
在本揭露制造方法的一实施例中,其中第一金属层包含厚度为约6纳米至 约14纳米的层。
在本揭露制造方法的一实施例中,其中第二金属层透过物理气相沉积操作 形成。
在本揭露制造方法的一实施例中,其中所述第二金属层包含厚度为约5 纳米至约15纳米的层。
在本揭露制造方法的一实施例中,其中合金是NiGePt2三元合金。
在本揭露制造方法的一实施例中,其中场效应晶体管是平面场效应晶体 管。
在本揭露制造方法的一实施例中,其中半导体基板包含形成在其上的至少 一个鳍,源极/漏极区域形成在至少一个鳍上,并且栅极结构覆盖至少一个鳍。
本揭露的另一方面是提供一种制造半导体装置的方法,包含下列步骤。首 先,在半导体基板中形成一对间隔开的源极/漏极区域。接着,在源极/漏极区 域上形成第一金属层,之后,在第一金属层上形成第二金属层,其中,第二金 属层由与第一金属层不同的金属形成。施加微波辐射于半导体基板、第一金属 层和第二金属层,以在源极/漏极区域上形成包含第一金属层、第二金属层和 半导体基板的成分的合金。之后,在半导体基板上方形成高介电绝缘层,并且 在间隔开的源极/漏极区域之间的区域中的高介电绝缘层上方形成导电金属 层。接着,在源极/漏极区域上形成导电接触。
在本揭露制造方法的一实施例中,其中导电接触包含从由铝、铜、钛和钨 组成的组中选择的至少一种金属。
在本揭露制造方法的一实施例中,其中微波辐射以约0.6kW至约4.4kW 的功率施加约40秒至约240秒。
在本揭露制造方法的一实施例中,其中透过溅射沉积铂至约6纳米至约14纳米的厚度来形成第一金属层。
在本揭露制造方法的一实施例中,其中第二金属层透过物理气相沉积沉积 镍至约5纳米至约15纳米的厚度形成。
前述概述了几个实施例或示例的特征,使得本领域具通常知识者可以更好 地理解本揭露的方面。本领域具通常知识者应当理解,他们可以容易地使用本 揭露作为设计或修改,以用于实现与本文介绍的实施例或示例的相同目和/或 实现相同优点的其他过程和结构的基础。本领域具通常知识者还应当认识到, 这样的等同结构不脱离本揭露的精神和范围,并且在不脱离本揭露的精神和范 围的情况下,它们可以进行各种改变,替换和更改。

Claims (1)

1.一种制造半导体装置的方法,其特征在于,包含:
形成一第一金属层于一半导体基板上;
形成一第二金属层于该第一金属层上,其中该第二金属层由与该第一金属层不同的金属形成;以及
施加微波辐射于该半导体基板、该第一金属层以及该第二金属层,以形成一合金,其包含该第一金属层、该第二金属层以及该半导体基板的成分。
CN201710385165.9A 2016-08-31 2017-05-26 制造半导体装置的方法 Active CN107785249B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/253,074 US10453688B2 (en) 2016-08-31 2016-08-31 Method of manufacturing a semiconductor device including a ternary alloy layer formed by a microwafe anneal process
US15/253,074 2016-08-31

Publications (2)

Publication Number Publication Date
CN107785249A true CN107785249A (zh) 2018-03-09
CN107785249B CN107785249B (zh) 2022-01-18

Family

ID=61240747

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710385165.9A Active CN107785249B (zh) 2016-08-31 2017-05-26 制造半导体装置的方法

Country Status (3)

Country Link
US (1) US10453688B2 (zh)
CN (1) CN107785249B (zh)
TW (1) TWI702637B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109712877A (zh) * 2018-12-28 2019-05-03 张家港意发功率半导体有限公司 欧姆接触电极、hemt器件及制备方法
CN109904227A (zh) * 2019-03-14 2019-06-18 西安交通大学 低功函数导电栅极的金刚石基场效应晶体管及其制备方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10985076B2 (en) 2018-08-24 2021-04-20 International Business Machines Corporation Single metallization scheme for gate, source, and drain contact integration

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6531396B1 (en) * 1999-11-17 2003-03-11 Institute Of Materials Research And Engineering Method of fabricating a nickel/platinum monsilicide film
US20090127594A1 (en) * 2007-11-19 2009-05-21 Advanced Micro Devices, Inc. MOS TRANSISTORS HAVING NiPtSi CONTACT LAYERS AND METHODS FOR FABRICATING THE SAME
US20110159675A1 (en) * 2006-03-07 2011-06-30 Vishay-Siliconix PROCESS FOR FORMING SCHOTTKY RECTIFIER WITH PtNi SILICIDE SCHOTTKY BARRIER
CN102446730A (zh) * 2011-10-12 2012-05-09 上海华力微电子有限公司 一种微波退火形成镍硅化物的方法
US20120220106A1 (en) * 2011-02-25 2012-08-30 Tokyo Electron Limited Carbon nanotube forming method and pre-treatment method therefor
CN102969276A (zh) * 2012-12-14 2013-03-13 复旦大学 半导体器件及其制备方法
CN103000579A (zh) * 2012-12-14 2013-03-27 复旦大学 一种半导体器件及其制备方法
CN103943495A (zh) * 2014-03-24 2014-07-23 吉林麦吉柯半导体有限公司 金属与n型硅肖特基接触势垒高度的调节方法
US20150155366A1 (en) * 2012-03-23 2015-06-04 International Business Machines Corporation Techniques to Form Uniform and Stable Silicide
CN105529269A (zh) * 2014-10-15 2016-04-27 台湾积体电路制造股份有限公司 减小接触电阻的技术

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI547999B (zh) * 2007-09-17 2016-09-01 Dsgi公司 微波退火半導體材料的系統及方法
JP5663278B2 (ja) * 2010-11-19 2015-02-04 ルネサスエレクトロニクス株式会社 半導体装置
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
CN102832243B (zh) * 2011-06-14 2016-03-30 中国科学院微电子研究所 半导体器件及其制造方法
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
TWI492285B (zh) * 2012-07-26 2015-07-11 Nat Applied Res Laboratories 金屬半導體化合物形成方法
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9236345B2 (en) * 2014-03-24 2016-01-12 Globalfoundries Inc. Oxide mediated epitaxial nickel disilicide alloy contact formation
US9590105B2 (en) 2014-04-07 2017-03-07 National Chiao-Tung University Semiconductor device with metal alloy over fin, conductive layer over channel region of fin, and semiconductive layer over conductive layer and formation thereof
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6531396B1 (en) * 1999-11-17 2003-03-11 Institute Of Materials Research And Engineering Method of fabricating a nickel/platinum monsilicide film
US20110159675A1 (en) * 2006-03-07 2011-06-30 Vishay-Siliconix PROCESS FOR FORMING SCHOTTKY RECTIFIER WITH PtNi SILICIDE SCHOTTKY BARRIER
US20090127594A1 (en) * 2007-11-19 2009-05-21 Advanced Micro Devices, Inc. MOS TRANSISTORS HAVING NiPtSi CONTACT LAYERS AND METHODS FOR FABRICATING THE SAME
US20120220106A1 (en) * 2011-02-25 2012-08-30 Tokyo Electron Limited Carbon nanotube forming method and pre-treatment method therefor
CN102446730A (zh) * 2011-10-12 2012-05-09 上海华力微电子有限公司 一种微波退火形成镍硅化物的方法
US20150155366A1 (en) * 2012-03-23 2015-06-04 International Business Machines Corporation Techniques to Form Uniform and Stable Silicide
CN102969276A (zh) * 2012-12-14 2013-03-13 复旦大学 半导体器件及其制备方法
CN103000579A (zh) * 2012-12-14 2013-03-27 复旦大学 一种半导体器件及其制备方法
CN103943495A (zh) * 2014-03-24 2014-07-23 吉林麦吉柯半导体有限公司 金属与n型硅肖特基接触势垒高度的调节方法
CN105529269A (zh) * 2014-10-15 2016-04-27 台湾积体电路制造股份有限公司 减小接触电阻的技术

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
T G. FINSTAD: "SILICIDE FORMATION WITH NICKEL AND PLATINUM DOUBLE LAYERS ON SILICON", 《THIN SOHD FTLMS》 *
姜洪舟等: "《无机非金属材料热工设备》", 31 December 2013 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109712877A (zh) * 2018-12-28 2019-05-03 张家港意发功率半导体有限公司 欧姆接触电极、hemt器件及制备方法
CN109904227A (zh) * 2019-03-14 2019-06-18 西安交通大学 低功函数导电栅极的金刚石基场效应晶体管及其制备方法

Also Published As

Publication number Publication date
TW201824362A (zh) 2018-07-01
US20180061642A1 (en) 2018-03-01
CN107785249B (zh) 2022-01-18
US10453688B2 (en) 2019-10-22
TWI702637B (zh) 2020-08-21

Similar Documents

Publication Publication Date Title
US10566430B2 (en) Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts
US9997631B2 (en) Methods for reducing contact resistance in semiconductors manufacturing process
CN103578954B (zh) 具有金属栅极的半导体集成电路
US10903337B2 (en) Air gap spacer with wrap-around etch stop layer under gate spacer
US10651042B2 (en) Salicide bottom contacts
US10243079B2 (en) Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US11545562B2 (en) Source and drain structure with reduced contact resistance and enhanced mobility
KR20130027982A (ko) 개선된 실리사이드 형성 및 연관된 장치
CN109216458A (zh) 半导体器件及其制造方法
US11018239B2 (en) Semiconductor device and manufacturing method thereof
US10770562B1 (en) Interlayer dielectric replacement techniques with protection for source/drain contacts
TW201727908A (zh) 鰭式場效電晶體元件及其形成方法
KR102184593B1 (ko) 반도체 디바이스를 위한 게이트 구조물
US10242882B2 (en) Cyclic etch process to remove dummy gate oxide layer for fin field effect transistor fabrication
CN107785249A (zh) 制造半导体装置的方法
TW202303685A (zh) 半導體結構的形成方法
US20230282725A1 (en) Semiconductor Devices and Methods of Forming the Same
WO2023040421A1 (en) Bottom junction and contact area structures for vertical transport field-effect transistors
US20220223689A1 (en) Methods Of Forming Epitaxial Source/Drain Features In Semiconductor Devices
US11232953B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
CN220753431U (zh) 半导体装置结构
CN109950151B (zh) Pmos晶体管及其形成方法
KR20200050327A (ko) 반도체 소자 제조 방법 및 반도체 소자

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant