TWI697962B - 具有砷化鎵作為犧牲層的鍺奈米線電晶體 - Google Patents

具有砷化鎵作為犧牲層的鍺奈米線電晶體 Download PDF

Info

Publication number
TWI697962B
TWI697962B TW105116139A TW105116139A TWI697962B TW I697962 B TWI697962 B TW I697962B TW 105116139 A TW105116139 A TW 105116139A TW 105116139 A TW105116139 A TW 105116139A TW I697962 B TWI697962 B TW I697962B
Authority
TW
Taiwan
Prior art keywords
nanowires
sacrificial
gate
gate stack
channel region
Prior art date
Application number
TW105116139A
Other languages
English (en)
Other versions
TW201709345A (zh
Inventor
威利 瑞奇曼第
馬修 梅茲
凡 雷
傑克 卡瓦萊羅斯
薩納斯 珈納
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201709345A publication Critical patent/TW201709345A/zh
Application granted granted Critical
Publication of TWI697962B publication Critical patent/TWI697962B/zh

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/068Nanowires or nanotubes comprising a junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds

Abstract

一種裝置,其包含三維半導體本體,其包含通道區域和設置在該通道區域的相對側的接面區域,該三維半導體本體包含複數條奈米線,其包含設置在由第二材料在該接面區域分開的各自平面的鍺材料,其中該第二材料的晶格常數係類似於該鍺材料的晶格常數;以及閘極堆疊,其設置在該通道區域上,該閘極堆疊包含設置在閘極介電質上的閘極電極。一種方法,其包含在基板上單獨的平面中形成複數條奈米線,該複數條奈米線中的每一條包含鍺材料並且藉由犧牲材料與相鄰的奈米線分開;在特定通道區域中的該複數條奈米線上設置閘極堆疊,該閘極堆疊包含介電材料和閘極電極。

Description

具有砷化鎵作為犧牲層的鍺奈米線電晶體
包含具有低帶隙包覆層的通道區域的非平面半導體裝置的半導體裝置。
在過去的幾十年中,積體電路中的特性縮放一直是不斷增長的半導體產業背後的驅動力。縮放到越來越小的特性使得能夠在半導體晶圓的有限面積上增加功能單元的密度。例如,縮小電晶體尺寸使得晶片上的記憶體裝置的增加數量的成立,使得製造的產品具有增加的容量。驅動了更大的容量,但也不是沒有問題。最佳化每個裝置的性能的必要性變得日益顯著。
由於隨著降低的雜質散射的低有效質量,由III-V族化合物半導體材料系統形成的半導體裝置在電晶體通道中提供格外高的載子遷移率。III族和V族是指在元素週期表的第13-15組的半導體材料的元件的位置(以前的III-V族)。這樣的裝置提供高驅動電流的性能,並且呈現用於未來的低功率、高速邏輯應用的希望。
100‧‧‧裝置
110‧‧‧基板
120‧‧‧犧牲鰭
125‧‧‧溝槽
130‧‧‧介電材料
140A‧‧‧犧牲層
140B‧‧‧犧牲層
140C‧‧‧犧牲層
150A‧‧‧奈米線
150B‧‧‧奈米線
150C‧‧‧奈米線
152‧‧‧覆蓋材料
155‧‧‧通道區域
156‧‧‧源極
158‧‧‧汲極
160‧‧‧犧牲材料
165‧‧‧犧牲材料
170‧‧‧介電材料
180A‧‧‧接面區域
180B‧‧‧接面區域
190‧‧‧閘極介電質
195‧‧‧閘極電極
200‧‧‧結構
210‧‧‧基板
220‧‧‧緩衝層
300‧‧‧中介層
302‧‧‧第一基板
304‧‧‧第二基板
306‧‧‧球閘陣列(BGA)
308‧‧‧金屬互連
310‧‧‧通孔
312‧‧‧穿透矽通孔(TSV)
314‧‧‧嵌入式裝置
400‧‧‧計算裝置
402‧‧‧積體電路晶粒
404‧‧‧處理器
406‧‧‧晶粒上記憶體
408‧‧‧通訊晶片
410‧‧‧揮發性記憶體
412‧‧‧非揮發性記憶體
414‧‧‧圖形處理單元
416‧‧‧數位訊號處理器
420‧‧‧晶片組
422‧‧‧天線
424‧‧‧觸控螢幕顯示器
426‧‧‧觸控螢幕控制器
428‧‧‧電池
430‧‧‧羅盤
432‧‧‧運動協同處理器或感測器
434‧‧‧揚聲器
436‧‧‧相機
438‧‧‧用戶輸入裝置
440‧‧‧大容量儲存裝置
442‧‧‧加密處理器
444‧‧‧全球定位系統裝置
圖1顯示半導體基板的一部分,如具有形成在其上的犧牲鰭和鄰近該犧牲鰭的介電材料的晶圓的一部分的上視圖。
圖2顯示在移除犧牲鰭以在介電材料中形成溝槽之後圖1的結構。
圖3顯示在根據高寬比捕獲(ART)方法來磊晶生長奈米線和犧牲材料的交替層之後圖2的結構。
圖4顯示在介電材料的凹陷之後圖3的結構。
圖5顯示在結構的特定通道區域中的奈米線上的間隔物和犧牲或偽閘極電極和鄰近於以在犧牲材料上的奈米線的源極和汲極的實現的間隔物的介電材料的引入之後圖4的結構。
圖6顯示當在特定接面區域中的奈米線和犧牲材料被移去,在結構的特定通道區域中的奈米線上的間隔物和犧牲或偽閘極電極和鄰近於以源極和汲極的實現的間隔物的介電材料的引入之後圖4的結構。
圖7顯示當奈米線具有形成在其上的包覆材料,在結構的特定通道區域中的奈米線上的間隔物和犧牲或偽閘極電極和鄰近於以源極和汲極的實現的間隔物的介電材料的引入之後圖4的結構。
圖8顯示當在特定接面區域中取代奈米線和 犧牲材料的生長或沉積材料,在結構的特定通道區域中的奈米線上的間隔物和犧牲或偽閘極電極和鄰近於以源極和汲極的實現的間隔物的介電材料的引入之後圖4的結構。
圖9顯示在移除犧牲閘極電極留下相鄰於接面區域的間隔物之後圖5的結構。
圖10顯示在通道區域中的犧牲層材料去除之後圖9的結構。
圖11顯示在通道區域上的閘極堆疊的引入之後圖10的結構。
圖12顯示包含在CMOS實現中的基板上NMOS裝置和PMOS裝置的結構的實施例的上前視圖。
圖13是實現一或多個實施例的中介層。
圖14顯示計算裝置的實施例。
【發明內容及實施方式】
本文所描述的一或多個實施例關於非平面半導體裝置(三維裝置),包含設置在通道區域的相對側上的通道區域和接面區域。通道區域包含含有鍺材料的多條奈米線或奈米帶。在一個這樣的實施例中,該裝置的閘極堆疊圍繞在閘極全圍繞配置中的通道區域。
在電晶體通道中不同磊晶材料(如III-V族複合材料或鍺(Ge))的整合面臨的主要問題之一是這些材料和矽之間的晶格失配,以及在磊晶過程中抑制缺陷形成的能力。在一個實施例中,包含鍺材料的奈米線或奈米帶 係磊晶地形成在具有類似於鍺的晶格結構的材料上。這種材料的例子為III-V族複合材料,例如砷化鎵。
圖1~7描述一種形成半導體裝置的程序。在一個實施例中,該裝置是一種三維金屬氧化物半導體場效電晶體(MOSFET),並且是獨立的裝置或者是在複數個嵌套裝置中的一個裝置。如將被理解的是,對於典型的積體電路,N和P通道電晶體兩者可在單一基板上被製造以形成互補金屬氧化物半導體(CMOS)積體電路。此外,額外的互連可以被製造以將這樣的裝置整合成積體電路。
圖1顯示半導體基板,如晶圓的一部分的一部分的上視圖。在一個實施例中,基板110為矽。在另一個實施例中,基板110為絕緣體上矽基板。在一個實施例中,在基板110的表面(如圖所示的較上方表面)上的是藉由磊晶生長技術引入矽鍺的可選緩衝層。在某些情況下,基板110因此可以理解為包含緩衝層。覆蓋基板110,圖1顯示具有所需的長度L、高度H和寬度W、所需奈米線或所需奈米帶奈米線的三維電路裝置的尺寸的犧牲鰭120。在一個實施例中,犧牲鰭120是單晶矽材料,如基板110的材料。在一個實施例中,犧牲鰭120係藉由將基板110蝕刻到等於犧牲鰭的希望高度H的深度來形成。在形成犧牲鰭120之後,在圖1中所示的實施例中,介電材料130圍繞著鰭(例如,在犧牲鰭120的相對側)被引入。在一個實施例中,介電材料130為氧化物材料。
圖2顯示在移除犧牲鰭120以在介電材料130 中形成溝槽125之後圖1的結構。犧牲鰭120可藉由選擇性蝕刻程序被移除。
圖3顯示在根據高寬比捕獲(ART)方法來磊晶生長奈米線和犧牲材料的交替層之後圖2的結構。圖3顯示分別磊晶生長在犧牲層140A、140B和140C上的鍺材料的奈米線150A、150B和150C。這裡所用的用語奈米線不局限於任何特定的形狀(例如,圓柱形、矩形等),並因此包含奈米帶和各種橫截面形狀的奈米結構。在一個實施例中,犧牲層140A~C中的每一個為具有類似於鍺的晶格常數的晶格常數的材料。在一個實施例中,犧牲層140A~C中的每一個為III-V族化合物的結構,如在溝槽125中磊晶生長的砷化鎵(GaAs)。如圖3所示,磊晶生長,首先於犧牲層140A,接著奈米線150A,接著犧牲層140B、奈米線150B、犧牲層140C和奈米線150C進行。由此,犧牲層和與每一奈米線交替的奈米線層形成在犧牲層上。如在圖3中所示,犧牲層140A~C和奈米線150A~C的交替層填充溝槽125。雖然圖3和4顯示三條奈米線,該結構並不限於三條奈米線並且可以包含少於或多於三條奈米線。
圖4顯示在介電材料130的凹陷之後圖3的結構。在一個實施例中,二氧化矽的介電材料130被選擇性地蝕刻,以移除該介電材料,並且不移除奈米線和犧牲材料層。如圖所示,凹陷繼續到暴露奈米線150A~C中的每一個的程度。
圖5顯示在該結構的特定通道區域中的奈米線上間隔物和犧牲或偽閘極電極引入之後圖4的結構。圖5顯示特定通道區域155,其包括間隔物160和沉積在間隔物160之間的犧牲材料165。在一個實施例中,用以形成圖5的結構,閘極介電材料(例如,二氧化矽)係沉積作為在圖4的結構上的覆面,隨後沉積犧牲或偽閘極材料(例如,多晶矽)也作為在閘極介電材料上的覆面。該犧牲或偽閘極材料和閘極介電材料接著在特定的通道區域155被圖案化成犧牲或偽閘極165和閘極介電質。隔離物材料膜(例如,具有小於二氧化矽(低k介電質)的介電常數的介電常數的介電材料,如氮化矽(SiN)或矽、氮化碳(SiCN))接著被沉積並蝕刻以形成間隔物160。接著,源極和汲極被形成在特定接面區域180A和180B中。
對於源極和汲極實現有不同的可能性。在一個實施例中,在特定接面區域180A和180B中的奈米線150A~150C可以被使用作為與犧牲材料140A~C交錯。代表性地,奈米線150A~C可以在特定接面區域180A和180B中被暴露並以合適的摻雜物來摻雜,隨後覆面沉積介電材料170以形成ILD0。此實現在圖5中顯示。
在另一實施例中,在圖6中顯示,源極和汲極實現關於在特定接面區域180A和180B和摻雜的奈米線150A~C中的犧牲材料140A~C的移除。代表性地,奈米線150A~C和犧牲材料140A~C首先被曝露,接著 蝕刻程序將跟隨選擇性相對於奈米線150A~C的去除犧牲材料140A~C。對於砷化鎵的犧牲材料,這種材料可被選擇性地藉由鹽酸酸系蝕刻相對於鍺奈米線被移除。奈米線150A~C可以接著被摻雜,接著沉積介電材料170。
在進一步的實施例中,在圖7中顯示,源極和汲極實現關於在特定接面區域180A和180B中去除犧牲材料140A~C並且在奈米線150A~C上引入包覆材料。代表性地,奈米線150A~C和犧牲材料140A~C將被暴露,隨後如圖6的實現中描述的,犧牲材料選擇性地移除。覆蓋材料152,諸如摻雜的矽鍺或摻雜的鍺將隨後藉由圍繞奈米線150A~C中的每一個的磊晶程序的方式被引入。介電材料170的覆面沉積將會跟隨。
在圖8中顯示的更進一步實施例中,源極和汲極實現關於奈米線150A~C的去除和犧牲材料140A~C的去除和以源極和汲極材料置換去除的材料。代表性地,介電材料可在特定接面區域180A和180B中被形成。接著,掩模和蝕刻程序可被用於去除奈米線150A~C和犧牲材料140A~C,隨後磊晶程序引入源極和汲極材料,如摻雜的矽鍺或摻雜的鍺或摻雜的矽鍺和摻雜的鍺的組合。圖8顯示替代奈米線150A~C和犧牲材料140A~C形成在特定接面區域中的源極156和汲極158。
圖5~8的實現中的每一個顯示介電材料170,例如,二氧化矽或低k介電材料係沉積在相鄰於間隔物160的特定接面區域180A和180B,並且介電材料被 打磨以暴露犧牲或偽閘極165。
使用在圖5所示的源極和汲極實現,圖9顯示在移除犧牲閘極電極165之後圖5的結構,分別留下相鄰於接面區域180A和接面180B的間隔物160,並且定義閘極電極區域或通道區域155。在一個實施例中,例如,多晶矽的偽閘極,係藉由選擇性蝕刻程序被移除。
圖10顯示在通道區域155中的犧牲層材料去除之後圖9的結構。在一個實施例中,對於砷化鎵的犧牲層材料,這種材料可被選擇性地藉由鹽酸酸系蝕刻相對於鍺奈米線被移除。在接面區域180A和接合區域180B中,犧牲層140A~140C的材料,如果仍然存在,是由介電材料170免受任何蝕刻程序。圖10代表性地顯示在接面區域180A被移除的介電材料170以說明保留接面區域中的犧牲層140A~140C的材料,並且在通道區域155中移除這種材料。在一些實施例中,犧牲材料的部分或結構仍可以在犧牲材料被從通道區域蝕刻出來之後保留在間隔物160內。
圖11顯示在通道區域155上的閘極堆疊的引入之後圖10的結構。在一個實施例中,閘極堆疊包含閘極介電質190和閘極電極195。在一個實施例中,閘極介電質190為二氧化矽或低K介電材料,並且閘極電極195為金屬材料。圖11顯示分別圍繞奈米線150A、150B和奈米線150C中的每一個的介電層190的全圍繞閘極配置,並且閘極電極195圍繞閘極介電質中的每一個。高k 材料的閘極介電質可藉由原子層沉積程序被引入,並且閘極金屬可以藉由物理沉積程序被引入。在閘極堆疊形成之後,可以形成到接面區域180A和180B,以及到閘極電極195的接點以形成用於裝置100的電連接。在一個實施例中,包含鍺奈米線的三維奈米線結構定義適合用於PMOS裝置的鍺金屬氧化物半導體場效電晶體(MOSFET)。這種裝置可與NMOS裝置一起結合於CMOS邏輯應用。當裝置的閘極長度(Lg)被向下縮放,該MOSFET提供最小短通道效應。上述的裝置結構使得作為PMOS的鍺與,例如,作為NMOS的矽或III-V族的整合以形成晶圓(例如,矽晶圓)上的CMOS,而不需要基於晶圓的厚緩衝層。此外,裝置的驅動電流可向上縮放而不需要藉由增加每一裝置上的鍺奈米線數目或藉由垂直地增加線的厚度(例如,奈米線的高度尺寸)來犧牲佈局密度。
圖12顯示包含非平面金屬氧化物半導體場效電晶體(MOSFET)的矽或SOI基板的一部分的實施例。例如,結構200是積體電路或晶片的一部分。具體地,圖12顯示整合在用於CMOS的基板上的兩個多閘極裝置。可以理解的是,基板可以包含許多這樣的裝置以及不同的裝置(例如,平面的裝置)。參見圖12,結構200包含矽或SOI的基板210。覆蓋矽基板210的是緩衝層220。在一個實施例中,緩衝層220為矽鍺緩衝器,諸如被引入的Si0.3Ge0.7材料,在一個實施例中,藉由生長技術在基板210上。緩衝層220具有幾百奈米(nm)的代表性厚 度。
在一個實施例中,設置在緩衝層220的表面上(如圖所示)的是n型電晶體裝置230和p型電晶體裝置240。N型電晶體裝置230包含被設置在緩衝層220的表面125的鰭2310。一種用於鰭2310的表示材料為III-V族化合物半導體材料,如砷化銦鎵(InGaAs)材料。在一個實施例中,鰭2310具有大於高度尺寸的長度尺寸L。代表性長度範圍是在10奈米到1毫米(mm)的數量級,並且代表性高度範圍是在5奈米到200奈米的數量級。裝置230的n型電晶體的鰭2310是從緩衝層220的表面延伸的三維體。在圖12中顯示的三維體為矩形體,但可以理解的,在這些本體的處理中,真正的矩形形狀可能不是可以用工具來完成,並且可能會導致其它形狀。代表性的形狀包含但不限於梯形形狀(例如,基部寬於頂部和拱形狀)。
覆蓋鰭2310的是代表性地由具有3奈米數量級的代表性厚度的高K材料,如,但不限於氧化鋁(Al2O3)或氧化鉿(HfO2)構成的閘極介質層2330。
覆蓋閘極介電層2330的是閘極電極2320。閘極電極2320為,例如,金屬材料,如,但不限於金屬氮化物、金屬碳化物、金屬矽化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷或鎳。
閘極電極2320將具有設置在該閘極下方的通道區域的裝置的源極和汲極區域分開。通道區域係設置在 閘極下方的鰭2310中。以這種方式,電流不是如同平面電晶體操作,流動在閘極下方的平面,電流是如圖示在鰭的頂側和相對側壁上流動。
圖12也顯示,例如,形成在緩衝層220的表面上的三維裝置的p型電晶體裝置240。p型電晶體裝置240包含顯示具有矩形形狀的鰭2410。在一個實施例中,p型鰭2410為如在上面參考圖1~11描述的裝置的接面區域中的鍺奈米線和犧牲材料的交替層的複合結構,和通道區域中的鍺奈米線。圍繞在閘極全圍繞配置中的通道區域中的奈米線的是具有3奈米數量級的代表性厚度的代表性高K材料,如,但不限於Al2O3或HfO2的閘極介電層2430,以及,例如,上述材料的金屬閘極的閘極電極2420。
為了表示CMOS配置,裝置230和裝置240的閘極和汲極顯示為被連接。
圖13顯示包括一或多個實施例的中介層300。中介層300是用於將第一基板302橋接到第二基板304的居間基板。第一基板302可以是,例如,積體電路晶粒。第二基板304可以是,例如,記憶體模組、電腦主機板,或另一積體電路晶片。通常,中介層300的目的是散佈連接到更寬的間距或重新路由到不同連接的連接。例如,中介層300可以將積體電路晶粒耦接到可以隨後被耦接到第二基板304的球閘陣列(BGA)306。在一些實施例中,第一和第二基板302/304被附接到中介層300的相 對側。在其它實施例中,第一和第二基板302/304被附接到中介層300的相同側。在進一步的實施例中,三個或更多的基板是藉由中介層300的方式被互連。
中介層300可以由環氧樹脂、玻璃纖維增強環氧樹脂、陶瓷材料或聚合物材料,如聚酰亞胺形成。在進一步的實現中,中介層可以由替代的可以包括上述在半導體基板中使用的相同材料,如矽、鍺以及其它III-V族和IV族的材料的剛性或柔性材料來形成。
中介層可以包括金屬互連308和通孔310,其包含但不限於穿透矽通孔(TSV)312。中介層300可以進一步包括嵌入式裝置314,其包括被動和主動裝置。這樣的裝置包括但不限於電容、解耦電容、電阻、電感、熔斷器、二極體、變壓器、感測器和靜電放電(ESD)裝置。更複雜的裝置,如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感測器和MEMS裝置也可以在中介層300上形成。
根據實施例,本文揭露的裝置或程序可能使用在中介層300的製造中。
圖14顯示根據本發明的一種實施例的計算裝置400。計算裝置400可以包括多個元件。在一個實施例中,這些元件被附接到一或多個主機板。在替代的實施例中,這些元件被製造到單一系統單晶片(SoC)晶粒上,而不是主機板。在計算裝置400中的元件包括但不限於積體電路晶粒402以及至少一個通訊晶片408。在一些實現 中,通訊晶片408被製造成積體電路晶粒402的一部分。積體電路晶粒402可包括CPU 404以及晶粒上記憶體406,經常被用作快取記憶體,其可以藉由如嵌入式DRAM(eDRAM)或自旋轉移力矩記憶體(STTM或STTM-RAM)的技術來提供。
計算裝置400可包括可能會或可能不會物理地和電性地耦接到主機板或在SoC晶粒內製造的其他元件。這些其它元件包括但不限於揮發性記憶體410(例如,DRAM)、非揮發性記憶體412(例如,ROM或快閃記憶體)、圖形處理單元414(GPU)、數位訊號處理器416、加密處理器442(在硬體中的執行加密演算法的專用處理器)、晶片組420、天線422、顯示器或觸控螢幕顯示器424、觸控螢幕控制器426、電池428或其它電源、功率放大器(未顯示)、全球設置系統(GPS)裝置444、羅盤430、運動協同處理器或感測器432(其可包括加速計、陀螺儀和羅盤)、揚聲器434、相機436、用戶輸入裝置438(如鍵盤、滑鼠、手寫筆和觸控板)和大容量儲存裝置440(如硬碟、光碟(CD)、數位多功能光碟(DVD)等)。
通訊晶片408致使進行資料的轉移到和來自計算裝置400的無線通訊。用語“無線”及其衍生物可以用於描述電路、裝置、系統、方法、技術、通訊通道等,其可以經由非固體介質藉由使用調變的電磁輻射進行資料通訊。該用語不暗示關聯的裝置不包含任何導線,儘管在一 些情況中可能不包含。通訊晶片408可實現任何數目的無線標準或協定,其包括但不限於Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽,其衍生物以及那些被特定為3G、4G、5G和之後的任何其它無線協定。計算裝置400可以包括複數個通訊晶片408。例如,第一通訊晶片408可專用於短範圍無線通訊,如Wi-Fi和藍芽,以及第二通訊晶片408可專用於長範圍無線通訊,如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO和其它。
計算裝置400的處理器404包括一或多個裝置,諸如根據上述實施例形成的三維電晶體。用語“處理器”可以指處理來自暫存器和/或記憶體的電子資料,以轉換該電子資料成可儲存在暫存器和/或記憶體中的其他電子資料的任何裝置或裝置的一部分。
通訊晶片408也可以包含一或多個裝置,諸如根據上述實施例形成的電晶體或金屬互連。
在進一步的實施例中,容納在該計算裝置400內的另一組件可以包含一或多個裝置,諸如根據上述實現形成的三維電晶體或金屬互連。
在各種實施例中,計算裝置400可以是膝上電腦、小筆電、筆記型電腦、超輕薄筆電、智慧手機、平板電腦、個人數位助理(PDA)、極致行動PC、行動電 話、桌上電腦、伺服器、列表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器或者數位錄影機。在另外的實現中,計算裝置400可以是處理資料的任何其它電子裝置。
範例
下面的範例關於實施例。
範例1一種裝置,其包含三維半導體本體,其包含通道區域和設置在該通道區域的相對側的接面區域,該三維半導體本體包含:複數條奈米線,其包含設置在由第二材料在該接面區域分開的各自平面的鍺材料,其中該第二材料的晶格常數係類似於該鍺材料的晶格常數;以及閘極堆疊,其設置在該通道區域上,該閘極堆疊包含設置在閘極介電質上的閘極電極。
在範例2中,範例1的該第二材料包含III族和V族複合材料。
在範例3中,範例1或範例2的該第二材料包含砷化鎵。
在範例4中,範例1~3的該閘極堆疊包圍在該通道區域中的該複數條奈米線中的每一條。
範例5是一種裝置,其包含以堆疊排列配置在基板上的複數條奈米線,每條奈米線包含鍺材料;閘極堆疊,其圍繞該複數條奈米線中的每一條,該閘極堆疊包含閘極介電質和閘極電極;一對間隔件,其在該閘極堆疊 的相對側上;複數個III-V族材料結構,其侷限於該等間隔件之內的區域並且介於該等奈米線之間;以及源極區域和汲極區域,其各定義在該閘極堆疊的相對側上。
在範例6中,該複數條奈米線和該複數個III-V族材料結構延伸至該源極和汲極區域,並且其中範例5的該III-V族材料包含類似於該鍺材料的晶格常數之晶格常數。
在範例7中,範例5或範例6的該第二材料包含III族和V族複合材料。
在範例8中,範例5或範例6的該犧牲材料包含砷化鎵。
範例9是一種方法,其包含在基板上單獨的平面中形成複數條奈米線,該複數條奈米線中的每一條包含鍺材料並且藉由犧牲材料與相鄰的奈米線分開;在特定通道區域中的該複數條奈米線上設置閘極堆疊,該閘極堆疊包含介電材料和閘極電極。
在範例10中,範例9的該犧牲材料包含類似於該複數條奈米線的該鍺材料的晶格常數之晶格常數。
在範例11中,範例9或範例10的該犧牲材料包含砷化鎵。
在範例12中,形成範例9的該複數條奈米線包含將該複數條奈米線中的每一條磊晶地生長在該犧牲材料的相應層上。
在範例13中,在形成該複數條奈米線之前, 範例12的該方法包含以介電材料在半導體基板上形成溝槽以及形成該複數條奈米線包含在該溝槽中形成該複數條奈米線。
在範例14中,在形成該複數條奈米線之後,範例13的該方法包含移除該介電材料。
在範例15中,在移除該介電材料之後,範例14的該方法包含在該特定通道區域中的該複數條奈米線上設置犧牲閘極;以及在特定為接面區域的區域中的該複數條奈米線上形成介電材料。
在範例16中,範例15的該方法進一步包含移除該犧牲閘極材料。
在範例17中,範例16的該方法進一步包含移除在該特定的通道區域中該犧牲材料。
在範例18中,形成範例17的該閘極堆疊包含圍繞該複數條奈米線中的每一條來形成該閘極堆疊。
在範例19中,範例18的該閘極電極包含金屬材料。
在範例20中,範例9或範例10的該特定的通道區域係不含該犧牲材料。
以上所示實現的說明,包括在摘要中所描述的,並非意在窮舉或限制發明為所揭露的精確形式。雖然本發明在此描述的範例的具體實現和範例用於說明性目的,那些相關領域技術人員將理解各種等同修改是可能在本發明的範圍之內。
可以根據上述詳細說明修飾本發明的實施例。在下面的申請專利範圍中使用的用語不應當被解釋為限制本發明在說明書和申請專利範圍中揭露的具體實現。相對的,根據申請專利範圍詮釋的既定原則解釋,發明的範圍完全由下面的申請專利範圍來確定。
100‧‧‧裝置
110‧‧‧基板
120‧‧‧犧牲鰭
130‧‧‧介電材料

Claims (18)

  1. 一種半導體裝置,其包含:三維半導體本體,其包含通道區域和設置在該通道區域的相對側的接面區域,該三維半導體本體包含:複數條奈米線,其包含設置在由第二材料在該接面區域分開的各自平面的鍺材料,其中該第二材料的晶格常數係類似於該鍺材料的晶格常數;以及閘極堆疊,其設置在該通道區域上,該閘極堆疊包含設置在閘極介電質上的閘極電極。
  2. 如申請專利範圍第1項的半導體裝置,其中該第二材料包含III族和V族複合材料。
  3. 如申請專利範圍第1項的半導體裝置,其中該第二材料包含砷化鎵。
  4. 如申請專利範圍第1項的半導體裝置,其中該閘極堆疊包圍在該通道區域中的該複數條奈米線中的每一條。
  5. 一種半導體裝置,其包含:鰭,其包含以堆疊排列配置在基板上的複數條奈米線,每條奈米線包含鍺材料;閘極堆疊,其設置在該鰭的通道區域並且圍繞該複數條奈米線中的每一條,該閘極堆疊包含閘極介電質和閘極電極;以及源極區域和汲極區域,其各定義在該通道區域的相對側上的該鰭中,其中該等奈米線係排列在藉由犧牲材料在 該源極區域和該汲極區域分開的各自平面中,該犧牲材料包含類似於該鍺材料的晶格常數之晶格常數。
  6. 如申請專利範圍第5項的半導體裝置,其中該犧牲材料包含III族和V族複合材料。
  7. 如申請專利範圍第5項的半導體裝置,其中該犧牲材料包含砷化鎵。
  8. 一種半導體裝置的製造方法,其包含:在基板上單獨的平面中形成複數條奈米線,該複數條奈米線中的每一條包含鍺材料並且藉由犧牲材料與相鄰的奈米線分開;在特定通道區域中的該複數條奈米線上設置閘極堆疊,該閘極堆疊包含介電材料和閘極電極,其中該犧牲材料包含類似於該複數條奈米線的該鍺材料的晶格常數之晶格常數。
  9. 如申請專利範圍第8項的方法,其中該犧牲材料包含砷化鎵。
  10. 如申請專利範圍第8項的方法,其中形成該複數條奈米線包含將該複數條奈米線中的每一條磊晶地生長在該犧牲材料的相應層上。
  11. 如申請專利範圍第10項的方法,其中在形成該複數條奈米線之前,該方法包含:以介電材料在半導體基板上形成溝槽以及形成該複數條奈米線包含在該溝槽中形成該複數條奈米線。
  12. 如申請專利範圍第11項的方法,其中在形成該 複數條奈米線之後,該方法包含移除該介電材料。
  13. 如申請專利範圍第12項的方法,其中在移除該介電材料之後,該方法包含:在該特定通道區域中的該複數條奈米線上設置犧牲閘極;以及在特定為接面區域的區域中的該複數條奈米線上形成介電材料。
  14. 如請專利範圍第13項的方法,其進一步包含移除該犧牲閘極材料。
  15. 如請專利範圍第14項的方法,進一步包含移除在該特定的通道區域中該犧牲材料。
  16. 如申請專利範圍第15項的方法,其中形成該閘極堆疊包含圍繞該複數條奈米線中的每一條來形成該閘極堆疊。
  17. 如申請專利範圍第16項的方法,其中該閘極電極包含金屬材料。
  18. 如申請專利範圍第8項的方法,其中該特定的通道區域係不含該犧牲材料。
TW105116139A 2015-06-27 2016-05-24 具有砷化鎵作為犧牲層的鍺奈米線電晶體 TWI697962B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/US2015/038190 WO2017003407A1 (en) 2015-06-27 2015-06-27 Ge nano wire transistor with gaas as the sacrificial layer
WOPCT/US15/38190 2015-06-27

Publications (2)

Publication Number Publication Date
TW201709345A TW201709345A (zh) 2017-03-01
TWI697962B true TWI697962B (zh) 2020-07-01

Family

ID=57608565

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105116139A TWI697962B (zh) 2015-06-27 2016-05-24 具有砷化鎵作為犧牲層的鍺奈米線電晶體

Country Status (6)

Country Link
US (2) US10249740B2 (zh)
EP (1) EP3317900B1 (zh)
KR (2) KR102492181B1 (zh)
CN (1) CN107690704A (zh)
TW (1) TWI697962B (zh)
WO (1) WO2017003407A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102492181B1 (ko) * 2015-06-27 2023-01-26 인텔 코포레이션 희생층으로서 gaas를 가지는 ge 나노와이어 트랜지스터
DE112015006962T5 (de) * 2015-09-24 2018-06-07 Intel Corporation Hybride tri-gate- und nanodraht-cmos-vorrichtungsarchitektur
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
EP3394898B1 (en) * 2015-12-24 2023-09-20 Intel Corporation Methods of forming self aligned spacers for nanowire device structures
WO2017111873A1 (en) * 2015-12-26 2017-06-29 Intel Corporation A method to achieve a uniform group iv material layer in an aspect ratio trapping trench
US9722022B2 (en) * 2015-12-28 2017-08-01 International Business Machines Corporation Sidewall image transfer nanosheet
US10522694B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
US10211307B2 (en) 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
CN109390400A (zh) * 2017-08-08 2019-02-26 中芯国际集成电路制造(上海)有限公司 环栅场效应晶体管及其形成方法
KR102381197B1 (ko) * 2017-12-08 2022-04-01 삼성전자주식회사 반도체 소자
CN110660841B (zh) * 2018-06-29 2023-03-21 台湾积体电路制造股份有限公司 半导体元件的制造方法
CN110828541B (zh) * 2018-08-14 2023-05-16 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US10727427B2 (en) 2018-08-31 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
US11610887B2 (en) * 2019-01-09 2023-03-21 Intel Corporation Side-by-side integration of III-n transistors and thin-film transistors
US11508625B2 (en) * 2020-01-14 2022-11-22 Tokyo Electron Limited Method of making a continuous channel between 3D CMOS
US11664656B2 (en) 2020-03-18 2023-05-30 Mavagail Technology, LLC ESD protection for integrated circuit devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140001441A1 (en) * 2012-06-29 2014-01-02 Seiyon Kim Integration methods to fabricate internal spacers for nanowire devices
TW201411846A (zh) * 2012-07-27 2014-03-16 Intel Corp 奈米線電晶體裝置及其形成技術
US20140131660A1 (en) * 2011-12-23 2014-05-15 Stephen M. Cea Uniaxially strained nanowire structure

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080191317A1 (en) * 2007-02-13 2008-08-14 International Business Machines Corporation Self-aligned epitaxial growth of semiconductor nanowires
US8283653B2 (en) 2009-12-23 2012-10-09 Intel Corporation Non-planar germanium quantum well devices
US8183104B2 (en) * 2010-07-07 2012-05-22 Hobbs Christopher C Method for dual-channel nanowire FET device
WO2013095341A1 (en) * 2011-12-19 2013-06-27 Intel Corporation Cmos implementation of germanium and iii-v nanowires and nanoribbons in gate-all-around architecture
US9012284B2 (en) * 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
US9087863B2 (en) * 2011-12-23 2015-07-21 Intel Corporation Nanowire structures having non-discrete source and drain regions
US8785909B2 (en) * 2012-09-27 2014-07-22 Intel Corporation Non-planar semiconductor device having channel region with low band-gap cladding layer
US8765563B2 (en) * 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
US8716751B2 (en) * 2012-09-28 2014-05-06 Intel Corporation Methods of containing defects for non-silicon device engineering
US8896101B2 (en) * 2012-12-21 2014-11-25 Intel Corporation Nonplanar III-N transistors with compositionally graded semiconductor channels
US9000599B2 (en) * 2013-05-13 2015-04-07 Intel Corporation Multichip integration with through silicon via (TSV) die embedded in package
DE112013007031B4 (de) * 2013-06-28 2022-02-24 Intel Corporation Auf selektiv epitaktisch gezüchteten III-V-Materialien basierende Vorrichtungen
KR102083627B1 (ko) * 2013-09-24 2020-03-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9362397B2 (en) 2013-09-24 2016-06-07 Samsung Electronics Co., Ltd. Semiconductor devices
CN106030810B (zh) * 2013-09-27 2019-07-16 英特尔公司 经由用于硅上异质集成的模板工程的改进的包覆层外延
US9023705B1 (en) 2013-11-01 2015-05-05 Globalfoundries Inc. Methods of forming stressed multilayer FinFET devices with alternative channel materials
US9136332B2 (en) * 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9853107B2 (en) * 2014-03-28 2017-12-26 Intel Corporation Selective epitaxially grown III-V materials based devices
US9698025B2 (en) * 2014-09-04 2017-07-04 Globalfoundries Inc. Directed self-assembly material growth mask for forming vertical nanowires
US9450046B2 (en) * 2015-01-08 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with fin structure and wire structure and method for forming the same
KR102492181B1 (ko) * 2015-06-27 2023-01-26 인텔 코포레이션 희생층으로서 gaas를 가지는 ge 나노와이어 트랜지스터

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140131660A1 (en) * 2011-12-23 2014-05-15 Stephen M. Cea Uniaxially strained nanowire structure
US20140001441A1 (en) * 2012-06-29 2014-01-02 Seiyon Kim Integration methods to fabricate internal spacers for nanowire devices
TW201411846A (zh) * 2012-07-27 2014-03-16 Intel Corp 奈米線電晶體裝置及其形成技術

Also Published As

Publication number Publication date
KR20220041241A (ko) 2022-03-31
KR102377909B1 (ko) 2022-03-23
US10249740B2 (en) 2019-04-02
EP3317900B1 (en) 2023-06-21
US20180138289A1 (en) 2018-05-17
KR20180021118A (ko) 2018-02-28
EP3317900A1 (en) 2018-05-09
TW201709345A (zh) 2017-03-01
KR102492181B1 (ko) 2023-01-26
CN107690704A (zh) 2018-02-13
US10930766B2 (en) 2021-02-23
US20190189770A1 (en) 2019-06-20
WO2017003407A1 (en) 2017-01-05
EP3317900A4 (en) 2019-03-06

Similar Documents

Publication Publication Date Title
TWI697962B (zh) 具有砷化鎵作為犧牲層的鍺奈米線電晶體
US20220140127A1 (en) Wrap-around source/drain method of making contacts for backside metals
US11935933B2 (en) Backside contact structures and fabrication for metal on both sides of devices
KR102309367B1 (ko) 비대칭 프로파일을 갖는 핀 구조체들을 형성하는 방법 및 장치
US10396045B2 (en) Metal on both sides of the transistor integrated with magnetic inductors
TWI798159B (zh) 具有嚴格控制的多鰭狀物高度的鰭式場效電晶體的整合方法
KR102395108B1 (ko) 선택적 산화에 의한 다중-높이 finfet 디바이스
US20220310600A1 (en) Semiconductor nanowire device having (111)-plane channel sidewalls
TW201813105A (zh) 用以降低閘極誘發障壁下降/短通道效應同時最小化對驅動電流的影響的有欠疊尖端的鍺電晶體結構
TW202205545A (zh) 積體電路結構中的源極/ 汲極區
TW202203420A (zh) 積體電路結構中的隔離區域
TWI706570B (zh) 用於鍺nmos之低蕭特基障壁接點結構
TW201812853A (zh) 高品質鍺通道技術中的工程拉伸應變緩衝器