TWI693641B - 圖案化低介電常數介電膜之方法 - Google Patents

圖案化低介電常數介電膜之方法 Download PDF

Info

Publication number
TWI693641B
TWI693641B TW102139570A TW102139570A TWI693641B TW I693641 B TWI693641 B TW I693641B TW 102139570 A TW102139570 A TW 102139570A TW 102139570 A TW102139570 A TW 102139570A TW I693641 B TWI693641 B TW I693641B
Authority
TW
Taiwan
Prior art keywords
dielectric constant
low dielectric
layer
dielectric layer
constant dielectric
Prior art date
Application number
TW102139570A
Other languages
English (en)
Other versions
TW201419416A (zh
Inventor
奈馬尼史林尼法斯D
潘德瑞米亞T
周青駿
路布米斯基德米崔
貝羅斯特凱索爵G
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201419416A publication Critical patent/TW201419416A/zh
Application granted granted Critical
Publication of TWI693641B publication Critical patent/TWI693641B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明關於一種圖案化低介電常數介電膜的方法。在一範例中,圖案化低介電常數介電膜的方法包含:在低介電常數介電層上,形成與圖案化遮罩層,此低介電常數介電層被配置在基板上。此方法也包含:以無氮電漿處理改質低介電常數介電層之暴露部分。此方法亦包含:以遠端電漿處理移除低介電常數介電層之改質部分,低介電常數介電層之改質部分的移除相對於遮罩層與低介電常數介電層之未改質部分具有選擇性。

Description

圖案化低介電常數介電膜之方法 【相關申請案之交互參照】
本申請案主張2012年11月1日申請之美國臨時專利申請案第61/721,414號之優先權權益,其全部內容在此藉由參照而併入。
本發明的具體例是關於半導體製程的領域,且特別是關於圖案化低介電常數介電膜之方法。
在半導體製造中,低介電常數介電質是具有比二氧化矽小的介電常數之材料。低介電常數介電材料的製作是用於允許持續縮小微機電裝置尺寸的數種策略之一。在數位電路中,絕緣性介電質將導電部件(如,導線與電晶體)彼此分隔。當元件已經縮小且電晶體彼此更加靠近,絕緣性介電質已經被薄化至電荷積聚與串擾會不利影響裝置性能的程度。以相同厚度之低介電常數介電質取代二氧化矽會減少寄生電容,使得開關速度更快且降低熱散逸。
然而,在低介電常數介電質製程技術的演進中需要 顯著的改良。
本發明的具體例包括圖案化低介電常數介電膜之方法。
在一具體例中,圖案化低介電常數介電膜的方法包含:在低介電常數介電層上形成與圖案化遮罩層,低介電常數介電層被配置在基板上。此方法也包含:以無氮電漿處理改質低介電常數介電層之暴露部分。此方法亦包含:以遠端電漿處理移除低介電常數介電層之改質部分,低介電常數介電層之改質部分的移除相對於遮罩層與低介電常數介電層之未改質部分具有選擇性。
在另一具體例中,圖案化低介電常數介電膜的方法包含:在低介電常數介電層上形成與圖案化遮罩層,低介電常數介電層被配置在基板上。此方法也包含:以電漿處理改質低介電常數介電層之暴露部分,藉由使用第一保護層沉積處理和後續之第二低介電常數改質電漿處理進行改質。此方法亦包含:以遠端電漿處理移除低介電常數介電層之改質部分,低介電常數介電層之改質部分的移除相對於遮罩層與低介電常數介電層之未改質部分具有選擇性。
在另一具體例中,蝕刻腔室包括:用於將樣品暴露至無氮電漿處理之第一處理階段。不同的第二處理階段包括:用於將樣品暴露至基於選自由NF3/O2/N2、CF4/O2/N2與NF3/NH3所構成之群組的氣體組合之遠端電漿處理。
102:氧化灰化
104:還原灰化
200:流程圖
202:操作
204:操作
206:操作
302:基板
304:低介電常數介電層
304B:未改質之低介電常數介電層
304C:圖案化之低介電常數介電層
306:遮罩層
307:電漿
308:改質之低介電常數介電層
310:溝槽
310’:溝槽
350:材料堆疊
352:基板
354:低介電常數材料
356:遮罩堆疊
358:襯墊層
360:區域
399:保護材料層
402A:材料堆疊
402B:材料堆疊
404A:第一電漿階段位置
404B:第二電漿階段位置
499:保護層
500:系統
502:腔室
504:樣品架
506:抽真空裝置
508:氣體輸入裝置
510:電漿點燃裝置
512:運算裝置
514:電壓源
516:偵測器
600:電腦系統
602:處理器
604:主記憶體
606:靜態記憶體
608:網路介面裝置
610:視頻顯示單元
612:文數輸入裝置
614:游標控制裝置
616:訊號產生裝置
618:次要記憶體
620:網路
622:軟體
626:處理邏輯
630:匯流排
631:機器可存取儲存媒體
圖1顯示在用於移除在習用基於氟碳化物蝕刻處理中形成的聚合物之習用氧化電漿情況下,低介電常數介電層可能被損害或影響的機制。
圖2是根據本發明的一具體例,圖案化低介電常數介電膜的方法之操作的流程圖。
圖3A-3F顯示根據本發明的一具體例,圖案化低介電常數介電膜的方法之各種操作的截面圖,其對應至圖2之流程圖。
圖3C1與3C2顯示根據本發明的一具體例,在兩種操作下進行之低介電常數改質的截面圖。
圖4A與4B顯示根據本發明的一具體例,圖案化低介電常數介電膜的方法之各種操作的截面圖,與其相對應之腔室組態。
圖5A顯示根據本發明的一具體例,進行圖案化低介電常數介電膜的方法之系統。
圖5B顯示根據本發明的一具體例,圖5A之腔室502的可能配置之示意圖。
圖6顯示根據本發明的一具體例,示範電腦系統的方塊圖。
圖案化低介電常數介電膜的方法被描述。在以下的說明中,許多具體細節被闡述,如具體的電漿處理與低介電常數介電膜之改質部分的作用,以提供完整瞭解本發明之具體例。明顯地,對於在此領域之習知技藝者而言,不需要這 些具體細節而可實施本發明的具體例。在其他例子中,廣為人知的態樣,如用於遮罩形成之微影圖案化與顯影技術不會被詳述,以避免不必要地混淆本發明之具體例。再者,應理解的是顯示在圖式中之各種具體例是示意代表圖,而不必然依尺寸比例繪製。
對於低介電常數無損害之用於蝕刻低介電常數膜之新方法與處理序列被描述。傳統介電質蝕刻在蝕刻後聚合物殘留物移除期間會導致由於電漿處理而造成側壁損害。相較之下,本文所述之一或多個具體例不使用傳統的CxFy氣體化學。再者,蝕刻後殘留物可被原位(in-situ)移除,因而消除任何蝕刻後清洗的需求。此種二操作處理可被實行在2-階段之電漿反應器(或替換地,也可在兩獨立腔室中進行)。在一示範具體例中,第一階段電漿是基於SiF4(或SiCl4、SiH4、TMS、或其他氯基或氟基矽烷前驅物)、氬(或He、Xe、Ne惰性氣體)、與氮(或替換的,氧)之乾蝕刻電漿。第一階段是用於蝕刻低介電常數膜,帶有被觀察到在側壁上之成長(據信是SiN或SiNOCH類膜)。在蝕刻期間成長在側壁上之膜被用於保護低介電常數膜免於更進一步損害。第二階段電漿被用於當成以選擇性地從側壁移除蝕刻殘留物之遠端電漿。一個方法是使用NF3/NH3以形成NH4F,NH4F會與殘留物反應以形成在>100℃昇華之鹽類。另一個方法是使用O2/N2/NF3或O2/N2/CF4化學,以移除對於氧化物與低介電常數有高度選擇性之層。此蝕刻處理的兩階段可被重覆數次以改善輪廓控制。
多孔低介電常數介電材料,如多孔SiCOH,通常對 電漿蝕刻敏感。問題通常出現在蝕刻處理期間,由SiCH3網絡在極低介電常數(ULK)膜所造成之周圍損害。此種損害會影響ULK膜之機械整體性。再者,會有形成在基於氟碳化物(基於CxFy)蝕刻處理期間之聚合物所導致之「F記憶效應(F memory effect)」。此敏感性也會由於允許蝕刻化學深度擴散至低介電常數介電膜中之高孔洞性而出現。
圖案化低介電常數介電膜之關鍵課題之一是在蝕刻處理期間損害程度之控制。使用之化學與電漿參數通常改質低介電常數膜之碳含量,而造成電性狀態(k值與漏電)改變。對於碳之損害的根本原因之一是在剝除CxFy聚合物期間,CxFy聚合物在蝕刻處理期間沉積在低介電常數表面上。然而,通常之介電質蝕刻處理從基於CxFy化學得到益處,因為其在電漿蝕刻期間提供側壁保護且增加非等向性蝕刻能力。
根據本文所述之一或多個具體例,蝕刻的基本態樣藉由使用用於蝕刻之可選擇氣體被闡述。例如,在一具體例中,矽基前驅物(如,SiF4、SiCl4、其他的氟基矽烷、氯基矽烷、碘基矽烷)、氮化氣體(如,N2、NH3等)與/或惰性稀釋氣體(如,Ar、He、Xe、Ne)之組合被使用。藉由被蝕刻之溝槽的側壁上之矽基(富含N)沉積的形成,使得非等向性蝕刻有可能。此沉積也保護低介電常數膜免於電漿侵蝕。
在其他具體例中,氧與N2一起被使用或是取代N2,以在側壁形成基於Si-O之沉積。在蝕刻序列中之接下來的階段或操作包含使用遠端電漿或低能量電漿,以產生會從低介電常數選擇性移除此類之膜的物種。一個例子是基於NF3/NH3 之遠端電漿的使用,以形成與側壁之沉積反應而形成矽酸鹽物種的NH4F。矽酸鹽物種在高溫下昇華。在一此類具體例中,上述處理對於低介電常數材料有高度選擇性,使低介電常數材料在處理中不會被蝕刻。在另一具體例中,基於O2/N2/NF3或NO/NF3之遠端電漿處理的使用是用來蝕刻Si-N類之側壁沉積。此處理被調整為對於低介電常數膜有高度選擇性。此蝕刻處理的兩種樣態可被重覆數次以控制輪廓。
本發明之一或多個具體例是以低介電常數介電膜(如ULK膜)之無氟碳蝕刻為標的。在一具體例中,本文之一或多個方法是用於提供具有對於低介電常數介電膜減少損害或不利影響之圖案化方法,例如,蝕刻圖案化處理。在一此類具體例中,本文所述之方法對於C-摻雜氧化膜可能特別有效。習用之基於氟碳化物化學之ULK蝕刻處理不可避免地沉積CF聚合物於ULK表面上。聚合物隨後以氧化性蝕刻後處理(PET)電漿被移除。然而,PET電漿可氧化含碳之ULK介電質。氧化之含碳ULK介電質可能易於不欲之吸溼,使得ULK介電質之介電常數(k值)提高。根據本發明的具體例,極低介電常數介電質之無氟碳蝕刻被敘述在後。
圖1顯示在用於移除在習用基於氟碳化物蝕刻處理中形成的聚合物之習用氧化性電漿情況下,低介電常數介電層可能被損害或影響的機制。參照圖1之機制(a),當遭受到氧化灰化時,具有介電常數約為2.5之SiCOH低介電常數膜之約40%組成的甲基-SiO3片段會由於氫氧根基團而不期望地失去其甲基。參照圖1之機制(b),當遭受到氧化灰化時,具 有介電常數約為2.5之SiCOH低介電常數膜之約10%組成的SiO2-(CH2)2片段會不期望地轉換為SiO2-(COH)2片段。參照圖1之機制(c),當遭受到還原灰化時,具有介電常數約為2.5之SiCOH低介電常數膜之約40%組成的甲基-SiO3片段會由於氫化物配位基(H)而不期望地失去其甲基。
在一具體例中,無氟碳化物蝕刻被用於圖案化低介電常數介電膜。因此不形成CF聚合物,其需要藉由灰化處理而移除。在一具體例中,本文所述之蝕刻處理包括在同一操作中進行之兩種基本運作:(1)以第一電漿蝕刻處理改質低介電常數膜之暴露部分,將此膜之一部分轉變為氧化矽(或低碳含量氧化物),及(2)以遠端第二電漿蝕刻移除已處理層。因此,在一具體例中,ULK膜不會被暴露至可能對於Si-CH3網絡造成損害之任何鹵素自由基或O自由基。
在本發明的一態樣中,藉由低介電常數介電膜之一部分的改質,且隨後相對於此膜之未改質部分選擇性移除改質部分來圖案化低介電常數介電膜。譬如,圖2是根據本發明的一具體例,圖案化低介電常數介電膜的方法之操作的流程圖200。圖3A-3F顯示根據本發明的一具體例,圖案化低介電常數介電膜的方法之操作的截面圖。
參照流程圖200之操作202與對應之圖3A與3B,圖案化低介電常數介電膜的方法包括形成(圖3A)與圖案化(圖3B)在低介電常數介電層304上之遮罩層306,低介電常數介電層304配置在基板302上。在一具體例中,遮罩層306是直接形成在低介電常數介電層304上之光阻層。在另一具 體例中,光阻層首先形成在配置在低介電常數介電層304上之硬遮罩層(在此具體例之306)之上。
在一具體例中,例如,在遮罩層306是被圖案化之硬遮罩層的情況下,形成與圖案化低介電常數介電層304上之遮罩層306包括形成與圖案化非氧化物遮罩層。如詳述於後之細節,非氧化物遮罩層可提供對於氧化蝕刻之選擇性。在一明確的此類具體例中,遮罩層306是含金屬層,如(但不限於)氮化鈦層或氮化鉭層。在另一明確的此類具體例中,遮罩層306是非氧化物介電材料層,如(但不限於)氮化矽層。
在另一具體例中,例如,在遮罩層306是被圖案化之光阻層的情況下,形成與圖案化在低介電常數介電層304上之遮罩層306包括形成與圖案化光阻層。光阻層可由適用於微影製程之材料所構成。即在一具體例中,光阻層被暴露至光源且隨後被顯影。在一具體例中,被暴露至光源之光阻層部分將藉由顯影光阻層而被移除,例如由正光阻材料所構成之光阻層。在一明確具體例中,光阻層是由正光阻材料所構成,例如(但不限於)248奈米節點光阻、193奈米節點光阻、157奈米節點光阻、極紫外光(EUV)光阻、或具有重氮萘醌(diazonaphthoquinone)敏化劑之酚樹脂基質。在另一具體例中,被暴露至光源之光阻層部分將會在顯影光阻層後被保留,例如光阻層是由負光阻材料所構成。在一明確具體例中,光阻層是由負光阻材料所構成,例如(但不限於)聚順異戊二烯(poly-cis-isoprene)與聚桂皮酸乙烯酯(poly-vinyl-cinnamate)。
在一具體例中,低介電常數介電層304具有小於二 氧化矽之電容率,例如約小於3.9。在一具體例中,低介電常數介電層304是如(但不限於)摻雜氟之二氧化矽、摻雜碳之二氧化矽、多孔二氧化矽、多孔摻雜碳之二氧化矽、多孔SiLK、基於旋塗矽氧樹脂之聚合介電質、或旋塗之有機聚合介電質的材料。根據本發明的一具體例,低介電常數介電層304是具有小於2.7之介電常數的多孔SiCOH層。
基板302可由適於抵擋製造處理之材料所構成且其上可適於半導體製程層存在。根據本發明的一具體例,基板302是由基於IV族材料所構成,如(但不限於)結晶矽、鍺或矽/鍺。在一明確具體例中,提供基板302包括提供單晶矽基板。在一特定具體例中,單晶矽基板被摻雜雜質原子。在另一具體例中,基板302是由III-V族材料所構成。在一具體例中,複數個半導體裝置存在於基板302之上、低介電常數介電層304之下。
參照流程圖200之操作204與對應之圖3C,圖案化低介電常數介電膜也包括以電漿處理307改質低介電常數介電層304之暴露部分。低介電常數介電層304之暴露部分是藉由圖案化之遮罩306而暴露之部分。電漿307是用於改質暴露部分以提供低介電常數介電層304之改質部分308與未改質部分304B。除了改質低介電常數材料,保護材料層399在相同的處理中也可被沉積,如圖3C所示。此保護材料層399將結合圖4A與4B在之後詳述。因此,在一具體例中,保護層之沉積和低介電常數膜之改質在相同處理下進行,或至少實質上在相同的時間。
在一具體例中,第一電漿處理包含基於SiF4/N2/Ar之電漿。在一此類具體例中,低介電常數側壁被SiON或SiONH層保護,在此氧被有意地驅除或導入。在一明確具體例中,電漿中之Ar被用於只轟擊溝槽之底部,選擇地且非等向地改質低介電常數材料(如更清楚地顯示於圖4A與4B)。在一具體例中,代替或除了SiF4物種如(但不限於)SiCl4、Si(CH3)3H、SiH4、Cl2SiH2、Si2Cl6、Si2F6(例如,本質上包括Si-Cl鍵或Si-F鍵之物種)可被使用。在一明確具體例中,Ar具有約在5-50毫托範圍之壓力。在一具體例中,N2以約在10-1000瓦範圍之功率被使用。在一具體例中,約在2MHz-60MHz範圍之偏壓RF頻率被使用。在一具體例中,兩種或更多種頻率之組合被用於施加偏壓,例如,2MHz/60MHz、13MHz/60MHz或27MHz/60MHz組合。在一具體例中,靜電夾盤(ESC)溫度是約10℃,但可被提升至約110℃。在一具體例中,上述之狀況全部結合在一起使用。
在另一具體例中,第一電漿處理或第一階段處理,在無氮環境下進行。譬如,在一具體例中,在低介電常數膜之蝕刻或進一步蝕刻期間,氧(O2)被用於提供保護給形成中或已形成之低介電常數溝槽側壁。氧可被以相對小量導入,如小於約10sccm。譬如,在一明確具體例中,第一階段處理是單一操作處理(如圖3C所示),包含基於總流量之組成為約1200sccm氬(Ar)、約200sccm SiF4與約5sccm之氧的電漿之使用。在一特定的此類具體例中,單一處理在溫度約在10-100℃範圍進行。在另一特定具體例中,電漿之壓力是約在 10-70毫托範圍中,與較佳地,約在40毫托。在另一特定具體例中,單一操作、第一階段電漿以約300瓦之約13MHz的底部功率偏壓與約150瓦之約60MHz的同步噴頭偏壓被施加偏壓。應理解的是在兩階段腔室中,噴頭在兩個階段之間。在一非常明確具體例中,第一階段處理是單一處理(如圖3C所示),包含基於總流量之組成為約1200sccm氬(Ar)、約200sccm SiF4與約5sccm之氧的電漿之使用,溫度約在10-110℃範圍,壓力約在10-70毫托範圍,較佳地,約在40毫托,與包括約300瓦之約13MHz的底部功率偏壓與約150瓦之約60MHz的同步噴頭偏壓之偏壓。
在更另一具體例中,第一電漿處理,或第一階段處理,以兩操作處理進行。譬如,第一階段電漿處理包括提供保護性沉積之第一操作。在一此類具體例中,保護層藉由流動三甲基矽烷(Si(CH3)3H)與氧(O2)以形成保護層而形成。在一明確的此類具體例中,(Si(CH3)3H)以小於約20sccm被流動,而氧以約10-50sccm被流動。在一特定的此類具體例中,保護層被形成在壓力約在5-20毫托之範圍,與較佳地,在約10毫托。在一明確具體例中,用於保護層之沉積時間具有約5秒之持續時間,但也可是約40秒。第一階段電漿處理接著包括用於低介電常數材料之處理或改質之第二操作。譬如,在一具體例中,使用氬(Ar)、氮(N2)或兩者以進行第二操作,用於改質低介電常數膜,持續時間約在5秒-4分鐘之範圍。兩操作之第一階段電漿處理可被用於取代取決於所欲輪廓之上述單一操作之第一階段處理,即此兩種方法間之選擇提供最 終形成在低介電常數膜圖案化之期間的整體蝕刻輪廓之可調性程度。在單一操作方法中,保護層沉積與低介電常數膜處理在相同時間進行(如圖3C所示),而在兩操作方法中,保護層沉積與低介電常數膜處理在兩種不同操作下進行,如之後結合圖3C1與3C2所述。
圖3C1與3C2顯示根據本發明的一具體例,在兩種操作下進行之低介電常數改質的截面圖,如方才上述之兩操作第一階段電漿具體例。參照圖3C1,材料堆疊350包括基板352、低介電常數材料354與遮罩堆疊356,具有襯墊層358沉積於其上,如藉由SiF4/O2沉積。接著參照圖3C2,極低介電常數處理被用於改質(形成區域360),例如使用He、N2或Ar離子通過低介電常數材料354之襯墊358部分。
參照流程圖200之操作206且目前對應之圖3D,圖案化低介電常數介電膜也包括移除低介電常數介電層304B之改質部分308。在一具體例中,移除相對於遮罩層306與低介電常數介電層304之未改質部分304B具有選擇性。由於碳在低介電常數介電層304之改質期間可被移除,改質部分308在本質上可以是更接近氧化物。因此,在一具體例中,氧化物移除處理可用於移除改質部分308,其範例被提供於後。
在一具體例中,遠端第二電漿被用於藉由移除改質之低介電常數材料與保護層399以本質上清洗表面。在一此類具體例中,NF3/O2/N2或CF4/O2/N2或NF3/NH3電漿當成第二電漿被遠端使用。因此,在一具體例中,第一電漿(非遠端電漿)被用於同時形成保護層與改質低介電常數膜。接著,第 二電漿(遠端電漿)被用於移除保護層與改質之低介電常數材料層。用於此種處理之範例腔室依圖5B被敘述在後。
在一具體例中,第二階段至少包含藉由蝕刻與昇華之組合的移除。在一此類具體例中,昇華在大於約100℃之溫度進行。在一具體例中,單操作方法被用於第二階段:在一例子中,基於NF3/NH3之結合的電漿,在約70℃之溫度與約在1-10托範圍之壓力,被用於一起進行蝕刻與昇華。在另一具體例中,兩操作方法是用於第二階段:在一例子中,基於NF3/NH3之結合的電漿,在約10-50℃之溫度與約在500毫托-3托範圍之壓力,首先被用於蝕刻。隨後,電漿接著在約110℃之溫度與約在500毫托-3托範圍之壓力,被用於昇華。
因此,根據本發明的一具體例,低介電常數介電膜之蝕刻藉由低介電常數介電層之部分膜轉換而達成。在一具體例中,由於只有低介電常數介電膜的暴露部分的一或數層在每一處理循環被轉換且隨後被移除,蝕刻可以是原子層蝕刻或分子等級蝕刻(MLE)。如上述所舉例的,在一具體例中,蝕刻處理包括首先以第一電漿選擇性地改質水平ULK表面之組成,且接著以遠端第二電漿移除改質部分。在一具體例中,本文所述方法藉由從低介電常數介電膜去除甲基表示確實之膜轉換。
相較之下,習用化學蝕刻通常包含在ULK表面上之聚合物沉積,其藉由氧化性PET操作被移除。基於水溶液之清洗被用於移除損害之ULK,其可能在ULK內造成線彎曲與吸濕。然而,在本文的一具體例中,藉由完全避免CF蝕刻化 學達成本質上ULK材料之無蝕刻損害。使用惰性電漿以從ULK表面移除碳。隨後使用下游電漿以移除ULK之改質部分。由於下游電漿之純化學蝕刻本質,其對於ULK可以是有極高的選擇性。
由於需要達到低介電常數介電層304之圖案化的合適程度,結合流程圖200之操作204之上述方法可被重覆。譬如,再次參照圖3D,溝槽310形成在低介電常數介電層304中,留下部分圖案化與未改質之低介電常數介電層304B。溝槽310之深度可能不足以深到以用於低介電常數介電層304之適合圖案化,尤其是上述之改質和移除處理可能一次只移除一或幾個分子層。
因此,在一具體例中,低介電常數介電層304受到複數次其之暴露部分之改質與移除處理,直到溝槽310之深度達到適於後續處理之要求。在一此類具體例中,重覆改質與移除直到部分溝槽形成在低介電常數介電層304中,但沒有完全穿透低介電常數介電層304。在另一此類具體例中,重覆改質與移除直到完整溝槽形成完全穿透低介電常數介電層304。
如循環處理的例子,圖3E與3F連同圖3A-3D顯示進行總共兩個循環以圖案化低介電常數介電層之一具體例。應理解的是可能需要進行遠大於兩次改質與移除循環以適合地圖案化低介電常數介電膜。
參照圖3E,低介電常數介電層304B之暴露部分,如溝槽310之暴露表面,被第二改質電漿處理改質與蝕刻, 第二改質電漿處理包含在同一操作之第一與第二遠端電漿處理。低介電常數介電層304B之暴露部分是藉由圖案化遮罩306而暴露之部分,如同低介電常數介電層304B之暴露側壁。圖3E之改質與蝕刻循環的第二次反覆形成更深之溝槽310’與因此形成之圖案化之低介電常數膜304C。
參照圖3F,一旦達到溝槽310’之所欲深度,其可能包含許多個上述之改質與移除處理之循環,遮罩306可被移除。然而,在一具體例中,必須注意遮罩306之移除,使移除對於圖案化之低介電常數介電層304C有選擇性,且對於圖案化之低介電常數介電層304C不造成不良影響(如,提高介電常數)。
在一具體例中,遮罩層306由光阻材料所構成且在灰化處理中被移除。然而,在一具體例中,灰化電漿以限制對於圖案化之低介電常數介電層304C之灰化損害的方式被應用。在一明確的此類具體例中,雖然基於光阻之遮罩層306與圖案化之低介電常數介電層304C可以有類似之組成分,其不同之處被利用於遮罩層306之選擇性移除。譬如,有機遮罩可包括碳氫聚合物(包括C、H、O元素),其之蝕刻可較依賴於中性物種而非離子。同時,圖案化之低介電常數介電層304C可包括帶有Si-CH3基團之O-Si-O網絡,其之蝕刻可能需要一些離子能量與中性粒子以引起損害。在一具體例中,遮罩層306之選擇性移除的方法可以包括:藉由可移除水平表面之遮罩層而保留垂直表面之圖案化低介電常數介電層304C之高離子/中性粒子比,以增加對於圖案化低介電常數介 電層304C之灰化選擇性。
根據本發明的另一具體例,循環的鈍化與灰化方法被用於降低通常伴隨著暴露或部分暴露之低介電常數介電層的光阻層移除之灰化損害。在一此類具體例中,處理方案包括鈍化與灰化之交替操作。在每一個鈍化操作期間,含矽鈍化劑被用於選擇性地與極低介電常數(ULK)材料反應,以在ULK材料之暴露部分上形成含矽膜之薄層。在每一個灰化操作期間,含矽膜之薄層有對抗灰化電漿(如,可用於蝕刻光阻層)之保護作用。此保護作用降低灰化電漿對於ULK材料之損害。鈍化層之保護作用的本質可被視為原位,在此意義上,當暴露於灰化電漿時,此膜可形成Si-O交連。Si-O交連可提供對於灰化電漿之選擇性。
在灰化期間藉由化學反應或物理濺鍍,鈍化層可被移除或至少稍微折衷地被移除。因此,在一具體例中,循環鈍化/灰化操作被使用。此種循環鈍化/灰化操作可被重覆直到所有有機遮罩材料(如,覆蓋之光阻層)被移除。鈍化層之任何殘留部分可被移除,例如在一具體例中,藉由稀釋氫氟酸(HF)清洗。
在一明確具體例中,在遮罩層306之移除期間,為了形成用於保護圖案化之低介電常數介電層304C之鈍化層,矽源層首先被形成於圖案化之低介電常數介電層304C之溝槽310’的表面上。在一具體例中,矽源層是由與圖案化之低介電常數介電層304C的水解部分反應之分子物種所形成。在一具體例中,矽源層在矽源層與圖案化之低介電常數 介電層304C之間形成共價鍵。在一具體例中,矽源層是由下列物種之一所形成,如(但不限於)四氯化矽(SiCl4)、二甲基矽烷((CH3)2SiH2)、三甲基矽烷((CH3)3SiH)、N-(三甲基矽烷基)二甲胺((CH3)3SiN(CH2)2)或1,1,1,3,3,3-六甲基二矽烷(HMDS)。在一具體例中,在形成矽源層期間,基板302座落於熱板上。
接下來,矽源層被暴露於氧源,以在圖案化之低介電常數介電層304C之溝槽310’的表面上形成含Si-O層及移除至少部分之基於光阻的遮罩層306。在一具體例中,在移除部分或全部之遮罩層306的期間,含Si-O層保護圖案化之低介電常數介電層304C。應理解的是,在缺少含Si-O層的情況下,由於用於移除遮罩層306部分之處理,圖案化之低介電常數介電層304C可能被損害。在一具體例中,將矽源層暴露於氧源包括電漿處理。在一具體例中,電漿是基於氧自由基源。氧自由基源是帶有由氧自由基所組成之解離產物的分子。在一明確此類具體例中,氧自由基源是如(但不限於)氧(O2)、臭氧(O3)、二氧化碳(CO2)或水(H2O)之來源。在一具體例中,在遮罩層306之移除後,含Si-O層被移除。在一此類具體例中,含Si-O層藉由乾蝕刻處理被移除。在另一具體例中,包括氫氟酸(HF)之濕蝕刻溶液被用於移除含Si-O層。然而應理解的是,可以不需要此種額外處理。譬如,在一具體例中,在灰化期間含Si-O層藉由化學反應或物理濺鍍被移除。
如上所述,在低介電常數改質處理期間可形成保護層。例如,圖4A與4B顯示根據本發明的一具體例,圖案化 低介電常數介電膜的方法之各種操作的截面圖,與其相對應之腔室組態。
參照圖4A,如同圖3C中之堆疊的材料堆疊402A包括形成(或將形成)在低介電常數介電層中之溝槽。保護層499在第一電漿處理中形成,同時在相同電漿處理中,低介電常數介電層之暴露部分被改質。在一具體例中,當堆疊402A被定位於第一電漿階段位置404A時進行第一電漿處理,如同結合圖5B所詳細描述的。因此,在一具體例中,用於帶有非等向性膜改質之側壁保護的電漿沉積在相同處理中進行。
參照圖4B,如同圖3D中之堆疊的材料堆疊402B包括在低介電常數介電層中之乾淨溝槽。圖4A中之保護層499與改質之低介電常數材料在第二電漿處理中被移除。在一具體例中,第二電漿處理是遠端電漿處理。在一此類具體例中,當堆疊402B被定位於第二電漿階段位置404B時進行第二電漿處理,如同結合圖5B所詳細描述的。在一明確具體例中,Siconi電漿處理被用於移除沉積與改質層。
整體上,在一具體例中,基於非碳之方法被用於選擇地移除低介電常數膜之部分而不造成k值變動。在一具體例中,一連串處理被用於,第一,帶有側壁保護之矽基前驅物被用於蝕刻,第二,使用基於高選擇性自由基之移除。優點可包括(但不限於)無碳處理之使用(不一定需要灰化或蝕刻後處理)、可能不需要濕式清洗、低離子能量之使用使得金屬硬遮罩腐蝕最小化、及自限處理與移除操作造成良好的深度和均勻控制。
在一具體例中,一或多個上述之處理在電漿蝕刻腔室中進行。譬如,在一具體例中,一或多個上述之處理在Applied Centura® Enabler介電蝕刻系統(可由Applied Materials of Sunnyvale,加州,美國取得)中進行。在另一具體例中,一或多個上述之處理在Applied MaterialsTM AdvantEdge G3蝕刻器(也可由Applied Materials of Sunnyvale,加州,美國取得)中進行。
低介電常數介電層之圖案化可在適於提供在樣品附近之蝕刻電漿以用於蝕刻之處理設備中實施。譬如,圖5A顯示根據本發明的一具體例,進行圖案化低介電常數介電膜的方法之系統。
參照圖5A,用於實施電漿蝕刻處理之系統500包括裝備著樣品架504之腔室502。腔室502和抽真空裝置506、氣體輸入裝置508與電漿點燃裝置510相耦接。運算裝置512與電漿點燃裝置510相耦接。系統500可額外包括與樣品架504耦接之電壓源514及與腔室502耦接之偵測器516。運算裝置512也可耦接於抽真空裝置506、氣體輸入裝置508、電壓源514與偵測器516,如圖5A所描繪。
腔室502與樣品架504可包括反應腔室與樣品定位裝置,其適於容納電離氣體,如電漿,及使樣品接近由其放出之電離氣體與帶電物種。抽真空裝置506可以是適於抽空與升壓腔室502之裝置。氣體輸入裝置508可以是適於將反應氣體注入腔室502之裝置。電漿點燃裝置510可以是適用於從藉由氣體輸入裝置508注入腔室502的反應氣體點燃電 漿。偵側裝置516可以是適於偵側處理操作之終點的裝置。在一具體例中,系統500包括:腔室502、樣品架504、抽真空裝置506、氣體輸入裝置508、電漿點燃裝置510與偵側器516,上述之裝置類似於或相同於包括在Applied Centura® Enabler介電蝕刻系統或Applied MaterialsTM AdvantEdge G3系統中之裝置。
圖5B顯示根據本發明的一具體例,圖5A之腔室502的可能配置之示意圖。參照圖5B及結合圖3C與3D之敘述,腔室502具有用於進行非遠端第一電漿處理之第一階段(電漿階段1,其可包括帶有偏壓之原位源)。譬如,可以在階段1進行包含保護層之形成與低介電常數膜之改質的電漿處理。腔室502也具有用於進行遠端電漿處理之第二階段(電漿階段2)。譬如,可以在階段2進行包含沉積之保護層與改質之低介電常數材料之清洗的電漿處理。用於腔室502之如此配置能夠有良好調整之自由基/離子比。此種可調整源之效益可包括蝕刻非等向性無聚合物處理之控制。也可進行由改質層之深度所限定之逐層移除。此外,也可達成基於介穩物種(如NH、F、O、H、Cl等)之良好控制的可調整選擇性。
本發明的具體例可以電腦程式產品或軟體被提供,其可包括機器可讀媒體及儲存於機器可讀媒體中之指令,指令可用於使電腦系統(或其他電子裝置)進行根據本發明之處理。機器可讀媒體包括以可被機器(如電腦)讀取之形式儲存或傳遞資訊的任何機制。譬如,機器可讀(如電腦可讀)媒體包括機器(如電腦)可讀儲存媒體(如唯讀記憶體(ROM)、隨機存取 記憶體(RAM)、磁碟儲存媒體、光學儲存媒體、快閃記憶體裝置等)、機器(如電腦)可讀傳遞媒體(電學、光學、聲學或其他形式之傳播訊號(如,紅外線訊號、數位訊號等))等。
圖6顯示電腦系統600之示範形式的機器之圖示,在電腦系統600中,使機器進行任一或更多本文所述之方法的指令集可被執行。在替代具體例中,機器可被連接(如,網絡)至在區域網路(LAN)、內部網路、外部網路或網際網路中之其他機器。機器可操作為在主從式網路環境中之伺服器或客戶端機器,或在點對點(或分散式)網路環境中之對等機器。機器可以是個人電腦(PC)、平板個人電腦、機上盒(STB)、個人數位助理(PDA)、行動電話、網路電器、伺服器、網路路由器、交換器或橋接器、或能執行被機器所採取之具體行動之指令集(循序或其他方式)的任何機器。另外,雖然只有單一機器被顯示,但用語「機器」應該也被當成包括單獨或共同地執行一套(或好幾套)指示集,以進行本文所述之方法的任一或多者之機器(如,電腦)的任何集合。
示範電腦系統600包括處理器602、主記憶體604(如唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM),如同步動態隨機存取記憶體(SDRAM)或Rambus動態隨機存取記憶體(RDRAM)等)、靜態記憶體606(如,快閃記憶體、靜態隨機存取記憶體(SRAM)等)、和次要記憶體618(如資料儲存裝置),彼此間藉由匯流排630互相通訊。
處理器602代表一或多個通用處理裝置,如微處理器、中央處理單元、或類似之物。更特定地,處理器602可 以是複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、極長指令(VLIW)微處理器、實施其他指令集之處理器、或實施指令集之組合的處理器。處理器602也可以是一或多個特用處理裝置,如特定應用積體電路(ASIC)、場式可程式閘陣列(FPGA)、數位訊號處理器(DSP)、網路處理器、或類似之物。處理器602被設置以執行用來進行本文所述操作之處理邏輯626。
電腦系統600可進一步包括網路介面裝置608。電腦系統600也可包括視頻顯示單元610(如,液晶顯示器(LCD)、發光二極體顯示器(LED)、陰極射線管(CRT))、文數輸入裝置612(如,鍵盤)、游標控制裝置614(如,滑鼠)、和訊號產生裝置616(如,喇叭)。
次要記憶體618可包括機器可存取儲存媒體(或更明確地,電腦可讀儲存媒體)631,在其中儲存收錄本文所述的方法或功能之任一或多者的一或更多套指令集(如,軟體622)。在電腦系統600執行軟體622期間,軟體622也可完全或至少部分地駐留在主記憶體604及/或處理器602,主記憶體604和處理器602也構成機器可讀儲存媒體。軟體622可進一步經由網路介面裝置608在網路620上被傳遞或接收。
雖然機器可存取儲存媒體631在一示範具體例中是被顯示為單一媒體,但用語「機器可讀儲存媒體」應被當成包括儲存一或更多套指令集之單一媒體或複數媒體(如集中式或分散式資料庫、及/或結合快取與伺服器)。用語「機器可讀儲存媒體」也應被當成包括能儲存或編碼用於被機器執行的 指令集與使機器進行本發明的方法之任一或多者的任何媒體。用語「機器可讀儲存媒體」因此應被當成包括(但不僅限於)固態記憶體、及光學與磁學媒體。
根據本發明的一具體例,機器可存取儲存媒體具有儲存在其之使資料處理系統進行圖案化低介電常數介電層的方法之指令。方法包括形成與圖案化在配置於基板上之低介電常數介電層之上的遮罩層。低介電常數介電層之暴露部分以電漿處理改質。在相同操作中,以遠端電漿處理將低介電常數介電層之改質部分移除,低介電常數介電層之改質部分的移除相對於遮罩層與低介電常數介電層之未改質部分具有選擇性。
因此,圖案化低介電常數介電膜之方法已經被揭露。
302‧‧‧基板
304B‧‧‧未改質之低介電常數介電層
306‧‧‧遮罩層
307‧‧‧電漿
308‧‧‧改質之低介電常數介電層
399‧‧‧保護材料層

Claims (17)

  1. 一種圖案化一低介電常數介電膜之方法,該方法包括:在一低介電常數介電層上,形成與圖案化一遮罩層,該低介電常數介電層被配置在一基板上;以一無氮電漿處理改質該低介電常數介電層之暴露部分;以一遠端電漿處理移除該低介電常數介電層之改質部分,該低介電常數介電層之改質部分的移除相對於該遮罩層與該低介電常數介電層之未改質部分具有選擇性;以及重覆該改質與該移除步驟一或更多次,以在該低介電常數介電層中形成一或多個溝槽,而沒有在該低介電常數介電層上形成一氟碳聚合物。
  2. 如請求項1所述之方法,其中以該無氮電漿處理改質該低介電常數介電層之暴露部分包含:使用基於Ar、SiF4與O2之一組合之一電漿。
  3. 如請求項1所述之方法,其中以該遠端電漿處理移除該低介電常數介電層之改質部分包含:使用基於選自由NF3/O2/N2、CF4/O2/N2與NF3/NH3所構成之群組之一氣體組合之一電漿。
  4. 如請求項1所述之方法,其中以該無氮電漿處理改質該低介電常數介電層之暴露部分更包含:在同一操作下,沉積一 保護層與改質該低介電常數層。
  5. 如請求項4所述之方法,其中以該無氮電漿處理改質該低介電常數介電層之暴露部分與沉積該保護層包含:使用基於Ar、SiF4與O2之一組合之一電漿。
  6. 如請求項4所述之方法,其中以該遠端電漿處理移除該低介電常數介電層之改質部分更包含:以該遠端電漿處理移除該保護層。
  7. 如請求項6所述之方法,其中以該遠端電漿處理移除該低介電常數介電層之改質部分與該保護層包含:使用基於選自由NF3/O2/N2、CF4/O2/N2與NF3/NH3所構成之群組之一氣體組合之一電漿。
  8. 如請求項1所述之方法,其中以該無氮電漿處理改質該低介電常數介電層之暴露部分包含:在一電漿蝕刻腔室之一第一階段進行改質,且其中以該遠端電漿處理移除該低介電常數介電層之改質部分包含:在該電漿蝕刻腔室之一不同的第二階段進行移除。
  9. 如請求項8所述之方法,其中該蝕刻腔室之一噴頭被定位於該第一階段和該第二階段之間,該第二階段在該噴頭上方。
  10. 一種圖案化一低介電常數介電膜之方法,該方法包括:在一低介電常數介電層上,形成與圖案化一遮罩層,該低介電常數介電層被配置在一基板上;以一電漿處理改質該低介電常數介電層之暴露部分,藉由使用一第一保護層沉積處理與後續之第二低介電常數改質電漿處理進行改質;以一遠端電漿處理移除該低介電常數介電層之改質部分,該低介電常數介電層之改質部分的移除相對於該遮罩層與該低介電常數介電層之未改質部分具有選擇性;以及重覆該改質與該移除步驟一或更多次,以在該低介電常數介電層中形成一或多個溝槽,而沒有在該低介電常數介電層上形成一氟碳聚合物。
  11. 如請求項10所述之方法,其中使用該第一保護層沉積處理包含:流動三甲基矽烷與O2之一組合。
  12. 如請求項10所述之方法,其中使用該低介電常數改質電漿處理包含:使用Ar、N2、或Ar與N2兩者。
  13. 如請求項10所述之方法,其中以該遠端電漿處理移除該低介電常數介電層之改質部分包含:使用基於選自由NF3/O2/N2、CF4/O2/N2與NF3/NH3所構成之群組之一氣體組合之一電漿。
  14. 如請求項10所述之方法,其中使用該第一保護層沉積處理包含:形成一保護層,且其中以該遠端電漿處理移除該低介電常數介電層之改質部分更包含:以該遠端電漿處理移除該保護層。
  15. 如請求項14所述之方法,其中以該遠端電漿處理移除該低介電常數介電層之改質部分與該保護層包含:使用基於選自由NF3/O2/N2、CF4/O2/N2與NF3/NH3所構成之群組之一氣體組合之一電漿。
  16. 如請求項10所述之方法,其中改質該低介電常數介電層之暴露部分包含:在一電漿蝕刻腔室之一第一階段進行改質,且其中以該遠端電漿處理移除該低介電常數介電層之改質部分包含:在該電漿蝕刻腔室之一不同的第二階段進行移除。
  17. 如請求項16所述之方法,其中該蝕刻腔室之一噴頭被定位於該第一階段和該第二階段之間,該第二階段在該噴頭上方。
TW102139570A 2012-11-01 2013-10-31 圖案化低介電常數介電膜之方法 TWI693641B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261721414P 2012-11-01 2012-11-01
US61/721,414 2012-11-01
US14/059,996 US9165783B2 (en) 2012-11-01 2013-10-22 Method of patterning a low-k dielectric film
US14/059,996 2013-10-22

Publications (2)

Publication Number Publication Date
TW201419416A TW201419416A (zh) 2014-05-16
TWI693641B true TWI693641B (zh) 2020-05-11

Family

ID=50547638

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107124759A TWI713116B (zh) 2012-11-01 2013-10-31 圖案化低介電常數介電膜之方法
TW102139570A TWI693641B (zh) 2012-11-01 2013-10-31 圖案化低介電常數介電膜之方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107124759A TWI713116B (zh) 2012-11-01 2013-10-31 圖案化低介電常數介電膜之方法

Country Status (4)

Country Link
US (2) US9165783B2 (zh)
KR (1) KR102164568B1 (zh)
TW (2) TWI713116B (zh)
WO (1) WO2014070619A1 (zh)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US9437449B2 (en) * 2012-12-31 2016-09-06 Texas Instruments Incorporated Uniform, damage free nitride etch
US8987139B2 (en) 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9006106B2 (en) * 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
CN104164660B (zh) * 2014-08-26 2016-09-28 复旦大学 一种低介电常数多孔SiOCNH薄膜及其制备方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160181111A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Silicon etch and clean
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
CN106298668A (zh) * 2015-06-12 2017-01-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
KR102072269B1 (ko) * 2016-02-22 2020-01-31 도쿄엘렉트론가부시키가이샤 패터닝된 층의 주기적 에칭을 위한 방법
KR102362462B1 (ko) * 2016-03-29 2022-02-14 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR102549308B1 (ko) 2016-03-29 2023-06-30 도쿄엘렉트론가부시키가이샤 에칭 장치
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) * 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP6869024B2 (ja) 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102638422B1 (ko) * 2017-04-26 2024-02-19 도쿄엘렉트론가부시키가이샤 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP6877316B2 (ja) * 2017-11-08 2021-05-26 東京エレクトロン株式会社 エッチング方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US20190368040A1 (en) * 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7229750B2 (ja) * 2018-12-14 2023-02-28 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021011525A1 (en) * 2019-07-18 2021-01-21 Mattson Technology, Inc. Processing of workpieces using hydrogen radicals and ozone gas
US11424123B2 (en) * 2020-02-25 2022-08-23 Tokyo Electron Limited Forming a semiconductor feature using atomic layer etch
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition
JP7478059B2 (ja) * 2020-08-05 2024-05-02 株式会社アルバック シリコンのドライエッチング方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US7393795B2 (en) * 2006-02-01 2008-07-01 Applied Materials, Inc. Methods for post-etch deposition of a dielectric film
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6991739B2 (en) 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
US7001833B2 (en) * 2002-09-27 2006-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming openings in low-k dielectric layers
JP2004152862A (ja) * 2002-10-29 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
JP3643580B2 (ja) 2002-11-20 2005-04-27 株式会社東芝 プラズマ処理装置及び半導体製造装置
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US9236279B2 (en) 2003-06-27 2016-01-12 Lam Research Corporation Method of dielectric film treatment
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP2006024730A (ja) * 2004-07-08 2006-01-26 Sony Corp 半導体装置の製造方法
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
JP2006278827A (ja) * 2005-03-30 2006-10-12 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7479191B1 (en) * 2005-04-22 2009-01-20 Novellus Systems, Inc. Method for endpointing CVD chamber cleans following ultra low-k film treatments
US7279427B2 (en) 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
US7381651B2 (en) 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7700479B2 (en) * 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US7998873B2 (en) 2007-06-15 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating low-k dielectric and Cu interconnect
CN101960567A (zh) * 2008-02-29 2011-01-26 应用材料公司 用于从衬底移除聚合物的方法和设备
US20110254078A1 (en) * 2008-09-30 2011-10-20 Tokyo Electron Limited Method for depositing silicon nitride film, computer-readable storage medium, and plasma cvd device
SG181670A1 (en) * 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8940642B2 (en) 2011-07-20 2015-01-27 Applied Materials, Inc. Method of multiple patterning of a low-K dielectric film
US8980754B2 (en) 2011-07-20 2015-03-17 Applied Materials, Inc. Method of removing a photoresist from a low-k dielectric film
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US9039911B2 (en) * 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8871650B2 (en) 2011-10-28 2014-10-28 Applied Materials, Inc. Post etch treatment (PET) of a low-K dielectric film
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US7393795B2 (en) * 2006-02-01 2008-07-01 Applied Materials, Inc. Methods for post-etch deposition of a dielectric film
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma

Also Published As

Publication number Publication date
US20150380215A1 (en) 2015-12-31
US20140120726A1 (en) 2014-05-01
WO2014070619A1 (en) 2014-05-08
TW201903899A (zh) 2019-01-16
KR20150079931A (ko) 2015-07-08
KR102164568B1 (ko) 2020-10-12
US9165783B2 (en) 2015-10-20
US11302519B2 (en) 2022-04-12
TW201419416A (zh) 2014-05-16
TWI713116B (zh) 2020-12-11

Similar Documents

Publication Publication Date Title
TWI693641B (zh) 圖案化低介電常數介電膜之方法
TWI591725B (zh) 圖案化低k介電膜的方法
US8741775B2 (en) Method of patterning a low-K dielectric film
TWI610364B (zh) 圖案化低k介電膜的方法
JP5273482B2 (ja) 半導体処理のための方法
US8940642B2 (en) Method of multiple patterning of a low-K dielectric film
US10062602B2 (en) Method of etching a porous dielectric material
US8871650B2 (en) Post etch treatment (PET) of a low-K dielectric film
US10134625B2 (en) Shallow trench isolation structure and fabricating method thereof
JP2010503207A5 (zh)
US11171011B2 (en) Method for etching an etch layer
KR20200054962A (ko) 에칭 층을 에칭하기 위한 방법
KR20160146565A (ko) 갭 충진 애플리케이션들에서 실리콘 다이옥사이드 막의 원자층 증착에서의 심들을 제거하기 위한 시스템들 및 방법들
JPH04237125A (ja) ドライエッチング方法
JP5642427B2 (ja) プラズマ処理方法
TW201837972A (zh) 用以提供低介電常數間隔件之方法
Flamm Plasma Chemistry, Basic Processes, and PECVD